reaktionsfaehig.net/static/js/decap-cms.js
Stephan Hadan 4a7f9290e2
All checks were successful
Build,test and deploy reaktionsfaehig.net / deploy-prod (push) Successful in 19s
transfered decap-cms.js to local
2025-02-11 15:32:56 +01:00

492 lines
No EOL
4.8 MiB
Raw Blame History

This file contains invisible Unicode characters

This file contains invisible Unicode characters that are indistinguishable to humans but may be processed differently by a computer. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

/*! For license information please see decap-cms.js.LICENSE.txt */
!function(e,t){"object"==typeof exports&&"object"==typeof module?module.exports=t():"function"==typeof define&&define.amd?define("DecapCms",[],t):"object"==typeof exports?exports.DecapCms=t():e.DecapCms=t()}(window,(()=>(()=>{var __webpack_modules__={55034:(e,t,n)=>{"use strict";const r=n(11976),i=n.g.Date;class o extends i{constructor(e){super(e),this.isDate=!0}toISOString(){return`${this.getUTCFullYear()}-${r(2,this.getUTCMonth()+1)}-${r(2,this.getUTCDate())}`}}e.exports=e=>{const t=new o(e);if(isNaN(t))throw new TypeError("Invalid Datetime");return t}},59697:(e,t,n)=>{"use strict";const r=n(11976);class i extends Date{constructor(e){super(e+"Z"),this.isFloating=!0}toISOString(){return`${this.getUTCFullYear()}-${r(2,this.getUTCMonth()+1)}-${r(2,this.getUTCDate())}T${r(2,this.getUTCHours())}:${r(2,this.getUTCMinutes())}:${r(2,this.getUTCSeconds())}.${r(3,this.getUTCMilliseconds())}`}}e.exports=e=>{const t=new i(e);if(isNaN(t))throw new TypeError("Invalid Datetime");return t}},12446:e=>{"use strict";e.exports=e=>{const t=new Date(e);if(isNaN(t))throw new TypeError("Invalid Datetime");return t}},70256:(e,t,n)=>{"use strict";const r=n(11976);class i extends Date{constructor(e){super(`0000-01-01T${e}Z`),this.isTime=!0}toISOString(){return`${r(2,this.getUTCHours())}:${r(2,this.getUTCMinutes())}:${r(2,this.getUTCSeconds())}.${r(3,this.getUTCMilliseconds())}`}}e.exports=e=>{const t=new i(e);if(isNaN(t))throw new TypeError("Invalid Datetime");return t}},11976:e=>{"use strict";e.exports=(e,t)=>{for(t=String(t);t.length<e;)t="0"+t;return t}},86056:e=>{"use strict";const t=1114112;class n extends Error{constructor(e,t,r){super("[ParserError] "+e,t,r),this.name="ParserError",this.code="ParserError",Error.captureStackTrace&&Error.captureStackTrace(this,n)}}class r{constructor(e){this.parser=e,this.buf="",this.returned=null,this.result=null,this.resultTable=null,this.resultArr=null}}class i{constructor(){this.pos=0,this.col=0,this.line=0,this.obj={},this.ctx=this.obj,this.stack=[],this._buf="",this.char=null,this.ii=0,this.state=new r(this.parseStart)}parse(e){if(0===e.length||null==e.length)return;let t;for(this._buf=String(e),this.ii=-1,this.char=-1;!1===t||this.nextChar();)t=this.runOne();this._buf=null}nextChar(){return 10===this.char&&(++this.line,this.col=-1),++this.ii,this.char=this._buf.codePointAt(this.ii),++this.pos,++this.col,this.haveBuffer()}haveBuffer(){return this.ii<this._buf.length}runOne(){return this.state.parser.call(this,this.state.returned)}finish(){let e;this.char=t;do{e=this.state.parser,this.runOne()}while(this.state.parser!==e);return this.ctx=null,this.state=null,this._buf=null,this.obj}next(e){if("function"!=typeof e)throw new n("Tried to set state to non-existent state: "+JSON.stringify(e));this.state.parser=e}goto(e){return this.next(e),this.runOne()}call(e,t){t&&this.next(t),this.stack.push(this.state),this.state=new r(e)}callNow(e,t){return this.call(e,t),this.runOne()}return(e){if(0===this.stack.length)throw this.error(new n("Stack underflow"));void 0===e&&(e=this.state.buf),this.state=this.stack.pop(),this.state.returned=e}returnNow(e){return this.return(e),this.runOne()}consume(){if(this.char===t)throw this.error(new n("Unexpected end-of-buffer"));this.state.buf+=this._buf[this.ii]}error(e){return e.line=this.line,e.col=this.col,e.pos=this.pos,e}parseStart(){throw new n("Must declare a parseStart method")}}i.END=t,i.Error=n,e.exports=i},77146:(module,__unused_webpack_exports,__webpack_require__)=>{"use strict";module.exports=makeParserClass(__webpack_require__(86056)),module.exports.makeParserClass=makeParserClass;class TomlError extends Error{constructor(e){super(e),this.name="TomlError",Error.captureStackTrace&&Error.captureStackTrace(this,TomlError),this.fromTOML=!0,this.wrapped=null}}TomlError.wrap=e=>{const t=new TomlError(e.message);return t.code=e.code,t.wrapped=e,t},module.exports.TomlError=TomlError;const createDateTime=__webpack_require__(12446),createDateTimeFloat=__webpack_require__(59697),createDate=__webpack_require__(55034),createTime=__webpack_require__(70256),CTRL_I=9,CTRL_J=10,CTRL_M=13,CTRL_CHAR_BOUNDARY=31,CHAR_SP=32,CHAR_QUOT=34,CHAR_NUM=35,CHAR_APOS=39,CHAR_PLUS=43,CHAR_COMMA=44,CHAR_HYPHEN=45,CHAR_PERIOD=46,CHAR_0=48,CHAR_1=49,CHAR_7=55,CHAR_9=57,CHAR_COLON=58,CHAR_EQUALS=61,CHAR_A=65,CHAR_E=69,CHAR_F=70,CHAR_T=84,CHAR_U=85,CHAR_Z=90,CHAR_LOWBAR=95,CHAR_a=97,CHAR_b=98,CHAR_e=101,CHAR_f=102,CHAR_i=105,CHAR_l=108,CHAR_n=110,CHAR_o=111,CHAR_r=114,CHAR_s=115,CHAR_t=116,CHAR_u=117,CHAR_x=120,CHAR_z=122,CHAR_LCUB=123,CHAR_RCUB=125,CHAR_LSQB=91,CHAR_BSOL=92,CHAR_RSQB=93,CHAR_DEL=127,SURROGATE_FIRST=55296,SURROGATE_LAST=57343,escapes={[CHAR_b]:"\b",[CHAR_t]:"\t",[CHAR_n]:"\n",[CHAR_f]:"\f",[CHAR_r]:"\r",[CHAR_QUOT]:'"',[CHAR_BSOL]:"\\"};function isDigit(e){return e>=CHAR_0&&e<=CHAR_9}function isHexit(e){return e>=CHAR_A&&e<=CHAR_F||e>=CHAR_a&&e<=CHAR_f||e>=CHAR_0&&e<=CHAR_9}function isBit(e){return e===CHAR_1||e===CHAR_0}function isOctit(e){return e>=CHAR_0&&e<=CHAR_7}function isAlphaNumQuoteHyphen(e){return e>=CHAR_A&&e<=CHAR_Z||e>=CHAR_a&&e<=CHAR_z||e>=CHAR_0&&e<=CHAR_9||e===CHAR_APOS||e===CHAR_QUOT||e===CHAR_LOWBAR||e===CHAR_HYPHEN}function isAlphaNumHyphen(e){return e>=CHAR_A&&e<=CHAR_Z||e>=CHAR_a&&e<=CHAR_z||e>=CHAR_0&&e<=CHAR_9||e===CHAR_LOWBAR||e===CHAR_HYPHEN}const _type=Symbol("type"),_declared=Symbol("declared"),hasOwnProperty=Object.prototype.hasOwnProperty,defineProperty=Object.defineProperty,descriptor={configurable:!0,enumerable:!0,writable:!0,value:void 0};function hasKey(e,t){return!!hasOwnProperty.call(e,t)||("__proto__"===t&&defineProperty(e,"__proto__",descriptor),!1)}const INLINE_TABLE=Symbol("inline-table");function InlineTable(){return Object.defineProperties({},{[_type]:{value:INLINE_TABLE}})}function isInlineTable(e){return null!==e&&"object"==typeof e&&e[_type]===INLINE_TABLE}const TABLE=Symbol("table");function Table(){return Object.defineProperties({},{[_type]:{value:TABLE},[_declared]:{value:!1,writable:!0}})}function isTable(e){return null!==e&&"object"==typeof e&&e[_type]===TABLE}const _contentType=Symbol("content-type"),INLINE_LIST=Symbol("inline-list");function InlineList(e){return Object.defineProperties([],{[_type]:{value:INLINE_LIST},[_contentType]:{value:e}})}function isInlineList(e){return null!==e&&"object"==typeof e&&e[_type]===INLINE_LIST}const LIST=Symbol("list");function List(){return Object.defineProperties([],{[_type]:{value:LIST}})}function isList(e){return null!==e&&"object"==typeof e&&e[_type]===LIST}let _custom;try{const utilInspect=eval("require('util').inspect");_custom=utilInspect.custom}catch(e){}const _inspect=_custom||"inspect";class BoxedBigInt{constructor(e){try{this.value=__webpack_require__.g.BigInt.asIntN(64,e)}catch(e){this.value=null}Object.defineProperty(this,_type,{value:INTEGER})}isNaN(){return null===this.value}toString(){return String(this.value)}[_inspect](){return`[BigInt: ${this.toString()}]}`}valueOf(){return this.value}}const INTEGER=Symbol("integer");function Integer(e){let t=Number(e);return Object.is(t,-0)&&(t=0),__webpack_require__.g.BigInt&&!Number.isSafeInteger(t)?new BoxedBigInt(e):Object.defineProperties(new Number(t),{isNaN:{value:function(){return isNaN(this)}},[_type]:{value:INTEGER},[_inspect]:{value:()=>`[Integer: ${e}]`}})}function isInteger(e){return null!==e&&"object"==typeof e&&e[_type]===INTEGER}const FLOAT=Symbol("float");function Float(e){return Object.defineProperties(new Number(e),{[_type]:{value:FLOAT},[_inspect]:{value:()=>`[Float: ${e}]`}})}function isFloat(e){return null!==e&&"object"==typeof e&&e[_type]===FLOAT}function tomlType(e){const t=typeof e;if("object"===t){if(null===e)return"null";if(e instanceof Date)return"datetime";if(_type in e)switch(e[_type]){case INLINE_TABLE:return"inline-table";case INLINE_LIST:return"inline-list";case TABLE:return"table";case LIST:return"list";case FLOAT:return"float";case INTEGER:return"integer"}}return t}function makeParserClass(e){return class extends e{constructor(){super(),this.ctx=this.obj=Table()}atEndOfWord(){return this.char===CHAR_NUM||this.char===CTRL_I||this.char===CHAR_SP||this.atEndOfLine()}atEndOfLine(){return this.char===e.END||this.char===CTRL_J||this.char===CTRL_M}parseStart(){if(this.char===e.END)return null;if(this.char===CHAR_LSQB)return this.call(this.parseTableOrList);if(this.char===CHAR_NUM)return this.call(this.parseComment);if(this.char===CTRL_J||this.char===CHAR_SP||this.char===CTRL_I||this.char===CTRL_M)return null;if(isAlphaNumQuoteHyphen(this.char))return this.callNow(this.parseAssignStatement);throw this.error(new TomlError(`Unknown character "${this.char}"`))}parseWhitespaceToEOL(){if(this.char===CHAR_SP||this.char===CTRL_I||this.char===CTRL_M)return null;if(this.char===CHAR_NUM)return this.goto(this.parseComment);if(this.char===e.END||this.char===CTRL_J)return this.return();throw this.error(new TomlError("Unexpected character, expected only whitespace or comments till end of line"))}parseAssignStatement(){return this.callNow(this.parseAssign,this.recordAssignStatement)}recordAssignStatement(e){let t=this.ctx,n=e.key.pop();for(let n of e.key){if(hasKey(t,n)&&(!isTable(t[n])||t[n][_declared]))throw this.error(new TomlError("Can't redefine existing key"));t=t[n]=t[n]||Table()}if(hasKey(t,n))throw this.error(new TomlError("Can't redefine existing key"));return isInteger(e.value)||isFloat(e.value)?t[n]=e.value.valueOf():t[n]=e.value,this.goto(this.parseWhitespaceToEOL)}parseAssign(){return this.callNow(this.parseKeyword,this.recordAssignKeyword)}recordAssignKeyword(e){return this.state.resultTable?this.state.resultTable.push(e):this.state.resultTable=[e],this.goto(this.parseAssignKeywordPreDot)}parseAssignKeywordPreDot(){return this.char===CHAR_PERIOD?this.next(this.parseAssignKeywordPostDot):this.char!==CHAR_SP&&this.char!==CTRL_I?this.goto(this.parseAssignEqual):void 0}parseAssignKeywordPostDot(){if(this.char!==CHAR_SP&&this.char!==CTRL_I)return this.callNow(this.parseKeyword,this.recordAssignKeyword)}parseAssignEqual(){if(this.char===CHAR_EQUALS)return this.next(this.parseAssignPreValue);throw this.error(new TomlError('Invalid character, expected "="'))}parseAssignPreValue(){return this.char===CHAR_SP||this.char===CTRL_I?null:this.callNow(this.parseValue,this.recordAssignValue)}recordAssignValue(e){return this.returnNow({key:this.state.resultTable,value:e})}parseComment(){do{if(this.char===e.END||this.char===CTRL_J)return this.return()}while(this.nextChar())}parseTableOrList(){if(this.char!==CHAR_LSQB)return this.goto(this.parseTable);this.next(this.parseList)}parseTable(){return this.ctx=this.obj,this.goto(this.parseTableNext)}parseTableNext(){return this.char===CHAR_SP||this.char===CTRL_I?null:this.callNow(this.parseKeyword,this.parseTableMore)}parseTableMore(e){if(this.char===CHAR_SP||this.char===CTRL_I)return null;if(this.char===CHAR_RSQB){if(hasKey(this.ctx,e)&&(!isTable(this.ctx[e])||this.ctx[e][_declared]))throw this.error(new TomlError("Can't redefine existing key"));return this.ctx=this.ctx[e]=this.ctx[e]||Table(),this.ctx[_declared]=!0,this.next(this.parseWhitespaceToEOL)}if(this.char===CHAR_PERIOD){if(hasKey(this.ctx,e))if(isTable(this.ctx[e]))this.ctx=this.ctx[e];else{if(!isList(this.ctx[e]))throw this.error(new TomlError("Can't redefine existing key"));this.ctx=this.ctx[e][this.ctx[e].length-1]}else this.ctx=this.ctx[e]=Table();return this.next(this.parseTableNext)}throw this.error(new TomlError("Unexpected character, expected whitespace, . or ]"))}parseList(){return this.ctx=this.obj,this.goto(this.parseListNext)}parseListNext(){return this.char===CHAR_SP||this.char===CTRL_I?null:this.callNow(this.parseKeyword,this.parseListMore)}parseListMore(e){if(this.char===CHAR_SP||this.char===CTRL_I)return null;if(this.char===CHAR_RSQB){if(hasKey(this.ctx,e)||(this.ctx[e]=List()),isInlineList(this.ctx[e]))throw this.error(new TomlError("Can't extend an inline array"));if(!isList(this.ctx[e]))throw this.error(new TomlError("Can't redefine an existing key"));{const t=Table();this.ctx[e].push(t),this.ctx=t}return this.next(this.parseListEnd)}if(this.char===CHAR_PERIOD){if(hasKey(this.ctx,e)){if(isInlineList(this.ctx[e]))throw this.error(new TomlError("Can't extend an inline array"));if(isInlineTable(this.ctx[e]))throw this.error(new TomlError("Can't extend an inline table"));if(isList(this.ctx[e]))this.ctx=this.ctx[e][this.ctx[e].length-1];else{if(!isTable(this.ctx[e]))throw this.error(new TomlError("Can't redefine an existing key"));this.ctx=this.ctx[e]}}else this.ctx=this.ctx[e]=Table();return this.next(this.parseListNext)}throw this.error(new TomlError("Unexpected character, expected whitespace, . or ]"))}parseListEnd(e){if(this.char===CHAR_RSQB)return this.next(this.parseWhitespaceToEOL);throw this.error(new TomlError("Unexpected character, expected whitespace, . or ]"))}parseValue(){if(this.char===e.END)throw this.error(new TomlError("Key without value"));if(this.char===CHAR_QUOT)return this.next(this.parseDoubleString);if(this.char===CHAR_APOS)return this.next(this.parseSingleString);if(this.char===CHAR_HYPHEN||this.char===CHAR_PLUS)return this.goto(this.parseNumberSign);if(this.char===CHAR_i)return this.next(this.parseInf);if(this.char===CHAR_n)return this.next(this.parseNan);if(isDigit(this.char))return this.goto(this.parseNumberOrDateTime);if(this.char===CHAR_t||this.char===CHAR_f)return this.goto(this.parseBoolean);if(this.char===CHAR_LSQB)return this.call(this.parseInlineList,this.recordValue);if(this.char===CHAR_LCUB)return this.call(this.parseInlineTable,this.recordValue);throw this.error(new TomlError("Unexpected character, expecting string, number, datetime, boolean, inline array or inline table"))}recordValue(e){return this.returnNow(e)}parseInf(){if(this.char===CHAR_n)return this.next(this.parseInf2);throw this.error(new TomlError('Unexpected character, expected "inf", "+inf" or "-inf"'))}parseInf2(){if(this.char===CHAR_f)return"-"===this.state.buf?this.return(-1/0):this.return(1/0);throw this.error(new TomlError('Unexpected character, expected "inf", "+inf" or "-inf"'))}parseNan(){if(this.char===CHAR_a)return this.next(this.parseNan2);throw this.error(new TomlError('Unexpected character, expected "nan"'))}parseNan2(){if(this.char===CHAR_n)return this.return(NaN);throw this.error(new TomlError('Unexpected character, expected "nan"'))}parseKeyword(){return this.char===CHAR_QUOT?this.next(this.parseBasicString):this.char===CHAR_APOS?this.next(this.parseLiteralString):this.goto(this.parseBareKey)}parseBareKey(){do{if(this.char===e.END)throw this.error(new TomlError("Key ended without value"));if(!isAlphaNumHyphen(this.char)){if(0===this.state.buf.length)throw this.error(new TomlError("Empty bare keys are not allowed"));return this.returnNow()}this.consume()}while(this.nextChar())}parseSingleString(){return this.char===CHAR_APOS?this.next(this.parseLiteralMultiStringMaybe):this.goto(this.parseLiteralString)}parseLiteralString(){do{if(this.char===CHAR_APOS)return this.return();if(this.atEndOfLine())throw this.error(new TomlError("Unterminated string"));if(this.char===CHAR_DEL||this.char<=CTRL_CHAR_BOUNDARY&&this.char!==CTRL_I)throw this.errorControlCharInString();this.consume()}while(this.nextChar())}parseLiteralMultiStringMaybe(){return this.char===CHAR_APOS?this.next(this.parseLiteralMultiString):this.returnNow()}parseLiteralMultiString(){return this.char===CTRL_M?null:this.char===CTRL_J?this.next(this.parseLiteralMultiStringContent):this.goto(this.parseLiteralMultiStringContent)}parseLiteralMultiStringContent(){do{if(this.char===CHAR_APOS)return this.next(this.parseLiteralMultiEnd);if(this.char===e.END)throw this.error(new TomlError("Unterminated multi-line string"));if(this.char===CHAR_DEL||this.char<=CTRL_CHAR_BOUNDARY&&this.char!==CTRL_I&&this.char!==CTRL_J&&this.char!==CTRL_M)throw this.errorControlCharInString();this.consume()}while(this.nextChar())}parseLiteralMultiEnd(){return this.char===CHAR_APOS?this.next(this.parseLiteralMultiEnd2):(this.state.buf+="'",this.goto(this.parseLiteralMultiStringContent))}parseLiteralMultiEnd2(){return this.char===CHAR_APOS?this.return():(this.state.buf+="''",this.goto(this.parseLiteralMultiStringContent))}parseDoubleString(){return this.char===CHAR_QUOT?this.next(this.parseMultiStringMaybe):this.goto(this.parseBasicString)}parseBasicString(){do{if(this.char===CHAR_BSOL)return this.call(this.parseEscape,this.recordEscapeReplacement);if(this.char===CHAR_QUOT)return this.return();if(this.atEndOfLine())throw this.error(new TomlError("Unterminated string"));if(this.char===CHAR_DEL||this.char<=CTRL_CHAR_BOUNDARY&&this.char!==CTRL_I)throw this.errorControlCharInString();this.consume()}while(this.nextChar())}recordEscapeReplacement(e){return this.state.buf+=e,this.goto(this.parseBasicString)}parseMultiStringMaybe(){return this.char===CHAR_QUOT?this.next(this.parseMultiString):this.returnNow()}parseMultiString(){return this.char===CTRL_M?null:this.char===CTRL_J?this.next(this.parseMultiStringContent):this.goto(this.parseMultiStringContent)}parseMultiStringContent(){do{if(this.char===CHAR_BSOL)return this.call(this.parseMultiEscape,this.recordMultiEscapeReplacement);if(this.char===CHAR_QUOT)return this.next(this.parseMultiEnd);if(this.char===e.END)throw this.error(new TomlError("Unterminated multi-line string"));if(this.char===CHAR_DEL||this.char<=CTRL_CHAR_BOUNDARY&&this.char!==CTRL_I&&this.char!==CTRL_J&&this.char!==CTRL_M)throw this.errorControlCharInString();this.consume()}while(this.nextChar())}errorControlCharInString(){let e="\\u00";return this.char<16&&(e+="0"),e+=this.char.toString(16),this.error(new TomlError(`Control characters (codes < 0x1f and 0x7f) are not allowed in strings, use ${e} instead`))}recordMultiEscapeReplacement(e){return this.state.buf+=e,this.goto(this.parseMultiStringContent)}parseMultiEnd(){return this.char===CHAR_QUOT?this.next(this.parseMultiEnd2):(this.state.buf+='"',this.goto(this.parseMultiStringContent))}parseMultiEnd2(){return this.char===CHAR_QUOT?this.return():(this.state.buf+='""',this.goto(this.parseMultiStringContent))}parseMultiEscape(){return this.char===CTRL_M||this.char===CTRL_J?this.next(this.parseMultiTrim):this.char===CHAR_SP||this.char===CTRL_I?this.next(this.parsePreMultiTrim):this.goto(this.parseEscape)}parsePreMultiTrim(){if(this.char===CHAR_SP||this.char===CTRL_I)return null;if(this.char===CTRL_M||this.char===CTRL_J)return this.next(this.parseMultiTrim);throw this.error(new TomlError("Can't escape whitespace"))}parseMultiTrim(){return this.char===CTRL_J||this.char===CHAR_SP||this.char===CTRL_I||this.char===CTRL_M?null:this.returnNow()}parseEscape(){if(this.char in escapes)return this.return(escapes[this.char]);if(this.char===CHAR_u)return this.call(this.parseSmallUnicode,this.parseUnicodeReturn);if(this.char===CHAR_U)return this.call(this.parseLargeUnicode,this.parseUnicodeReturn);throw this.error(new TomlError("Unknown escape character: "+this.char))}parseUnicodeReturn(e){try{const t=parseInt(e,16);if(t>=SURROGATE_FIRST&&t<=SURROGATE_LAST)throw this.error(new TomlError("Invalid unicode, character in range 0xD800 - 0xDFFF is reserved"));return this.returnNow(String.fromCodePoint(t))}catch(e){throw this.error(TomlError.wrap(e))}}parseSmallUnicode(){if(!isHexit(this.char))throw this.error(new TomlError("Invalid character in unicode sequence, expected hex"));if(this.consume(),this.state.buf.length>=4)return this.return()}parseLargeUnicode(){if(!isHexit(this.char))throw this.error(new TomlError("Invalid character in unicode sequence, expected hex"));if(this.consume(),this.state.buf.length>=8)return this.return()}parseNumberSign(){return this.consume(),this.next(this.parseMaybeSignedInfOrNan)}parseMaybeSignedInfOrNan(){return this.char===CHAR_i?this.next(this.parseInf):this.char===CHAR_n?this.next(this.parseNan):this.callNow(this.parseNoUnder,this.parseNumberIntegerStart)}parseNumberIntegerStart(){return this.char===CHAR_0?(this.consume(),this.next(this.parseNumberIntegerExponentOrDecimal)):this.goto(this.parseNumberInteger)}parseNumberIntegerExponentOrDecimal(){return this.char===CHAR_PERIOD?(this.consume(),this.call(this.parseNoUnder,this.parseNumberFloat)):this.char===CHAR_E||this.char===CHAR_e?(this.consume(),this.next(this.parseNumberExponentSign)):this.returnNow(Integer(this.state.buf))}parseNumberInteger(){if(!isDigit(this.char)){if(this.char===CHAR_LOWBAR)return this.call(this.parseNoUnder);if(this.char===CHAR_E||this.char===CHAR_e)return this.consume(),this.next(this.parseNumberExponentSign);if(this.char===CHAR_PERIOD)return this.consume(),this.call(this.parseNoUnder,this.parseNumberFloat);{const e=Integer(this.state.buf);if(e.isNaN())throw this.error(new TomlError("Invalid number"));return this.returnNow(e)}}this.consume()}parseNoUnder(){if(this.char===CHAR_LOWBAR||this.char===CHAR_PERIOD||this.char===CHAR_E||this.char===CHAR_e)throw this.error(new TomlError("Unexpected character, expected digit"));if(this.atEndOfWord())throw this.error(new TomlError("Incomplete number"));return this.returnNow()}parseNoUnderHexOctBinLiteral(){if(this.char===CHAR_LOWBAR||this.char===CHAR_PERIOD)throw this.error(new TomlError("Unexpected character, expected digit"));if(this.atEndOfWord())throw this.error(new TomlError("Incomplete number"));return this.returnNow()}parseNumberFloat(){return this.char===CHAR_LOWBAR?this.call(this.parseNoUnder,this.parseNumberFloat):isDigit(this.char)?void this.consume():this.char===CHAR_E||this.char===CHAR_e?(this.consume(),this.next(this.parseNumberExponentSign)):this.returnNow(Float(this.state.buf))}parseNumberExponentSign(){if(isDigit(this.char))return this.goto(this.parseNumberExponent);if(this.char!==CHAR_HYPHEN&&this.char!==CHAR_PLUS)throw this.error(new TomlError("Unexpected character, expected -, + or digit"));this.consume(),this.call(this.parseNoUnder,this.parseNumberExponent)}parseNumberExponent(){if(!isDigit(this.char))return this.char===CHAR_LOWBAR?this.call(this.parseNoUnder):this.returnNow(Float(this.state.buf));this.consume()}parseNumberOrDateTime(){return this.char===CHAR_0?(this.consume(),this.next(this.parseNumberBaseOrDateTime)):this.goto(this.parseNumberOrDateTimeOnly)}parseNumberOrDateTimeOnly(){return this.char===CHAR_LOWBAR?this.call(this.parseNoUnder,this.parseNumberInteger):isDigit(this.char)?(this.consume(),void(this.state.buf.length>4&&this.next(this.parseNumberInteger))):this.char===CHAR_E||this.char===CHAR_e?(this.consume(),this.next(this.parseNumberExponentSign)):this.char===CHAR_PERIOD?(this.consume(),this.call(this.parseNoUnder,this.parseNumberFloat)):this.char===CHAR_HYPHEN?this.goto(this.parseDateTime):this.char===CHAR_COLON?this.goto(this.parseOnlyTimeHour):this.returnNow(Integer(this.state.buf))}parseDateTimeOnly(){if(this.state.buf.length<4){if(isDigit(this.char))return this.consume();if(this.char===CHAR_COLON)return this.goto(this.parseOnlyTimeHour);throw this.error(new TomlError("Expected digit while parsing year part of a date"))}if(this.char===CHAR_HYPHEN)return this.goto(this.parseDateTime);throw this.error(new TomlError("Expected hyphen (-) while parsing year part of date"))}parseNumberBaseOrDateTime(){return this.char===CHAR_b?(this.consume(),this.call(this.parseNoUnderHexOctBinLiteral,this.parseIntegerBin)):this.char===CHAR_o?(this.consume(),this.call(this.parseNoUnderHexOctBinLiteral,this.parseIntegerOct)):this.char===CHAR_x?(this.consume(),this.call(this.parseNoUnderHexOctBinLiteral,this.parseIntegerHex)):this.char===CHAR_PERIOD?this.goto(this.parseNumberInteger):isDigit(this.char)?this.goto(this.parseDateTimeOnly):this.returnNow(Integer(this.state.buf))}parseIntegerHex(){if(!isHexit(this.char)){if(this.char===CHAR_LOWBAR)return this.call(this.parseNoUnderHexOctBinLiteral);{const e=Integer(this.state.buf);if(e.isNaN())throw this.error(new TomlError("Invalid number"));return this.returnNow(e)}}this.consume()}parseIntegerOct(){if(!isOctit(this.char)){if(this.char===CHAR_LOWBAR)return this.call(this.parseNoUnderHexOctBinLiteral);{const e=Integer(this.state.buf);if(e.isNaN())throw this.error(new TomlError("Invalid number"));return this.returnNow(e)}}this.consume()}parseIntegerBin(){if(!isBit(this.char)){if(this.char===CHAR_LOWBAR)return this.call(this.parseNoUnderHexOctBinLiteral);{const e=Integer(this.state.buf);if(e.isNaN())throw this.error(new TomlError("Invalid number"));return this.returnNow(e)}}this.consume()}parseDateTime(){if(this.state.buf.length<4)throw this.error(new TomlError("Years less than 1000 must be zero padded to four characters"));return this.state.result=this.state.buf,this.state.buf="",this.next(this.parseDateMonth)}parseDateMonth(){if(this.char===CHAR_HYPHEN){if(this.state.buf.length<2)throw this.error(new TomlError("Months less than 10 must be zero padded to two characters"));return this.state.result+="-"+this.state.buf,this.state.buf="",this.next(this.parseDateDay)}if(!isDigit(this.char))throw this.error(new TomlError("Incomplete datetime"));this.consume()}parseDateDay(){if(this.char===CHAR_T||this.char===CHAR_SP){if(this.state.buf.length<2)throw this.error(new TomlError("Days less than 10 must be zero padded to two characters"));return this.state.result+="-"+this.state.buf,this.state.buf="",this.next(this.parseStartTimeHour)}if(this.atEndOfWord())return this.returnNow(createDate(this.state.result+"-"+this.state.buf));if(!isDigit(this.char))throw this.error(new TomlError("Incomplete datetime"));this.consume()}parseStartTimeHour(){return this.atEndOfWord()?this.returnNow(createDate(this.state.result)):this.goto(this.parseTimeHour)}parseTimeHour(){if(this.char===CHAR_COLON){if(this.state.buf.length<2)throw this.error(new TomlError("Hours less than 10 must be zero padded to two characters"));return this.state.result+="T"+this.state.buf,this.state.buf="",this.next(this.parseTimeMin)}if(!isDigit(this.char))throw this.error(new TomlError("Incomplete datetime"));this.consume()}parseTimeMin(){if(!(this.state.buf.length<2&&isDigit(this.char))){if(2===this.state.buf.length&&this.char===CHAR_COLON)return this.state.result+=":"+this.state.buf,this.state.buf="",this.next(this.parseTimeSec);throw this.error(new TomlError("Incomplete datetime"))}this.consume()}parseTimeSec(){if(!isDigit(this.char))throw this.error(new TomlError("Incomplete datetime"));if(this.consume(),2===this.state.buf.length)return this.state.result+=":"+this.state.buf,this.state.buf="",this.next(this.parseTimeZoneOrFraction)}parseOnlyTimeHour(){if(this.char===CHAR_COLON){if(this.state.buf.length<2)throw this.error(new TomlError("Hours less than 10 must be zero padded to two characters"));return this.state.result=this.state.buf,this.state.buf="",this.next(this.parseOnlyTimeMin)}throw this.error(new TomlError("Incomplete time"))}parseOnlyTimeMin(){if(!(this.state.buf.length<2&&isDigit(this.char))){if(2===this.state.buf.length&&this.char===CHAR_COLON)return this.state.result+=":"+this.state.buf,this.state.buf="",this.next(this.parseOnlyTimeSec);throw this.error(new TomlError("Incomplete time"))}this.consume()}parseOnlyTimeSec(){if(!isDigit(this.char))throw this.error(new TomlError("Incomplete time"));if(this.consume(),2===this.state.buf.length)return this.next(this.parseOnlyTimeFractionMaybe)}parseOnlyTimeFractionMaybe(){if(this.state.result+=":"+this.state.buf,this.char!==CHAR_PERIOD)return this.return(createTime(this.state.result));this.state.buf="",this.next(this.parseOnlyTimeFraction)}parseOnlyTimeFraction(){if(!isDigit(this.char)){if(this.atEndOfWord()){if(0===this.state.buf.length)throw this.error(new TomlError("Expected digit in milliseconds"));return this.returnNow(createTime(this.state.result+"."+this.state.buf))}throw this.error(new TomlError("Unexpected character in datetime, expected period (.), minus (-), plus (+) or Z"))}this.consume()}parseTimeZoneOrFraction(){if(this.char===CHAR_PERIOD)this.consume(),this.next(this.parseDateTimeFraction);else{if(this.char!==CHAR_HYPHEN&&this.char!==CHAR_PLUS){if(this.char===CHAR_Z)return this.consume(),this.return(createDateTime(this.state.result+this.state.buf));if(this.atEndOfWord())return this.returnNow(createDateTimeFloat(this.state.result+this.state.buf));throw this.error(new TomlError("Unexpected character in datetime, expected period (.), minus (-), plus (+) or Z"))}this.consume(),this.next(this.parseTimeZoneHour)}}parseDateTimeFraction(){if(isDigit(this.char))this.consume();else{if(1===this.state.buf.length)throw this.error(new TomlError("Expected digit in milliseconds"));if(this.char!==CHAR_HYPHEN&&this.char!==CHAR_PLUS){if(this.char===CHAR_Z)return this.consume(),this.return(createDateTime(this.state.result+this.state.buf));if(this.atEndOfWord())return this.returnNow(createDateTimeFloat(this.state.result+this.state.buf));throw this.error(new TomlError("Unexpected character in datetime, expected period (.), minus (-), plus (+) or Z"))}this.consume(),this.next(this.parseTimeZoneHour)}}parseTimeZoneHour(){if(!isDigit(this.char))throw this.error(new TomlError("Unexpected character in datetime, expected digit"));if(this.consume(),/\d\d$/.test(this.state.buf))return this.next(this.parseTimeZoneSep)}parseTimeZoneSep(){if(this.char!==CHAR_COLON)throw this.error(new TomlError("Unexpected character in datetime, expected colon"));this.consume(),this.next(this.parseTimeZoneMin)}parseTimeZoneMin(){if(!isDigit(this.char))throw this.error(new TomlError("Unexpected character in datetime, expected digit"));if(this.consume(),/\d\d$/.test(this.state.buf))return this.return(createDateTime(this.state.result+this.state.buf))}parseBoolean(){return this.char===CHAR_t?(this.consume(),this.next(this.parseTrue_r)):this.char===CHAR_f?(this.consume(),this.next(this.parseFalse_a)):void 0}parseTrue_r(){if(this.char===CHAR_r)return this.consume(),this.next(this.parseTrue_u);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseTrue_u(){if(this.char===CHAR_u)return this.consume(),this.next(this.parseTrue_e);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseTrue_e(){if(this.char===CHAR_e)return this.return(!0);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseFalse_a(){if(this.char===CHAR_a)return this.consume(),this.next(this.parseFalse_l);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseFalse_l(){if(this.char===CHAR_l)return this.consume(),this.next(this.parseFalse_s);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseFalse_s(){if(this.char===CHAR_s)return this.consume(),this.next(this.parseFalse_e);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseFalse_e(){if(this.char===CHAR_e)return this.return(!1);throw this.error(new TomlError("Invalid boolean, expected true or false"))}parseInlineList(){if(this.char===CHAR_SP||this.char===CTRL_I||this.char===CTRL_M||this.char===CTRL_J)return null;if(this.char===e.END)throw this.error(new TomlError("Unterminated inline array"));return this.char===CHAR_NUM?this.call(this.parseComment):this.char===CHAR_RSQB?this.return(this.state.resultArr||InlineList()):this.callNow(this.parseValue,this.recordInlineListValue)}recordInlineListValue(e){if(this.state.resultArr){const t=this.state.resultArr[_contentType],n=tomlType(e);if(t!==n)throw this.error(new TomlError(`Inline lists must be a single type, not a mix of ${t} and ${n}`))}else this.state.resultArr=InlineList(tomlType(e));return isFloat(e)||isInteger(e)?this.state.resultArr.push(e.valueOf()):this.state.resultArr.push(e),this.goto(this.parseInlineListNext)}parseInlineListNext(){if(this.char===CHAR_SP||this.char===CTRL_I||this.char===CTRL_M||this.char===CTRL_J)return null;if(this.char===CHAR_NUM)return this.call(this.parseComment);if(this.char===CHAR_COMMA)return this.next(this.parseInlineList);if(this.char===CHAR_RSQB)return this.goto(this.parseInlineList);throw this.error(new TomlError("Invalid character, expected whitespace, comma (,) or close bracket (])"))}parseInlineTable(){if(this.char===CHAR_SP||this.char===CTRL_I)return null;if(this.char===e.END||this.char===CHAR_NUM||this.char===CTRL_J||this.char===CTRL_M)throw this.error(new TomlError("Unterminated inline array"));return this.char===CHAR_RCUB?this.return(this.state.resultTable||InlineTable()):(this.state.resultTable||(this.state.resultTable=InlineTable()),this.callNow(this.parseAssign,this.recordInlineTableValue))}recordInlineTableValue(e){let t=this.state.resultTable,n=e.key.pop();for(let n of e.key){if(hasKey(t,n)&&(!isTable(t[n])||t[n][_declared]))throw this.error(new TomlError("Can't redefine existing key"));t=t[n]=t[n]||Table()}if(hasKey(t,n))throw this.error(new TomlError("Can't redefine existing key"));return isInteger(e.value)||isFloat(e.value)?t[n]=e.value.valueOf():t[n]=e.value,this.goto(this.parseInlineTableNext)}parseInlineTableNext(){if(this.char===CHAR_SP||this.char===CTRL_I)return null;if(this.char===e.END||this.char===CHAR_NUM||this.char===CTRL_J||this.char===CTRL_M)throw this.error(new TomlError("Unterminated inline array"));if(this.char===CHAR_COMMA)return this.next(this.parseInlineTable);if(this.char===CHAR_RCUB)return this.goto(this.parseInlineTable);throw this.error(new TomlError("Invalid character, expected whitespace, comma (,) or close bracket (])"))}}}},66615:(e,t,n)=>{"use strict";e.exports=function(e,t){t||(t={});const n=t.blocksize||40960,o=new r;return new Promise(((e,t)=>{setImmediate(a,0,n,e,t)}));function a(t,n,r,s){if(t>=e.length)try{return r(o.finish())}catch(t){return s(i(t,e))}try{o.parse(e.slice(t,t+n)),setImmediate(a,t+n,n,r,s)}catch(t){s(i(t,e))}}};const r=n(77146),i=n(53146)},53146:e=>{"use strict";e.exports=function(e,t){if(null==e.pos||null==e.line)return e;let n=e.message;if(n+=` at row ${e.line+1}, col ${e.col+1}, pos ${e.pos}:\n`,t&&t.split){const r=t.split(/\n/),i=String(Math.min(r.length,e.line+3)).length;let o=" ";for(;o.length<i;)o+=" ";for(let t=Math.max(0,e.line-1);t<Math.min(r.length,e.line+2);++t){let a=String(t+1);if(a.length<i&&(a=" "+a),e.line===t){n+=a+"> "+r[t]+"\n",n+=o+" ";for(let t=0;t<e.col;++t)n+=" ";n+="^\n"}else n+=a+": "+r[t]+"\n"}}return e.message=n+"\n",e}},24312:(e,t,n)=>{"use strict";e.exports=function(e){return e?function(e){const t=new i;return e.setEncoding("utf8"),new Promise(((n,r)=>{let i,o=!1,a=!1;function s(){if(o=!0,!i)try{n(t.finish())}catch(e){r(e)}}function l(e){a=!0,r(e)}e.once("end",s),e.once("error",l),function n(){let r;for(i=!0;null!==(r=e.read());)try{t.parse(r)}catch(e){return l(e)}if(i=!1,o)return s();a||e.once("readable",n)}()}))}(e):function(){const e=new i;return new r.Transform({objectMode:!0,transform(t,n,r){try{e.parse(t.toString(n))}catch(e){this.emit("error",e)}r()},flush(t){try{this.push(e.finish())}catch(e){this.emit("error",e)}t()}})}()};const r=n(54851),i=n(77146)},13475:(e,t,n)=>{"use strict";e.exports=function(e){n.g.Buffer&&n.g.Buffer.isBuffer(e)&&(e=e.toString("utf8"));const t=new r;try{return t.parse(e),t.finish()}catch(t){throw i(t,e)}};const r=n(77146),i=n(53146)},53279:(e,t,n)=>{"use strict";e.exports=n(13475),e.exports.async=n(66615),e.exports.stream=n(24312),e.exports.prettyError=n(53146)},57573:e=>{"use strict";function t(e){return new Error("Can only stringify objects, not "+e)}function n(e){return Object.keys(e).filter((t=>o(e[t])))}function r(e){let t=Array.isArray(e)?[]:Object.prototype.hasOwnProperty.call(e,"__proto__")?{["__proto__"]:void 0}:{};for(let n of Object.keys(e))e[n]&&"function"==typeof e[n].toJSON&&!("toISOString"in e[n])?t[n]=e[n].toJSON():t[n]=e[n];return t}function i(e,l,u){var d,p;d=n(u=r(u)),p=function(e){return Object.keys(e).filter((t=>!o(e[t])))}(u);var h=[],m=l||"";d.forEach((e=>{var t=a(u[e]);"undefined"!==t&&"null"!==t&&h.push(m+s(e)+" = "+c(u[e],!0))})),h.length>0&&h.push("");var g=e&&d.length>0?l+" ":"";return p.forEach((o=>{h.push(function(e,o,l,u){var c=a(u);if("array"===c)return function(e,n,o,l){f(l=r(l));var u=a(l[0]);if("table"!==u)throw t(u);var c=e+s(o),d="";return l.forEach((e=>{d.length>0&&(d+="\n"),d+=n+"[["+c+"]]\n",d+=i(c+".",n,e)})),d}(e,o,l,u);if("table"===c)return function(e,t,r,o){var a=e+s(r),l="";return n(o).length>0&&(l+=t+"["+a+"]\n"),l+i(a+".",t,o)}(e,o,l,u);throw t(c)}(e,g,o,u[o]))})),h.join("\n")}function o(e){switch(a(e)){case"undefined":case"null":case"integer":case"nan":case"float":case"boolean":case"string":case"datetime":return!0;case"array":return 0===e.length||"table"!==a(e[0]);case"table":return 0===Object.keys(e).length;default:return!1}}function a(e){return void 0===e?"undefined":null===e?"null":"bigint"==typeof e||Number.isInteger(e)&&!Object.is(e,-0)?"integer":"number"==typeof e?"float":"boolean"==typeof e?"boolean":"string"==typeof e?"string":"toISOString"in e?isNaN(e)?"undefined":"datetime":Array.isArray(e)?"array":"table"}function s(e){var t=String(e);return/^[-A-Za-z0-9_]+$/.test(t)?t:l(t)}function l(e){return'"'+u(e).replace(/"/g,'\\"')+'"'}function u(e){return e.replace(/\\/g,"\\\\").replace(/[\b]/g,"\\b").replace(/\t/g,"\\t").replace(/\n/g,"\\n").replace(/\f/g,"\\f").replace(/\r/g,"\\r").replace(/([\u0000-\u001f\u007f])/,(e=>"\\u"+function(e,t){for(;t.length<4;)t="0"+t;return t}(0,e.codePointAt(0).toString(16))))}function c(e,t){let n=a(e);return"string"===n&&(t&&/\n/.test(e)?n="string-multiline":!/[\b\t\n\f\r']/.test(e)&&/"/.test(e)&&(n="string-literal")),d(e,n)}function d(e,n){switch(n||(n=a(e)),n){case"string-multiline":return function(e){let t=e.split(/\n/).map((e=>u(e).replace(/"(?="")/g,'\\"'))).join("\n");return'"'===t.slice(-1)&&(t+="\\\n"),'"""\n'+t+'"""'}(e);case"string":return l(e);case"string-literal":return"'"+e+"'";case"integer":return p(e);case"float":return function(e){if(e===1/0)return"inf";if(e===-1/0)return"-inf";if(Object.is(e,NaN))return"nan";if(Object.is(e,-0))return"-0.0";var t=String(e).split("."),n=t[0],r=t[1]||0;return p(n)+"."+r}(e);case"boolean":return function(e){return String(e)}(e);case"datetime":return function(e){return e.toISOString()}(e);case"array":return function(e){const t=f(e=r(e));var n="[",i=e.map((e=>d(e,t)));return i.join(", ").length>60||/\n/.test(i)?n+="\n "+i.join(",\n ")+"\n":n+=" "+i.join(", ")+(i.length>0?" ":""),n+"]"}(e.filter((e=>"null"!==a(e)&&"undefined"!==a(e)&&"nan"!==a(e))));case"table":return function(e){e=r(e);var t=[];return Object.keys(e).forEach((n=>{t.push(s(n)+" = "+c(e[n],!1))})),"{ "+t.join(", ")+(t.length>0?" ":"")+"}"}(e);default:throw t(n)}}function p(e){return String(e).replace(/\B(?=(\d{3})+(?!\d))/g,"_")}function f(e){const t=function(e){var t=a(e[0]);return e.every((e=>a(e)===t))?t:e.every((e=>{return"float"===(t=a(e))||"integer"===t;var t}))?"float":"mixed"}(e);if("mixed"===t)throw new Error("Array values can't have mixed types");return t}e.exports=function(e){if(null===e)throw t("null");if(void 0===e)throw t("undefined");if("object"!=typeof e)throw t(typeof e);if("function"==typeof e.toJSON&&(e=e.toJSON()),null==e)return null;const n=a(e);if("table"!==n)throw t(n);return i("","",e)},e.exports.value=d},6901:(e,t,n)=>{"use strict";t.parse=n(53279),t.stringify=n(57573)},47988:(e,t,n)=>{"use strict";var r,i=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},o=(r=n(2784))&&r.__esModule?r:{default:r};t.Z=function(e){var t=e.fill,n=void 0===t?"currentColor":t,r=e.width,a=void 0===r?24:r,s=e.height,l=void 0===s?24:s,u=e.style,c=void 0===u?{}:u,d=function(e,t){var n={};for(var r in e)t.indexOf(r)>=0||Object.prototype.hasOwnProperty.call(e,r)&&(n[r]=e[r]);return n}(e,["fill","width","height","style"]);return o.default.createElement("svg",i({viewBox:"0 0 24 24",style:i({fill:n,width:a,height:l},c)},d),o.default.createElement("path",{d:"M21,7L9,19L3.5,13.5L4.91,12.09L9,16.17L19.59,5.59L21,7Z"}))}},16331:(e,t,n)=>{"use strict";var r,i=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},o=(r=n(2784))&&r.__esModule?r:{default:r};t.Z=function(e){var t=e.fill,n=void 0===t?"currentColor":t,r=e.width,a=void 0===r?24:r,s=e.height,l=void 0===s?24:s,u=e.style,c=void 0===u?{}:u,d=function(e,t){var n={};for(var r in e)t.indexOf(r)>=0||Object.prototype.hasOwnProperty.call(e,r)&&(n[r]=e[r]);return n}(e,["fill","width","height","style"]);return o.default.createElement("svg",i({viewBox:"0 0 24 24",style:i({fill:n,width:a,height:l},c)},d),o.default.createElement("path",{d:"M12,18.17L8.83,15L7.42,16.41L12,21L16.59,16.41L15.17,15M12,5.83L15.17,9L16.58,7.59L12,3L7.41,7.59L8.83,9L12,5.83Z"}))}},16336:e=>{e.exports={__schema:{types:[{kind:"INTERFACE",name:"Node",possibleTypes:[{name:"AddedToProjectEvent"},{name:"App"},{name:"AssignedEvent"},{name:"BaseRefChangedEvent"},{name:"BaseRefForcePushedEvent"},{name:"Blob"},{name:"Bot"},{name:"BranchProtectionRule"},{name:"ClosedEvent"},{name:"CodeOfConduct"},{name:"CommentDeletedEvent"},{name:"Commit"},{name:"CommitComment"},{name:"CommitCommentThread"},{name:"ConvertedNoteToIssueEvent"},{name:"CrossReferencedEvent"},{name:"DemilestonedEvent"},{name:"DeployKey"},{name:"DeployedEvent"},{name:"Deployment"},{name:"DeploymentEnvironmentChangedEvent"},{name:"DeploymentStatus"},{name:"ExternalIdentity"},{name:"Gist"},{name:"GistComment"},{name:"HeadRefDeletedEvent"},{name:"HeadRefForcePushedEvent"},{name:"HeadRefRestoredEvent"},{name:"Issue"},{name:"IssueComment"},{name:"Label"},{name:"LabeledEvent"},{name:"Language"},{name:"License"},{name:"LockedEvent"},{name:"Mannequin"},{name:"MarketplaceCategory"},{name:"MarketplaceListing"},{name:"MentionedEvent"},{name:"MergedEvent"},{name:"Milestone"},{name:"MilestonedEvent"},{name:"MovedColumnsInProjectEvent"},{name:"Organization"},{name:"OrganizationIdentityProvider"},{name:"OrganizationInvitation"},{name:"PinnedEvent"},{name:"Project"},{name:"ProjectCard"},{name:"ProjectColumn"},{name:"PublicKey"},{name:"PullRequest"},{name:"PullRequestCommit"},{name:"PullRequestCommitCommentThread"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"},{name:"PullRequestReviewThread"},{name:"PushAllowance"},{name:"Reaction"},{name:"ReadyForReviewEvent"},{name:"Ref"},{name:"ReferencedEvent"},{name:"RegistryPackage"},{name:"RegistryPackageDependency"},{name:"RegistryPackageFile"},{name:"RegistryPackageTag"},{name:"RegistryPackageVersion"},{name:"Release"},{name:"ReleaseAsset"},{name:"RemovedFromProjectEvent"},{name:"RenamedTitleEvent"},{name:"ReopenedEvent"},{name:"Repository"},{name:"RepositoryInvitation"},{name:"RepositoryTopic"},{name:"ReviewDismissalAllowance"},{name:"ReviewDismissedEvent"},{name:"ReviewRequest"},{name:"ReviewRequestRemovedEvent"},{name:"ReviewRequestedEvent"},{name:"SavedReply"},{name:"SecurityAdvisory"},{name:"SponsorsListing"},{name:"Sponsorship"},{name:"Status"},{name:"StatusContext"},{name:"SubscribedEvent"},{name:"Tag"},{name:"Team"},{name:"Topic"},{name:"TransferredEvent"},{name:"Tree"},{name:"UnassignedEvent"},{name:"UnlabeledEvent"},{name:"UnlockedEvent"},{name:"UnpinnedEvent"},{name:"UnsubscribedEvent"},{name:"User"},{name:"UserBlockedEvent"},{name:"UserContentEdit"},{name:"UserStatus"}]},{kind:"INTERFACE",name:"UniformResourceLocatable",possibleTypes:[{name:"Bot"},{name:"ClosedEvent"},{name:"Commit"},{name:"CrossReferencedEvent"},{name:"Gist"},{name:"Issue"},{name:"Mannequin"},{name:"MergedEvent"},{name:"Milestone"},{name:"Organization"},{name:"PullRequest"},{name:"PullRequestCommit"},{name:"ReadyForReviewEvent"},{name:"Release"},{name:"Repository"},{name:"RepositoryTopic"},{name:"ReviewDismissedEvent"},{name:"User"}]},{kind:"INTERFACE",name:"Actor",possibleTypes:[{name:"Bot"},{name:"Mannequin"},{name:"Organization"},{name:"User"}]},{kind:"INTERFACE",name:"RegistryPackageOwner",possibleTypes:[{name:"Organization"},{name:"Repository"},{name:"User"}]},{kind:"INTERFACE",name:"ProjectOwner",possibleTypes:[{name:"Organization"},{name:"Repository"},{name:"User"}]},{kind:"INTERFACE",name:"Closable",possibleTypes:[{name:"Issue"},{name:"Milestone"},{name:"Project"},{name:"PullRequest"}]},{kind:"INTERFACE",name:"Updatable",possibleTypes:[{name:"CommitComment"},{name:"GistComment"},{name:"Issue"},{name:"IssueComment"},{name:"Project"},{name:"PullRequest"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"}]},{kind:"UNION",name:"ProjectCardItem",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"INTERFACE",name:"Assignable",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"INTERFACE",name:"Comment",possibleTypes:[{name:"CommitComment"},{name:"GistComment"},{name:"Issue"},{name:"IssueComment"},{name:"PullRequest"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"}]},{kind:"INTERFACE",name:"UpdatableComment",possibleTypes:[{name:"CommitComment"},{name:"GistComment"},{name:"Issue"},{name:"IssueComment"},{name:"PullRequest"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"}]},{kind:"INTERFACE",name:"Labelable",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"INTERFACE",name:"Lockable",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"INTERFACE",name:"RegistryPackageSearch",possibleTypes:[{name:"Organization"},{name:"User"}]},{kind:"INTERFACE",name:"RepositoryOwner",possibleTypes:[{name:"Organization"},{name:"User"}]},{kind:"INTERFACE",name:"MemberStatusable",possibleTypes:[{name:"Organization"},{name:"Team"}]},{kind:"INTERFACE",name:"ProfileOwner",possibleTypes:[{name:"Organization"},{name:"User"}]},{kind:"UNION",name:"PinnableItem",possibleTypes:[{name:"Gist"},{name:"Repository"}]},{kind:"INTERFACE",name:"Starrable",possibleTypes:[{name:"Gist"},{name:"Repository"},{name:"Topic"}]},{kind:"INTERFACE",name:"RepositoryInfo",possibleTypes:[{name:"Repository"}]},{kind:"INTERFACE",name:"GitObject",possibleTypes:[{name:"Blob"},{name:"Commit"},{name:"Tag"},{name:"Tree"}]},{kind:"INTERFACE",name:"RepositoryNode",possibleTypes:[{name:"CommitComment"},{name:"CommitCommentThread"},{name:"Issue"},{name:"IssueComment"},{name:"PullRequest"},{name:"PullRequestCommitCommentThread"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"}]},{kind:"INTERFACE",name:"Subscribable",possibleTypes:[{name:"Commit"},{name:"Issue"},{name:"PullRequest"},{name:"Repository"},{name:"Team"}]},{kind:"INTERFACE",name:"Deletable",possibleTypes:[{name:"CommitComment"},{name:"GistComment"},{name:"IssueComment"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"}]},{kind:"INTERFACE",name:"Reactable",possibleTypes:[{name:"CommitComment"},{name:"Issue"},{name:"IssueComment"},{name:"PullRequest"},{name:"PullRequestReview"},{name:"PullRequestReviewComment"}]},{kind:"INTERFACE",name:"GitSignature",possibleTypes:[{name:"GpgSignature"},{name:"SmimeSignature"},{name:"UnknownSignature"}]},{kind:"UNION",name:"RequestedReviewer",possibleTypes:[{name:"User"},{name:"Team"},{name:"Mannequin"}]},{kind:"UNION",name:"PullRequestTimelineItem",possibleTypes:[{name:"Commit"},{name:"CommitCommentThread"},{name:"PullRequestReview"},{name:"PullRequestReviewThread"},{name:"PullRequestReviewComment"},{name:"IssueComment"},{name:"ClosedEvent"},{name:"ReopenedEvent"},{name:"SubscribedEvent"},{name:"UnsubscribedEvent"},{name:"MergedEvent"},{name:"ReferencedEvent"},{name:"CrossReferencedEvent"},{name:"AssignedEvent"},{name:"UnassignedEvent"},{name:"LabeledEvent"},{name:"UnlabeledEvent"},{name:"MilestonedEvent"},{name:"DemilestonedEvent"},{name:"RenamedTitleEvent"},{name:"LockedEvent"},{name:"UnlockedEvent"},{name:"DeployedEvent"},{name:"DeploymentEnvironmentChangedEvent"},{name:"HeadRefDeletedEvent"},{name:"HeadRefRestoredEvent"},{name:"HeadRefForcePushedEvent"},{name:"BaseRefForcePushedEvent"},{name:"ReviewRequestedEvent"},{name:"ReviewRequestRemovedEvent"},{name:"ReviewDismissedEvent"},{name:"UserBlockedEvent"}]},{kind:"UNION",name:"Closer",possibleTypes:[{name:"Commit"},{name:"PullRequest"}]},{kind:"UNION",name:"ReferencedSubject",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"UNION",name:"Assignee",possibleTypes:[{name:"Bot"},{name:"Mannequin"},{name:"Organization"},{name:"User"}]},{kind:"UNION",name:"MilestoneItem",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"UNION",name:"RenamedTitleSubject",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"UNION",name:"PullRequestTimelineItems",possibleTypes:[{name:"PullRequestCommit"},{name:"PullRequestCommitCommentThread"},{name:"PullRequestReview"},{name:"PullRequestReviewThread"},{name:"PullRequestRevisionMarker"},{name:"BaseRefChangedEvent"},{name:"BaseRefForcePushedEvent"},{name:"DeployedEvent"},{name:"DeploymentEnvironmentChangedEvent"},{name:"HeadRefDeletedEvent"},{name:"HeadRefForcePushedEvent"},{name:"HeadRefRestoredEvent"},{name:"MergedEvent"},{name:"ReviewDismissedEvent"},{name:"ReviewRequestedEvent"},{name:"ReviewRequestRemovedEvent"},{name:"ReadyForReviewEvent"},{name:"IssueComment"},{name:"CrossReferencedEvent"},{name:"AddedToProjectEvent"},{name:"AssignedEvent"},{name:"ClosedEvent"},{name:"CommentDeletedEvent"},{name:"ConvertedNoteToIssueEvent"},{name:"DemilestonedEvent"},{name:"LabeledEvent"},{name:"LockedEvent"},{name:"MentionedEvent"},{name:"MilestonedEvent"},{name:"MovedColumnsInProjectEvent"},{name:"PinnedEvent"},{name:"ReferencedEvent"},{name:"RemovedFromProjectEvent"},{name:"RenamedTitleEvent"},{name:"ReopenedEvent"},{name:"SubscribedEvent"},{name:"TransferredEvent"},{name:"UnassignedEvent"},{name:"UnlabeledEvent"},{name:"UnlockedEvent"},{name:"UserBlockedEvent"},{name:"UnpinnedEvent"},{name:"UnsubscribedEvent"}]},{kind:"UNION",name:"IssueOrPullRequest",possibleTypes:[{name:"Issue"},{name:"PullRequest"}]},{kind:"UNION",name:"IssueTimelineItem",possibleTypes:[{name:"Commit"},{name:"IssueComment"},{name:"CrossReferencedEvent"},{name:"ClosedEvent"},{name:"ReopenedEvent"},{name:"SubscribedEvent"},{name:"UnsubscribedEvent"},{name:"ReferencedEvent"},{name:"AssignedEvent"},{name:"UnassignedEvent"},{name:"LabeledEvent"},{name:"UnlabeledEvent"},{name:"UserBlockedEvent"},{name:"MilestonedEvent"},{name:"DemilestonedEvent"},{name:"RenamedTitleEvent"},{name:"LockedEvent"},{name:"UnlockedEvent"},{name:"TransferredEvent"}]},{kind:"UNION",name:"IssueTimelineItems",possibleTypes:[{name:"IssueComment"},{name:"CrossReferencedEvent"},{name:"AddedToProjectEvent"},{name:"AssignedEvent"},{name:"ClosedEvent"},{name:"CommentDeletedEvent"},{name:"ConvertedNoteToIssueEvent"},{name:"DemilestonedEvent"},{name:"LabeledEvent"},{name:"LockedEvent"},{name:"MentionedEvent"},{name:"MilestonedEvent"},{name:"MovedColumnsInProjectEvent"},{name:"PinnedEvent"},{name:"ReferencedEvent"},{name:"RemovedFromProjectEvent"},{name:"RenamedTitleEvent"},{name:"ReopenedEvent"},{name:"SubscribedEvent"},{name:"TransferredEvent"},{name:"UnassignedEvent"},{name:"UnlabeledEvent"},{name:"UnlockedEvent"},{name:"UserBlockedEvent"},{name:"UnpinnedEvent"},{name:"UnsubscribedEvent"}]},{kind:"UNION",name:"ReviewDismissalAllowanceActor",possibleTypes:[{name:"User"},{name:"Team"}]},{kind:"UNION",name:"PushAllowanceActor",possibleTypes:[{name:"User"},{name:"Team"}]},{kind:"UNION",name:"PermissionGranter",possibleTypes:[{name:"Organization"},{name:"Repository"},{name:"Team"}]},{kind:"INTERFACE",name:"Sponsorable",possibleTypes:[{name:"User"}]},{kind:"INTERFACE",name:"Contribution",possibleTypes:[{name:"CreatedCommitContribution"},{name:"CreatedIssueContribution"},{name:"CreatedPullRequestContribution"},{name:"CreatedPullRequestReviewContribution"},{name:"CreatedRepositoryContribution"},{name:"JoinedGitHubContribution"},{name:"RestrictedContribution"}]},{kind:"UNION",name:"CreatedRepositoryOrRestrictedContribution",possibleTypes:[{name:"CreatedRepositoryContribution"},{name:"RestrictedContribution"}]},{kind:"UNION",name:"CreatedIssueOrRestrictedContribution",possibleTypes:[{name:"CreatedIssueContribution"},{name:"RestrictedContribution"}]},{kind:"UNION",name:"CreatedPullRequestOrRestrictedContribution",possibleTypes:[{name:"CreatedPullRequestContribution"},{name:"RestrictedContribution"}]},{kind:"UNION",name:"SearchResultItem",possibleTypes:[{name:"Issue"},{name:"PullRequest"},{name:"Repository"},{name:"User"},{name:"Organization"},{name:"MarketplaceListing"},{name:"App"}]},{kind:"UNION",name:"CollectionItemContent",possibleTypes:[{name:"Repository"},{name:"Organization"},{name:"User"}]}]}}},87683:e=>{"use strict";e.exports=function(e){if(e)throw e}},82294:e=>{"use strict";function t(e,t,i){e instanceof RegExp&&(e=n(e,i)),t instanceof RegExp&&(t=n(t,i));var o=r(e,t,i);return o&&{start:o[0],end:o[1],pre:i.slice(0,o[0]),body:i.slice(o[0]+e.length,o[1]),post:i.slice(o[1]+t.length)}}function n(e,t){var n=t.match(e);return n?n[0]:null}function r(e,t,n){var r,i,o,a,s,l=n.indexOf(e),u=n.indexOf(t,l+1),c=l;if(l>=0&&u>0){if(e===t)return[l,u];for(r=[],o=n.length;c>=0&&!s;)c==l?(r.push(c),l=n.indexOf(e,c+1)):1==r.length?s=[r.pop(),u]:((i=r.pop())<o&&(o=i,a=u),u=n.indexOf(t,c+1)),c=l<u&&l>=0?l:u;r.length&&(s=[o,a])}return s}e.exports=t,t.range=r},95766:(e,t)=>{"use strict";t.byteLength=function(e){var t=s(e),n=t[0],r=t[1];return 3*(n+r)/4-r},t.toByteArray=function(e){var t,n,o=s(e),a=o[0],l=o[1],u=new i(function(e,t,n){return 3*(t+n)/4-n}(0,a,l)),c=0,d=l>0?a-4:a;for(n=0;n<d;n+=4)t=r[e.charCodeAt(n)]<<18|r[e.charCodeAt(n+1)]<<12|r[e.charCodeAt(n+2)]<<6|r[e.charCodeAt(n+3)],u[c++]=t>>16&255,u[c++]=t>>8&255,u[c++]=255&t;return 2===l&&(t=r[e.charCodeAt(n)]<<2|r[e.charCodeAt(n+1)]>>4,u[c++]=255&t),1===l&&(t=r[e.charCodeAt(n)]<<10|r[e.charCodeAt(n+1)]<<4|r[e.charCodeAt(n+2)]>>2,u[c++]=t>>8&255,u[c++]=255&t),u},t.fromByteArray=function(e){for(var t,r=e.length,i=r%3,o=[],a=16383,s=0,u=r-i;s<u;s+=a)o.push(l(e,s,s+a>u?u:s+a));return 1===i?(t=e[r-1],o.push(n[t>>2]+n[t<<4&63]+"==")):2===i&&(t=(e[r-2]<<8)+e[r-1],o.push(n[t>>10]+n[t>>4&63]+n[t<<2&63]+"=")),o.join("")};for(var n=[],r=[],i="undefined"!=typeof Uint8Array?Uint8Array:Array,o="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",a=0;a<64;++a)n[a]=o[a],r[o.charCodeAt(a)]=a;function s(e){var t=e.length;if(t%4>0)throw new Error("Invalid string. Length must be a multiple of 4");var n=e.indexOf("=");return-1===n&&(n=t),[n,n===t?0:4-n%4]}function l(e,t,r){for(var i,o,a=[],s=t;s<r;s+=3)i=(e[s]<<16&16711680)+(e[s+1]<<8&65280)+(255&e[s+2]),a.push(n[(o=i)>>18&63]+n[o>>12&63]+n[o>>6&63]+n[63&o]);return a.join("")}r["-".charCodeAt(0)]=62,r["_".charCodeAt(0)]=63},48834:(e,t,n)=>{"use strict";const r=n(95766),i=n(62333),o="function"==typeof Symbol&&"function"==typeof Symbol.for?Symbol.for("nodejs.util.inspect.custom"):null;t.Buffer=l,t.SlowBuffer=function(e){return+e!=e&&(e=0),l.alloc(+e)},t.INSPECT_MAX_BYTES=50;const a=2147483647;function s(e){if(e>a)throw new RangeError('The value "'+e+'" is invalid for option "size"');const t=new Uint8Array(e);return Object.setPrototypeOf(t,l.prototype),t}function l(e,t,n){if("number"==typeof e){if("string"==typeof t)throw new TypeError('The "string" argument must be of type string. Received type number');return d(e)}return u(e,t,n)}function u(e,t,n){if("string"==typeof e)return function(e,t){if("string"==typeof t&&""!==t||(t="utf8"),!l.isEncoding(t))throw new TypeError("Unknown encoding: "+t);const n=0|m(e,t);let r=s(n);const i=r.write(e,t);return i!==n&&(r=r.slice(0,i)),r}(e,t);if(ArrayBuffer.isView(e))return function(e){if(Y(e,Uint8Array)){const t=new Uint8Array(e);return f(t.buffer,t.byteOffset,t.byteLength)}return p(e)}(e);if(null==e)throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof e);if(Y(e,ArrayBuffer)||e&&Y(e.buffer,ArrayBuffer))return f(e,t,n);if("undefined"!=typeof SharedArrayBuffer&&(Y(e,SharedArrayBuffer)||e&&Y(e.buffer,SharedArrayBuffer)))return f(e,t,n);if("number"==typeof e)throw new TypeError('The "value" argument must not be of type number. Received type number');const r=e.valueOf&&e.valueOf();if(null!=r&&r!==e)return l.from(r,t,n);const i=function(e){if(l.isBuffer(e)){const t=0|h(e.length),n=s(t);return 0===n.length||e.copy(n,0,0,t),n}return void 0!==e.length?"number"!=typeof e.length||Z(e.length)?s(0):p(e):"Buffer"===e.type&&Array.isArray(e.data)?p(e.data):void 0}(e);if(i)return i;if("undefined"!=typeof Symbol&&null!=Symbol.toPrimitive&&"function"==typeof e[Symbol.toPrimitive])return l.from(e[Symbol.toPrimitive]("string"),t,n);throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof e)}function c(e){if("number"!=typeof e)throw new TypeError('"size" argument must be of type number');if(e<0)throw new RangeError('The value "'+e+'" is invalid for option "size"')}function d(e){return c(e),s(e<0?0:0|h(e))}function p(e){const t=e.length<0?0:0|h(e.length),n=s(t);for(let r=0;r<t;r+=1)n[r]=255&e[r];return n}function f(e,t,n){if(t<0||e.byteLength<t)throw new RangeError('"offset" is outside of buffer bounds');if(e.byteLength<t+(n||0))throw new RangeError('"length" is outside of buffer bounds');let r;return r=void 0===t&&void 0===n?new Uint8Array(e):void 0===n?new Uint8Array(e,t):new Uint8Array(e,t,n),Object.setPrototypeOf(r,l.prototype),r}function h(e){if(e>=a)throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+a.toString(16)+" bytes");return 0|e}function m(e,t){if(l.isBuffer(e))return e.length;if(ArrayBuffer.isView(e)||Y(e,ArrayBuffer))return e.byteLength;if("string"!=typeof e)throw new TypeError('The "string" argument must be one of type string, Buffer, or ArrayBuffer. Received type '+typeof e);const n=e.length,r=arguments.length>2&&!0===arguments[2];if(!r&&0===n)return 0;let i=!1;for(;;)switch(t){case"ascii":case"latin1":case"binary":return n;case"utf8":case"utf-8":return V(e).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return 2*n;case"hex":return n>>>1;case"base64":return G(e).length;default:if(i)return r?-1:V(e).length;t=(""+t).toLowerCase(),i=!0}}function g(e,t,n){let r=!1;if((void 0===t||t<0)&&(t=0),t>this.length)return"";if((void 0===n||n>this.length)&&(n=this.length),n<=0)return"";if((n>>>=0)<=(t>>>=0))return"";for(e||(e="utf8");;)switch(e){case"hex":return P(this,t,n);case"utf8":case"utf-8":return S(this,t,n);case"ascii":return T(this,t,n);case"latin1":case"binary":return O(this,t,n);case"base64":return C(this,t,n);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return D(this,t,n);default:if(r)throw new TypeError("Unknown encoding: "+e);e=(e+"").toLowerCase(),r=!0}}function v(e,t,n){const r=e[t];e[t]=e[n],e[n]=r}function y(e,t,n,r,i){if(0===e.length)return-1;if("string"==typeof n?(r=n,n=0):n>2147483647?n=2147483647:n<-2147483648&&(n=-2147483648),Z(n=+n)&&(n=i?0:e.length-1),n<0&&(n=e.length+n),n>=e.length){if(i)return-1;n=e.length-1}else if(n<0){if(!i)return-1;n=0}if("string"==typeof t&&(t=l.from(t,r)),l.isBuffer(t))return 0===t.length?-1:b(e,t,n,r,i);if("number"==typeof t)return t&=255,"function"==typeof Uint8Array.prototype.indexOf?i?Uint8Array.prototype.indexOf.call(e,t,n):Uint8Array.prototype.lastIndexOf.call(e,t,n):b(e,[t],n,r,i);throw new TypeError("val must be string, number or Buffer")}function b(e,t,n,r,i){let o,a=1,s=e.length,l=t.length;if(void 0!==r&&("ucs2"===(r=String(r).toLowerCase())||"ucs-2"===r||"utf16le"===r||"utf-16le"===r)){if(e.length<2||t.length<2)return-1;a=2,s/=2,l/=2,n/=2}function u(e,t){return 1===a?e[t]:e.readUInt16BE(t*a)}if(i){let r=-1;for(o=n;o<s;o++)if(u(e,o)===u(t,-1===r?0:o-r)){if(-1===r&&(r=o),o-r+1===l)return r*a}else-1!==r&&(o-=o-r),r=-1}else for(n+l>s&&(n=s-l),o=n;o>=0;o--){let n=!0;for(let r=0;r<l;r++)if(u(e,o+r)!==u(t,r)){n=!1;break}if(n)return o}return-1}function w(e,t,n,r){n=Number(n)||0;const i=e.length-n;r?(r=Number(r))>i&&(r=i):r=i;const o=t.length;let a;for(r>o/2&&(r=o/2),a=0;a<r;++a){const r=parseInt(t.substr(2*a,2),16);if(Z(r))return a;e[n+a]=r}return a}function _(e,t,n,r){return K(V(t,e.length-n),e,n,r)}function x(e,t,n,r){return K(function(e){const t=[];for(let n=0;n<e.length;++n)t.push(255&e.charCodeAt(n));return t}(t),e,n,r)}function k(e,t,n,r){return K(G(t),e,n,r)}function E(e,t,n,r){return K(function(e,t){let n,r,i;const o=[];for(let a=0;a<e.length&&!((t-=2)<0);++a)n=e.charCodeAt(a),r=n>>8,i=n%256,o.push(i),o.push(r);return o}(t,e.length-n),e,n,r)}function C(e,t,n){return 0===t&&n===e.length?r.fromByteArray(e):r.fromByteArray(e.slice(t,n))}function S(e,t,n){n=Math.min(e.length,n);const r=[];let i=t;for(;i<n;){const t=e[i];let o=null,a=t>239?4:t>223?3:t>191?2:1;if(i+a<=n){let n,r,s,l;switch(a){case 1:t<128&&(o=t);break;case 2:n=e[i+1],128==(192&n)&&(l=(31&t)<<6|63&n,l>127&&(o=l));break;case 3:n=e[i+1],r=e[i+2],128==(192&n)&&128==(192&r)&&(l=(15&t)<<12|(63&n)<<6|63&r,l>2047&&(l<55296||l>57343)&&(o=l));break;case 4:n=e[i+1],r=e[i+2],s=e[i+3],128==(192&n)&&128==(192&r)&&128==(192&s)&&(l=(15&t)<<18|(63&n)<<12|(63&r)<<6|63&s,l>65535&&l<1114112&&(o=l))}}null===o?(o=65533,a=1):o>65535&&(o-=65536,r.push(o>>>10&1023|55296),o=56320|1023&o),r.push(o),i+=a}return function(e){const t=e.length;if(t<=A)return String.fromCharCode.apply(String,e);let n="",r=0;for(;r<t;)n+=String.fromCharCode.apply(String,e.slice(r,r+=A));return n}(r)}t.kMaxLength=a,l.TYPED_ARRAY_SUPPORT=function(){try{const e=new Uint8Array(1),t={foo:function(){return 42}};return Object.setPrototypeOf(t,Uint8Array.prototype),Object.setPrototypeOf(e,t),42===e.foo()}catch(e){return!1}}(),l.TYPED_ARRAY_SUPPORT||"undefined"==typeof console||"function"!=typeof console.error||console.error("This browser lacks typed array (Uint8Array) support which is required by `buffer` v5.x. Use `buffer` v4.x if you require old browser support."),Object.defineProperty(l.prototype,"parent",{enumerable:!0,get:function(){if(l.isBuffer(this))return this.buffer}}),Object.defineProperty(l.prototype,"offset",{enumerable:!0,get:function(){if(l.isBuffer(this))return this.byteOffset}}),l.poolSize=8192,l.from=function(e,t,n){return u(e,t,n)},Object.setPrototypeOf(l.prototype,Uint8Array.prototype),Object.setPrototypeOf(l,Uint8Array),l.alloc=function(e,t,n){return function(e,t,n){return c(e),e<=0?s(e):void 0!==t?"string"==typeof n?s(e).fill(t,n):s(e).fill(t):s(e)}(e,t,n)},l.allocUnsafe=function(e){return d(e)},l.allocUnsafeSlow=function(e){return d(e)},l.isBuffer=function(e){return null!=e&&!0===e._isBuffer&&e!==l.prototype},l.compare=function(e,t){if(Y(e,Uint8Array)&&(e=l.from(e,e.offset,e.byteLength)),Y(t,Uint8Array)&&(t=l.from(t,t.offset,t.byteLength)),!l.isBuffer(e)||!l.isBuffer(t))throw new TypeError('The "buf1", "buf2" arguments must be one of type Buffer or Uint8Array');if(e===t)return 0;let n=e.length,r=t.length;for(let i=0,o=Math.min(n,r);i<o;++i)if(e[i]!==t[i]){n=e[i],r=t[i];break}return n<r?-1:r<n?1:0},l.isEncoding=function(e){switch(String(e).toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"latin1":case"binary":case"base64":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return!0;default:return!1}},l.concat=function(e,t){if(!Array.isArray(e))throw new TypeError('"list" argument must be an Array of Buffers');if(0===e.length)return l.alloc(0);let n;if(void 0===t)for(t=0,n=0;n<e.length;++n)t+=e[n].length;const r=l.allocUnsafe(t);let i=0;for(n=0;n<e.length;++n){let t=e[n];if(Y(t,Uint8Array))i+t.length>r.length?(l.isBuffer(t)||(t=l.from(t)),t.copy(r,i)):Uint8Array.prototype.set.call(r,t,i);else{if(!l.isBuffer(t))throw new TypeError('"list" argument must be an Array of Buffers');t.copy(r,i)}i+=t.length}return r},l.byteLength=m,l.prototype._isBuffer=!0,l.prototype.swap16=function(){const e=this.length;if(e%2!=0)throw new RangeError("Buffer size must be a multiple of 16-bits");for(let t=0;t<e;t+=2)v(this,t,t+1);return this},l.prototype.swap32=function(){const e=this.length;if(e%4!=0)throw new RangeError("Buffer size must be a multiple of 32-bits");for(let t=0;t<e;t+=4)v(this,t,t+3),v(this,t+1,t+2);return this},l.prototype.swap64=function(){const e=this.length;if(e%8!=0)throw new RangeError("Buffer size must be a multiple of 64-bits");for(let t=0;t<e;t+=8)v(this,t,t+7),v(this,t+1,t+6),v(this,t+2,t+5),v(this,t+3,t+4);return this},l.prototype.toString=function(){const e=this.length;return 0===e?"":0===arguments.length?S(this,0,e):g.apply(this,arguments)},l.prototype.toLocaleString=l.prototype.toString,l.prototype.equals=function(e){if(!l.isBuffer(e))throw new TypeError("Argument must be a Buffer");return this===e||0===l.compare(this,e)},l.prototype.inspect=function(){let e="";const n=t.INSPECT_MAX_BYTES;return e=this.toString("hex",0,n).replace(/(.{2})/g,"$1 ").trim(),this.length>n&&(e+=" ... "),"<Buffer "+e+">"},o&&(l.prototype[o]=l.prototype.inspect),l.prototype.compare=function(e,t,n,r,i){if(Y(e,Uint8Array)&&(e=l.from(e,e.offset,e.byteLength)),!l.isBuffer(e))throw new TypeError('The "target" argument must be one of type Buffer or Uint8Array. Received type '+typeof e);if(void 0===t&&(t=0),void 0===n&&(n=e?e.length:0),void 0===r&&(r=0),void 0===i&&(i=this.length),t<0||n>e.length||r<0||i>this.length)throw new RangeError("out of range index");if(r>=i&&t>=n)return 0;if(r>=i)return-1;if(t>=n)return 1;if(this===e)return 0;let o=(i>>>=0)-(r>>>=0),a=(n>>>=0)-(t>>>=0);const s=Math.min(o,a),u=this.slice(r,i),c=e.slice(t,n);for(let e=0;e<s;++e)if(u[e]!==c[e]){o=u[e],a=c[e];break}return o<a?-1:a<o?1:0},l.prototype.includes=function(e,t,n){return-1!==this.indexOf(e,t,n)},l.prototype.indexOf=function(e,t,n){return y(this,e,t,n,!0)},l.prototype.lastIndexOf=function(e,t,n){return y(this,e,t,n,!1)},l.prototype.write=function(e,t,n,r){if(void 0===t)r="utf8",n=this.length,t=0;else if(void 0===n&&"string"==typeof t)r=t,n=this.length,t=0;else{if(!isFinite(t))throw new Error("Buffer.write(string, encoding, offset[, length]) is no longer supported");t>>>=0,isFinite(n)?(n>>>=0,void 0===r&&(r="utf8")):(r=n,n=void 0)}const i=this.length-t;if((void 0===n||n>i)&&(n=i),e.length>0&&(n<0||t<0)||t>this.length)throw new RangeError("Attempt to write outside buffer bounds");r||(r="utf8");let o=!1;for(;;)switch(r){case"hex":return w(this,e,t,n);case"utf8":case"utf-8":return _(this,e,t,n);case"ascii":case"latin1":case"binary":return x(this,e,t,n);case"base64":return k(this,e,t,n);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return E(this,e,t,n);default:if(o)throw new TypeError("Unknown encoding: "+r);r=(""+r).toLowerCase(),o=!0}},l.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};const A=4096;function T(e,t,n){let r="";n=Math.min(e.length,n);for(let i=t;i<n;++i)r+=String.fromCharCode(127&e[i]);return r}function O(e,t,n){let r="";n=Math.min(e.length,n);for(let i=t;i<n;++i)r+=String.fromCharCode(e[i]);return r}function P(e,t,n){const r=e.length;(!t||t<0)&&(t=0),(!n||n<0||n>r)&&(n=r);let i="";for(let r=t;r<n;++r)i+=X[e[r]];return i}function D(e,t,n){const r=e.slice(t,n);let i="";for(let e=0;e<r.length-1;e+=2)i+=String.fromCharCode(r[e]+256*r[e+1]);return i}function R(e,t,n){if(e%1!=0||e<0)throw new RangeError("offset is not uint");if(e+t>n)throw new RangeError("Trying to access beyond buffer length")}function I(e,t,n,r,i,o){if(!l.isBuffer(e))throw new TypeError('"buffer" argument must be a Buffer instance');if(t>i||t<o)throw new RangeError('"value" argument is out of bounds');if(n+r>e.length)throw new RangeError("Index out of range")}function M(e,t,n,r,i){q(t,r,i,e,n,7);let o=Number(t&BigInt(4294967295));e[n++]=o,o>>=8,e[n++]=o,o>>=8,e[n++]=o,o>>=8,e[n++]=o;let a=Number(t>>BigInt(32)&BigInt(4294967295));return e[n++]=a,a>>=8,e[n++]=a,a>>=8,e[n++]=a,a>>=8,e[n++]=a,n}function L(e,t,n,r,i){q(t,r,i,e,n,7);let o=Number(t&BigInt(4294967295));e[n+7]=o,o>>=8,e[n+6]=o,o>>=8,e[n+5]=o,o>>=8,e[n+4]=o;let a=Number(t>>BigInt(32)&BigInt(4294967295));return e[n+3]=a,a>>=8,e[n+2]=a,a>>=8,e[n+1]=a,a>>=8,e[n]=a,n+8}function N(e,t,n,r,i,o){if(n+r>e.length)throw new RangeError("Index out of range");if(n<0)throw new RangeError("Index out of range")}function j(e,t,n,r,o){return t=+t,n>>>=0,o||N(e,0,n,4),i.write(e,t,n,r,23,4),n+4}function F(e,t,n,r,o){return t=+t,n>>>=0,o||N(e,0,n,8),i.write(e,t,n,r,52,8),n+8}l.prototype.slice=function(e,t){const n=this.length;(e=~~e)<0?(e+=n)<0&&(e=0):e>n&&(e=n),(t=void 0===t?n:~~t)<0?(t+=n)<0&&(t=0):t>n&&(t=n),t<e&&(t=e);const r=this.subarray(e,t);return Object.setPrototypeOf(r,l.prototype),r},l.prototype.readUintLE=l.prototype.readUIntLE=function(e,t,n){e>>>=0,t>>>=0,n||R(e,t,this.length);let r=this[e],i=1,o=0;for(;++o<t&&(i*=256);)r+=this[e+o]*i;return r},l.prototype.readUintBE=l.prototype.readUIntBE=function(e,t,n){e>>>=0,t>>>=0,n||R(e,t,this.length);let r=this[e+--t],i=1;for(;t>0&&(i*=256);)r+=this[e+--t]*i;return r},l.prototype.readUint8=l.prototype.readUInt8=function(e,t){return e>>>=0,t||R(e,1,this.length),this[e]},l.prototype.readUint16LE=l.prototype.readUInt16LE=function(e,t){return e>>>=0,t||R(e,2,this.length),this[e]|this[e+1]<<8},l.prototype.readUint16BE=l.prototype.readUInt16BE=function(e,t){return e>>>=0,t||R(e,2,this.length),this[e]<<8|this[e+1]},l.prototype.readUint32LE=l.prototype.readUInt32LE=function(e,t){return e>>>=0,t||R(e,4,this.length),(this[e]|this[e+1]<<8|this[e+2]<<16)+16777216*this[e+3]},l.prototype.readUint32BE=l.prototype.readUInt32BE=function(e,t){return e>>>=0,t||R(e,4,this.length),16777216*this[e]+(this[e+1]<<16|this[e+2]<<8|this[e+3])},l.prototype.readBigUInt64LE=Q((function(e){$(e>>>=0,"offset");const t=this[e],n=this[e+7];void 0!==t&&void 0!==n||W(e,this.length-8);const r=t+256*this[++e]+65536*this[++e]+this[++e]*2**24,i=this[++e]+256*this[++e]+65536*this[++e]+n*2**24;return BigInt(r)+(BigInt(i)<<BigInt(32))})),l.prototype.readBigUInt64BE=Q((function(e){$(e>>>=0,"offset");const t=this[e],n=this[e+7];void 0!==t&&void 0!==n||W(e,this.length-8);const r=t*2**24+65536*this[++e]+256*this[++e]+this[++e],i=this[++e]*2**24+65536*this[++e]+256*this[++e]+n;return(BigInt(r)<<BigInt(32))+BigInt(i)})),l.prototype.readIntLE=function(e,t,n){e>>>=0,t>>>=0,n||R(e,t,this.length);let r=this[e],i=1,o=0;for(;++o<t&&(i*=256);)r+=this[e+o]*i;return i*=128,r>=i&&(r-=Math.pow(2,8*t)),r},l.prototype.readIntBE=function(e,t,n){e>>>=0,t>>>=0,n||R(e,t,this.length);let r=t,i=1,o=this[e+--r];for(;r>0&&(i*=256);)o+=this[e+--r]*i;return i*=128,o>=i&&(o-=Math.pow(2,8*t)),o},l.prototype.readInt8=function(e,t){return e>>>=0,t||R(e,1,this.length),128&this[e]?-1*(255-this[e]+1):this[e]},l.prototype.readInt16LE=function(e,t){e>>>=0,t||R(e,2,this.length);const n=this[e]|this[e+1]<<8;return 32768&n?4294901760|n:n},l.prototype.readInt16BE=function(e,t){e>>>=0,t||R(e,2,this.length);const n=this[e+1]|this[e]<<8;return 32768&n?4294901760|n:n},l.prototype.readInt32LE=function(e,t){return e>>>=0,t||R(e,4,this.length),this[e]|this[e+1]<<8|this[e+2]<<16|this[e+3]<<24},l.prototype.readInt32BE=function(e,t){return e>>>=0,t||R(e,4,this.length),this[e]<<24|this[e+1]<<16|this[e+2]<<8|this[e+3]},l.prototype.readBigInt64LE=Q((function(e){$(e>>>=0,"offset");const t=this[e],n=this[e+7];void 0!==t&&void 0!==n||W(e,this.length-8);const r=this[e+4]+256*this[e+5]+65536*this[e+6]+(n<<24);return(BigInt(r)<<BigInt(32))+BigInt(t+256*this[++e]+65536*this[++e]+this[++e]*2**24)})),l.prototype.readBigInt64BE=Q((function(e){$(e>>>=0,"offset");const t=this[e],n=this[e+7];void 0!==t&&void 0!==n||W(e,this.length-8);const r=(t<<24)+65536*this[++e]+256*this[++e]+this[++e];return(BigInt(r)<<BigInt(32))+BigInt(this[++e]*2**24+65536*this[++e]+256*this[++e]+n)})),l.prototype.readFloatLE=function(e,t){return e>>>=0,t||R(e,4,this.length),i.read(this,e,!0,23,4)},l.prototype.readFloatBE=function(e,t){return e>>>=0,t||R(e,4,this.length),i.read(this,e,!1,23,4)},l.prototype.readDoubleLE=function(e,t){return e>>>=0,t||R(e,8,this.length),i.read(this,e,!0,52,8)},l.prototype.readDoubleBE=function(e,t){return e>>>=0,t||R(e,8,this.length),i.read(this,e,!1,52,8)},l.prototype.writeUintLE=l.prototype.writeUIntLE=function(e,t,n,r){e=+e,t>>>=0,n>>>=0,r||I(this,e,t,n,Math.pow(2,8*n)-1,0);let i=1,o=0;for(this[t]=255&e;++o<n&&(i*=256);)this[t+o]=e/i&255;return t+n},l.prototype.writeUintBE=l.prototype.writeUIntBE=function(e,t,n,r){e=+e,t>>>=0,n>>>=0,r||I(this,e,t,n,Math.pow(2,8*n)-1,0);let i=n-1,o=1;for(this[t+i]=255&e;--i>=0&&(o*=256);)this[t+i]=e/o&255;return t+n},l.prototype.writeUint8=l.prototype.writeUInt8=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,1,255,0),this[t]=255&e,t+1},l.prototype.writeUint16LE=l.prototype.writeUInt16LE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,2,65535,0),this[t]=255&e,this[t+1]=e>>>8,t+2},l.prototype.writeUint16BE=l.prototype.writeUInt16BE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,2,65535,0),this[t]=e>>>8,this[t+1]=255&e,t+2},l.prototype.writeUint32LE=l.prototype.writeUInt32LE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,4,4294967295,0),this[t+3]=e>>>24,this[t+2]=e>>>16,this[t+1]=e>>>8,this[t]=255&e,t+4},l.prototype.writeUint32BE=l.prototype.writeUInt32BE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,4,4294967295,0),this[t]=e>>>24,this[t+1]=e>>>16,this[t+2]=e>>>8,this[t+3]=255&e,t+4},l.prototype.writeBigUInt64LE=Q((function(e,t=0){return M(this,e,t,BigInt(0),BigInt("0xffffffffffffffff"))})),l.prototype.writeBigUInt64BE=Q((function(e,t=0){return L(this,e,t,BigInt(0),BigInt("0xffffffffffffffff"))})),l.prototype.writeIntLE=function(e,t,n,r){if(e=+e,t>>>=0,!r){const r=Math.pow(2,8*n-1);I(this,e,t,n,r-1,-r)}let i=0,o=1,a=0;for(this[t]=255&e;++i<n&&(o*=256);)e<0&&0===a&&0!==this[t+i-1]&&(a=1),this[t+i]=(e/o>>0)-a&255;return t+n},l.prototype.writeIntBE=function(e,t,n,r){if(e=+e,t>>>=0,!r){const r=Math.pow(2,8*n-1);I(this,e,t,n,r-1,-r)}let i=n-1,o=1,a=0;for(this[t+i]=255&e;--i>=0&&(o*=256);)e<0&&0===a&&0!==this[t+i+1]&&(a=1),this[t+i]=(e/o>>0)-a&255;return t+n},l.prototype.writeInt8=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,1,127,-128),e<0&&(e=255+e+1),this[t]=255&e,t+1},l.prototype.writeInt16LE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,2,32767,-32768),this[t]=255&e,this[t+1]=e>>>8,t+2},l.prototype.writeInt16BE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,2,32767,-32768),this[t]=e>>>8,this[t+1]=255&e,t+2},l.prototype.writeInt32LE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,4,2147483647,-2147483648),this[t]=255&e,this[t+1]=e>>>8,this[t+2]=e>>>16,this[t+3]=e>>>24,t+4},l.prototype.writeInt32BE=function(e,t,n){return e=+e,t>>>=0,n||I(this,e,t,4,2147483647,-2147483648),e<0&&(e=4294967295+e+1),this[t]=e>>>24,this[t+1]=e>>>16,this[t+2]=e>>>8,this[t+3]=255&e,t+4},l.prototype.writeBigInt64LE=Q((function(e,t=0){return M(this,e,t,-BigInt("0x8000000000000000"),BigInt("0x7fffffffffffffff"))})),l.prototype.writeBigInt64BE=Q((function(e,t=0){return L(this,e,t,-BigInt("0x8000000000000000"),BigInt("0x7fffffffffffffff"))})),l.prototype.writeFloatLE=function(e,t,n){return j(this,e,t,!0,n)},l.prototype.writeFloatBE=function(e,t,n){return j(this,e,t,!1,n)},l.prototype.writeDoubleLE=function(e,t,n){return F(this,e,t,!0,n)},l.prototype.writeDoubleBE=function(e,t,n){return F(this,e,t,!1,n)},l.prototype.copy=function(e,t,n,r){if(!l.isBuffer(e))throw new TypeError("argument should be a Buffer");if(n||(n=0),r||0===r||(r=this.length),t>=e.length&&(t=e.length),t||(t=0),r>0&&r<n&&(r=n),r===n)return 0;if(0===e.length||0===this.length)return 0;if(t<0)throw new RangeError("targetStart out of bounds");if(n<0||n>=this.length)throw new RangeError("Index out of range");if(r<0)throw new RangeError("sourceEnd out of bounds");r>this.length&&(r=this.length),e.length-t<r-n&&(r=e.length-t+n);const i=r-n;return this===e&&"function"==typeof Uint8Array.prototype.copyWithin?this.copyWithin(t,n,r):Uint8Array.prototype.set.call(e,this.subarray(n,r),t),i},l.prototype.fill=function(e,t,n,r){if("string"==typeof e){if("string"==typeof t?(r=t,t=0,n=this.length):"string"==typeof n&&(r=n,n=this.length),void 0!==r&&"string"!=typeof r)throw new TypeError("encoding must be a string");if("string"==typeof r&&!l.isEncoding(r))throw new TypeError("Unknown encoding: "+r);if(1===e.length){const t=e.charCodeAt(0);("utf8"===r&&t<128||"latin1"===r)&&(e=t)}}else"number"==typeof e?e&=255:"boolean"==typeof e&&(e=Number(e));if(t<0||this.length<t||this.length<n)throw new RangeError("Out of range index");if(n<=t)return this;let i;if(t>>>=0,n=void 0===n?this.length:n>>>0,e||(e=0),"number"==typeof e)for(i=t;i<n;++i)this[i]=e;else{const o=l.isBuffer(e)?e:l.from(e,r),a=o.length;if(0===a)throw new TypeError('The value "'+e+'" is invalid for argument "value"');for(i=0;i<n-t;++i)this[i+t]=o[i%a]}return this};const B={};function z(e,t,n){B[e]=class extends n{constructor(){super(),Object.defineProperty(this,"message",{value:t.apply(this,arguments),writable:!0,configurable:!0}),this.name=`${this.name} [${e}]`,this.stack,delete this.name}get code(){return e}set code(e){Object.defineProperty(this,"code",{configurable:!0,enumerable:!0,value:e,writable:!0})}toString(){return`${this.name} [${e}]: ${this.message}`}}}function U(e){let t="",n=e.length;const r="-"===e[0]?1:0;for(;n>=r+4;n-=3)t=`_${e.slice(n-3,n)}${t}`;return`${e.slice(0,n)}${t}`}function q(e,t,n,r,i,o){if(e>n||e<t){const r="bigint"==typeof t?"n":"";let i;throw i=o>3?0===t||t===BigInt(0)?`>= 0${r} and < 2${r} ** ${8*(o+1)}${r}`:`>= -(2${r} ** ${8*(o+1)-1}${r}) and < 2 ** ${8*(o+1)-1}${r}`:`>= ${t}${r} and <= ${n}${r}`,new B.ERR_OUT_OF_RANGE("value",i,e)}!function(e,t,n){$(t,"offset"),void 0!==e[t]&&void 0!==e[t+n]||W(t,e.length-(n+1))}(r,i,o)}function $(e,t){if("number"!=typeof e)throw new B.ERR_INVALID_ARG_TYPE(t,"number",e)}function W(e,t,n){if(Math.floor(e)!==e)throw $(e,n),new B.ERR_OUT_OF_RANGE(n||"offset","an integer",e);if(t<0)throw new B.ERR_BUFFER_OUT_OF_BOUNDS;throw new B.ERR_OUT_OF_RANGE(n||"offset",`>= ${n?1:0} and <= ${t}`,e)}z("ERR_BUFFER_OUT_OF_BOUNDS",(function(e){return e?`${e} is outside of buffer bounds`:"Attempt to access memory outside buffer bounds"}),RangeError),z("ERR_INVALID_ARG_TYPE",(function(e,t){return`The "${e}" argument must be of type number. Received type ${typeof t}`}),TypeError),z("ERR_OUT_OF_RANGE",(function(e,t,n){let r=`The value of "${e}" is out of range.`,i=n;return Number.isInteger(n)&&Math.abs(n)>2**32?i=U(String(n)):"bigint"==typeof n&&(i=String(n),(n>BigInt(2)**BigInt(32)||n<-(BigInt(2)**BigInt(32)))&&(i=U(i)),i+="n"),r+=` It must be ${t}. Received ${i}`,r}),RangeError);const H=/[^+/0-9A-Za-z-_]/g;function V(e,t){let n;t=t||1/0;const r=e.length;let i=null;const o=[];for(let a=0;a<r;++a){if(n=e.charCodeAt(a),n>55295&&n<57344){if(!i){if(n>56319){(t-=3)>-1&&o.push(239,191,189);continue}if(a+1===r){(t-=3)>-1&&o.push(239,191,189);continue}i=n;continue}if(n<56320){(t-=3)>-1&&o.push(239,191,189),i=n;continue}n=65536+(i-55296<<10|n-56320)}else i&&(t-=3)>-1&&o.push(239,191,189);if(i=null,n<128){if((t-=1)<0)break;o.push(n)}else if(n<2048){if((t-=2)<0)break;o.push(n>>6|192,63&n|128)}else if(n<65536){if((t-=3)<0)break;o.push(n>>12|224,n>>6&63|128,63&n|128)}else{if(!(n<1114112))throw new Error("Invalid code point");if((t-=4)<0)break;o.push(n>>18|240,n>>12&63|128,n>>6&63|128,63&n|128)}}return o}function G(e){return r.toByteArray(function(e){if((e=(e=e.split("=")[0]).trim().replace(H,"")).length<2)return"";for(;e.length%4!=0;)e+="=";return e}(e))}function K(e,t,n,r){let i;for(i=0;i<r&&!(i+n>=t.length||i>=e.length);++i)t[i+n]=e[i];return i}function Y(e,t){return e instanceof t||null!=e&&null!=e.constructor&&null!=e.constructor.name&&e.constructor.name===t.name}function Z(e){return e!=e}const X=function(){const e="0123456789abcdef",t=new Array(256);for(let n=0;n<16;++n){const r=16*n;for(let i=0;i<16;++i)t[r+i]=e[n]+e[i]}return t}();function Q(e){return"undefined"==typeof BigInt?J:e}function J(){throw new Error("BigInt not supported")}},62680:(e,t,n)=>{"use strict";var r=n(67286),i=n(89429),o=i(r("String.prototype.indexOf"));e.exports=function(e,t){var n=r(e,!!t);return"function"==typeof n&&o(e,".prototype.")>-1?i(n):n}},89429:(e,t,n)=>{"use strict";var r=n(4090),i=n(67286),o=n(47669),a=n(5408),s=i("%Function.prototype.apply%"),l=i("%Function.prototype.call%"),u=i("%Reflect.apply%",!0)||r.call(l,s),c=n(70999),d=i("%Math.max%");e.exports=function(e){if("function"!=typeof e)throw new a("a function is required");var t=u(r,l,arguments);return o(t,1+d(0,e.length-(arguments.length-1)),!0)};var p=function(){return u(r,s,arguments)};c?c(e.exports,"apply",{value:p}):e.exports.apply=p},53404:e=>{"use strict";e.exports=function(e,t){var n,r=String(e),i=0;if("string"!=typeof t)throw new Error("Expected character");for(n=r.indexOf(t);-1!==n;)i++,n=r.indexOf(t,n+t.length);return i}},15971:(e,t,n)=>{!function(e){function t(t,n,r){var i,o=t.getWrapperElement();return(i=o.appendChild(document.createElement("div"))).className=r?"CodeMirror-dialog CodeMirror-dialog-bottom":"CodeMirror-dialog CodeMirror-dialog-top","string"==typeof n?i.innerHTML=n:i.appendChild(n),e.addClass(o,"dialog-opened"),i}function n(e,t){e.state.currentNotificationClose&&e.state.currentNotificationClose(),e.state.currentNotificationClose=t}e.defineExtension("openDialog",(function(r,i,o){o||(o={}),n(this,null);var a=t(this,r,o.bottom),s=!1,l=this;function u(t){if("string"==typeof t)d.value=t;else{if(s)return;s=!0,e.rmClass(a.parentNode,"dialog-opened"),a.parentNode.removeChild(a),l.focus(),o.onClose&&o.onClose(a)}}var c,d=a.getElementsByTagName("input")[0];return d?(d.focus(),o.value&&(d.value=o.value,!1!==o.selectValueOnOpen&&d.select()),o.onInput&&e.on(d,"input",(function(e){o.onInput(e,d.value,u)})),o.onKeyUp&&e.on(d,"keyup",(function(e){o.onKeyUp(e,d.value,u)})),e.on(d,"keydown",(function(t){o&&o.onKeyDown&&o.onKeyDown(t,d.value,u)||((27==t.keyCode||!1!==o.closeOnEnter&&13==t.keyCode)&&(d.blur(),e.e_stop(t),u()),13==t.keyCode&&i(d.value,t))})),!1!==o.closeOnBlur&&e.on(a,"focusout",(function(e){null!==e.relatedTarget&&u()}))):(c=a.getElementsByTagName("button")[0])&&(e.on(c,"click",(function(){u(),l.focus()})),!1!==o.closeOnBlur&&e.on(c,"blur",u),c.focus()),u})),e.defineExtension("openConfirm",(function(r,i,o){n(this,null);var a=t(this,r,o&&o.bottom),s=a.getElementsByTagName("button"),l=!1,u=this,c=1;function d(){l||(l=!0,e.rmClass(a.parentNode,"dialog-opened"),a.parentNode.removeChild(a),u.focus())}s[0].focus();for(var p=0;p<s.length;++p){var f=s[p];!function(t){e.on(f,"click",(function(n){e.e_preventDefault(n),d(),t&&t(u)}))}(i[p]),e.on(f,"blur",(function(){--c,setTimeout((function(){c<=0&&d()}),200)})),e.on(f,"focus",(function(){++c}))}})),e.defineExtension("openNotification",(function(r,i){n(this,u);var o,a=t(this,r,i&&i.bottom),s=!1,l=i&&void 0!==i.duration?i.duration:5e3;function u(){s||(s=!0,clearTimeout(o),e.rmClass(a.parentNode,"dialog-opened"),a.parentNode.removeChild(a))}return e.on(a,"click",(function(t){e.e_preventDefault(t),u()})),l&&(o=setTimeout(u,l)),u}))}(n(23326))},32407:(e,t,n)=>{!function(e){var t=/MSIE \d/.test(navigator.userAgent)&&(null==document.documentMode||document.documentMode<8),n=e.Pos,r={"(":")>",")":"(<","[":"]>","]":"[<","{":"}>","}":"{<","<":">>",">":"<<"};function i(e){return e&&e.bracketRegex||/[(){}[\]]/}function o(e,t,o){var s=e.getLineHandle(t.line),l=t.ch-1,u=o&&o.afterCursor;null==u&&(u=/(^| )cm-fat-cursor($| )/.test(e.getWrapperElement().className));var c=i(o),d=!u&&l>=0&&c.test(s.text.charAt(l))&&r[s.text.charAt(l)]||c.test(s.text.charAt(l+1))&&r[s.text.charAt(++l)];if(!d)return null;var p=">"==d.charAt(1)?1:-1;if(o&&o.strict&&p>0!=(l==t.ch))return null;var f=e.getTokenTypeAt(n(t.line,l+1)),h=a(e,n(t.line,l+(p>0?1:0)),p,f,o);return null==h?null:{from:n(t.line,l),to:h&&h.pos,match:h&&h.ch==d.charAt(0),forward:p>0}}function a(e,t,o,a,s){for(var l=s&&s.maxScanLineLength||1e4,u=s&&s.maxScanLines||1e3,c=[],d=i(s),p=o>0?Math.min(t.line+u,e.lastLine()+1):Math.max(e.firstLine()-1,t.line-u),f=t.line;f!=p;f+=o){var h=e.getLine(f);if(h){var m=o>0?0:h.length-1,g=o>0?h.length:-1;if(!(h.length>l))for(f==t.line&&(m=t.ch-(o<0?1:0));m!=g;m+=o){var v=h.charAt(m);if(d.test(v)&&(void 0===a||(e.getTokenTypeAt(n(f,m+1))||"")==(a||""))){var y=r[v];if(y&&">"==y.charAt(1)==o>0)c.push(v);else{if(!c.length)return{pos:n(f,m),ch:v};c.pop()}}}}}return f-o!=(o>0?e.lastLine():e.firstLine())&&null}function s(e,r,i){for(var a=e.state.matchBrackets.maxHighlightLineLength||1e3,s=i&&i.highlightNonMatching,l=[],u=e.listSelections(),c=0;c<u.length;c++){var d=u[c].empty()&&o(e,u[c].head,i);if(d&&(d.match||!1!==s)&&e.getLine(d.from.line).length<=a){var p=d.match?"CodeMirror-matchingbracket":"CodeMirror-nonmatchingbracket";l.push(e.markText(d.from,n(d.from.line,d.from.ch+1),{className:p})),d.to&&e.getLine(d.to.line).length<=a&&l.push(e.markText(d.to,n(d.to.line,d.to.ch+1),{className:p}))}}if(l.length){t&&e.state.focused&&e.focus();var f=function(){e.operation((function(){for(var e=0;e<l.length;e++)l[e].clear()}))};if(!r)return f;setTimeout(f,800)}}function l(e){e.operation((function(){e.state.matchBrackets.currentlyHighlighted&&(e.state.matchBrackets.currentlyHighlighted(),e.state.matchBrackets.currentlyHighlighted=null),e.state.matchBrackets.currentlyHighlighted=s(e,!1,e.state.matchBrackets)}))}function u(e){e.state.matchBrackets&&e.state.matchBrackets.currentlyHighlighted&&(e.state.matchBrackets.currentlyHighlighted(),e.state.matchBrackets.currentlyHighlighted=null)}e.defineOption("matchBrackets",!1,(function(t,n,r){r&&r!=e.Init&&(t.off("cursorActivity",l),t.off("focus",l),t.off("blur",u),u(t)),n&&(t.state.matchBrackets="object"==typeof n?n:{},t.on("cursorActivity",l),t.on("focus",l),t.on("blur",u))})),e.defineExtension("matchBrackets",(function(){s(this,!0)})),e.defineExtension("findMatchingBracket",(function(e,t,n){return(n||"boolean"==typeof t)&&(n?(n.strict=t,t=n):t=t?{strict:!0}:null),o(this,e,t)})),e.defineExtension("scanForBracket",(function(e,t,n,r){return a(this,e,t,n,r)}))}(n(23326))},15718:(e,t,n)=>{!function(e){"use strict";e.multiplexingMode=function(t){var n=Array.prototype.slice.call(arguments,1);function r(e,t,n,r){if("string"==typeof t){var i=e.indexOf(t,n);return r&&i>-1?i+t.length:i}var o=t.exec(n?e.slice(n):e);return o?o.index+n+(r?o[0].length:0):-1}return{startState:function(){return{outer:e.startState(t),innerActive:null,inner:null,startingInner:!1}},copyState:function(n){return{outer:e.copyState(t,n.outer),innerActive:n.innerActive,inner:n.innerActive&&e.copyState(n.innerActive.mode,n.inner),startingInner:n.startingInner}},token:function(i,o){if(o.innerActive){var a=o.innerActive;if(u=i.string,!a.close&&i.sol())return o.innerActive=o.inner=null,this.token(i,o);if((d=a.close&&!o.startingInner?r(u,a.close,i.pos,a.parseDelimiters):-1)==i.pos&&!a.parseDelimiters)return i.match(a.close),o.innerActive=o.inner=null,a.delimStyle&&a.delimStyle+" "+a.delimStyle+"-close";d>-1&&(i.string=u.slice(0,d));var s=a.mode.token(i,o.inner);return d>-1?i.string=u:i.pos>i.start&&(o.startingInner=!1),d==i.pos&&a.parseDelimiters&&(o.innerActive=o.inner=null),a.innerStyle&&(s=s?s+" "+a.innerStyle:a.innerStyle),s}for(var l=1/0,u=i.string,c=0;c<n.length;++c){var d,p=n[c];if((d=r(u,p.open,i.pos))==i.pos){p.parseDelimiters||i.match(p.open),o.startingInner=!!p.parseDelimiters,o.innerActive=p;var f=0;if(t.indent){var h=t.indent(o.outer,"","");h!==e.Pass&&(f=h)}return o.inner=e.startState(p.mode,f),p.delimStyle&&p.delimStyle+" "+p.delimStyle+"-open"}-1!=d&&d<l&&(l=d)}l!=1/0&&(i.string=u.slice(0,l));var m=t.token(i,o.outer);return l!=1/0&&(i.string=u),m},indent:function(n,r,i){var o=n.innerActive?n.innerActive.mode:t;return o.indent?o.indent(n.innerActive?n.inner:n.outer,r,i):e.Pass},blankLine:function(r){var i=r.innerActive?r.innerActive.mode:t;if(i.blankLine&&i.blankLine(r.innerActive?r.inner:r.outer),r.innerActive)"\n"===r.innerActive.close&&(r.innerActive=r.inner=null);else for(var o=0;o<n.length;++o){var a=n[o];"\n"===a.open&&(r.innerActive=a,r.inner=e.startState(a.mode,i.indent?i.indent(r.outer,"",""):0))}},electricChars:t.electricChars,innerMode:function(e){return e.inner?{state:e.inner,mode:e.innerActive.mode}:{state:e.outer,mode:t}}}}}(n(23326))},19962:(e,t,n)=>{!function(e){"use strict";e.overlayMode=function(t,n,r){return{startState:function(){return{base:e.startState(t),overlay:e.startState(n),basePos:0,baseCur:null,overlayPos:0,overlayCur:null,streamSeen:null}},copyState:function(r){return{base:e.copyState(t,r.base),overlay:e.copyState(n,r.overlay),basePos:r.basePos,baseCur:null,overlayPos:r.overlayPos,overlayCur:null}},token:function(e,i){return(e!=i.streamSeen||Math.min(i.basePos,i.overlayPos)<e.start)&&(i.streamSeen=e,i.basePos=i.overlayPos=e.start),e.start==i.basePos&&(i.baseCur=t.token(e,i.base),i.basePos=e.pos),e.start==i.overlayPos&&(e.pos=e.start,i.overlayCur=n.token(e,i.overlay),i.overlayPos=e.pos),e.pos=Math.min(i.basePos,i.overlayPos),null==i.overlayCur?i.baseCur:null!=i.baseCur&&i.overlay.combineTokens||r&&null==i.overlay.combineTokens?i.baseCur+" "+i.overlayCur:i.overlayCur},indent:t.indent&&function(e,n,r){return t.indent(e.base,n,r)},electricChars:t.electricChars,innerMode:function(e){return{state:e.base,mode:t}},blankLine:function(e){var i,o;return t.blankLine&&(i=t.blankLine(e.base)),n.blankLine&&(o=n.blankLine(e.overlay)),null==o?i:r&&null!=i?i+" "+o:o}}}}(n(23326))},81094:(e,t,n)=>{!function(e){"use strict";function t(e,t){if(!e.hasOwnProperty(t))throw new Error("Undefined state "+t+" in simple mode")}function n(e,t){if(!e)return/(?:)/;var n="";return e instanceof RegExp?(e.ignoreCase&&(n="i"),e.unicode&&(n+="u"),e=e.source):e=String(e),new RegExp((!1===t?"":"^")+"(?:"+e+")",n)}function r(e,r){(e.next||e.push)&&t(r,e.next||e.push),this.regex=n(e.regex),this.token=function(e){if(!e)return null;if(e.apply)return e;if("string"==typeof e)return e.replace(/\./g," ");for(var t=[],n=0;n<e.length;n++)t.push(e[n]&&e[n].replace(/\./g," "));return t}(e.token),this.data=e}function i(e,t){return function(n,r){if(r.pending){var i=r.pending.shift();return 0==r.pending.length&&(r.pending=null),n.pos+=i.text.length,i.token}if(r.local){if(r.local.end&&n.match(r.local.end)){var o=r.local.endToken||null;return r.local=r.localState=null,o}var s;return o=r.local.mode.token(n,r.localState),r.local.endScan&&(s=r.local.endScan.exec(n.current()))&&(n.pos=n.start+s.index),o}for(var l=e[r.state],u=0;u<l.length;u++){var c=l[u],d=(!c.data.sol||n.sol())&&n.match(c.regex);if(d){c.data.next?r.state=c.data.next:c.data.push?((r.stack||(r.stack=[])).push(r.state),r.state=c.data.push):c.data.pop&&r.stack&&r.stack.length&&(r.state=r.stack.pop()),c.data.mode&&a(t,r,c.data.mode,c.token),c.data.indent&&r.indent.push(n.indentation()+t.indentUnit),c.data.dedent&&r.indent.pop();var p=c.token;if(p&&p.apply&&(p=p(d)),d.length>2&&c.token&&"string"!=typeof c.token){for(var f=2;f<d.length;f++)d[f]&&(r.pending||(r.pending=[])).push({text:d[f],token:c.token[f-1]});return n.backUp(d[0].length-(d[1]?d[1].length:0)),p[0]}return p&&p.join?p[0]:p}}return n.next(),null}}function o(e,t){if(e===t)return!0;if(!e||"object"!=typeof e||!t||"object"!=typeof t)return!1;var n=0;for(var r in e)if(e.hasOwnProperty(r)){if(!t.hasOwnProperty(r)||!o(e[r],t[r]))return!1;n++}for(var r in t)t.hasOwnProperty(r)&&n--;return 0==n}function a(t,r,i,a){var s;if(i.persistent)for(var l=r.persistentStates;l&&!s;l=l.next)(i.spec?o(i.spec,l.spec):i.mode==l.mode)&&(s=l);var u=s?s.mode:i.mode||e.getMode(t,i.spec),c=s?s.state:e.startState(u);i.persistent&&!s&&(r.persistentStates={mode:u,spec:i.spec,state:c,next:r.persistentStates}),r.localState=c,r.local={mode:u,end:i.end&&n(i.end),endScan:i.end&&!1!==i.forceEnd&&n(i.end,!1),endToken:a&&a.join?a[a.length-1]:a}}function s(t,n){return function(r,i,o){if(r.local&&r.local.mode.indent)return r.local.mode.indent(r.localState,i,o);if(null==r.indent||r.local||n.dontIndentStates&&function(e,t){for(var n=0;n<t.length;n++)if(t[n]===e)return!0}(r.state,n.dontIndentStates)>-1)return e.Pass;var a=r.indent.length-1,s=t[r.state];e:for(;;){for(var l=0;l<s.length;l++){var u=s[l];if(u.data.dedent&&!1!==u.data.dedentIfLineStart){var c=u.regex.exec(i);if(c&&c[0]){a--,(u.next||u.push)&&(s=t[u.next||u.push]),i=i.slice(c[0].length);continue e}}}break}return a<0?0:r.indent[a]}}e.defineSimpleMode=function(t,n){e.defineMode(t,(function(t){return e.simpleMode(t,n)}))},e.simpleMode=function(n,o){t(o,"start");var a={},l=o.meta||{},u=!1;for(var c in o)if(c!=l&&o.hasOwnProperty(c))for(var d=a[c]=[],p=o[c],f=0;f<p.length;f++){var h=p[f];d.push(new r(h,o)),(h.indent||h.dedent)&&(u=!0)}var m={startState:function(){return{state:"start",pending:null,local:null,localState:null,indent:u?[]:null}},copyState:function(t){var n={state:t.state,pending:t.pending,local:t.local,localState:null,indent:t.indent&&t.indent.slice(0)};t.localState&&(n.localState=e.copyState(t.local.mode,t.localState)),t.stack&&(n.stack=t.stack.slice(0));for(var r=t.persistentStates;r;r=r.next)n.persistentStates={mode:r.mode,spec:r.spec,state:r.state==t.localState?n.localState:e.copyState(r.mode,r.state),next:n.persistentStates};return n},token:i(a,n),innerMode:function(e){return e.local&&{mode:e.local.mode,state:e.localState}},indent:s(a,l)};if(l)for(var g in l)l.hasOwnProperty(g)&&(m[g]=l[g]);return m}}(n(23326))},19757:(e,t,n)=>{!function(e){"use strict";var t,n,r=e.Pos;function i(e,t){for(var n=function(e){var t=e.flags;return null!=t?t:(e.ignoreCase?"i":"")+(e.global?"g":"")+(e.multiline?"m":"")}(e),r=n,i=0;i<t.length;i++)-1==r.indexOf(t.charAt(i))&&(r+=t.charAt(i));return n==r?e:new RegExp(e.source,r)}function o(e){return/\\s|\\n|\n|\\W|\\D|\[\^/.test(e.source)}function a(e,t,n){t=i(t,"g");for(var o=n.line,a=n.ch,s=e.lastLine();o<=s;o++,a=0){t.lastIndex=a;var l=e.getLine(o),u=t.exec(l);if(u)return{from:r(o,u.index),to:r(o,u.index+u[0].length),match:u}}}function s(e,t,n){if(!o(t))return a(e,t,n);t=i(t,"gm");for(var s,l=1,u=n.line,c=e.lastLine();u<=c;){for(var d=0;d<l&&!(u>c);d++){var p=e.getLine(u++);s=null==s?p:s+"\n"+p}l*=2,t.lastIndex=n.ch;var f=t.exec(s);if(f){var h=s.slice(0,f.index).split("\n"),m=f[0].split("\n"),g=n.line+h.length-1,v=h[h.length-1].length;return{from:r(g,v),to:r(g+m.length-1,1==m.length?v+m[0].length:m[m.length-1].length),match:f}}}}function l(e,t,n){for(var r,i=0;i<=e.length;){t.lastIndex=i;var o=t.exec(e);if(!o)break;var a=o.index+o[0].length;if(a>e.length-n)break;(!r||a>r.index+r[0].length)&&(r=o),i=o.index+1}return r}function u(e,t,n){t=i(t,"g");for(var o=n.line,a=n.ch,s=e.firstLine();o>=s;o--,a=-1){var u=e.getLine(o),c=l(u,t,a<0?0:u.length-a);if(c)return{from:r(o,c.index),to:r(o,c.index+c[0].length),match:c}}}function c(e,t,n){if(!o(t))return u(e,t,n);t=i(t,"gm");for(var a,s=1,c=e.getLine(n.line).length-n.ch,d=n.line,p=e.firstLine();d>=p;){for(var f=0;f<s&&d>=p;f++){var h=e.getLine(d--);a=null==a?h:h+"\n"+a}s*=2;var m=l(a,t,c);if(m){var g=a.slice(0,m.index).split("\n"),v=m[0].split("\n"),y=d+g.length,b=g[g.length-1].length;return{from:r(y,b),to:r(y+v.length-1,1==v.length?b+v[0].length:v[v.length-1].length),match:m}}}}function d(e,t,n,r){if(e.length==t.length)return n;for(var i=0,o=n+Math.max(0,e.length-t.length);;){if(i==o)return i;var a=i+o>>1,s=r(e.slice(0,a)).length;if(s==n)return a;s>n?o=a:i=a+1}}function p(e,i,o,a){if(!i.length)return null;var s=a?t:n,l=s(i).split(/\r|\n\r?/);e:for(var u=o.line,c=o.ch,p=e.lastLine()+1-l.length;u<=p;u++,c=0){var f=e.getLine(u).slice(c),h=s(f);if(1==l.length){var m=h.indexOf(l[0]);if(-1==m)continue e;return o=d(f,h,m,s)+c,{from:r(u,d(f,h,m,s)+c),to:r(u,d(f,h,m+l[0].length,s)+c)}}var g=h.length-l[0].length;if(h.slice(g)==l[0]){for(var v=1;v<l.length-1;v++)if(s(e.getLine(u+v))!=l[v])continue e;var y=e.getLine(u+l.length-1),b=s(y),w=l[l.length-1];if(b.slice(0,w.length)==w)return{from:r(u,d(f,h,g,s)+c),to:r(u+l.length-1,d(y,b,w.length,s))}}}}function f(e,i,o,a){if(!i.length)return null;var s=a?t:n,l=s(i).split(/\r|\n\r?/);e:for(var u=o.line,c=o.ch,p=e.firstLine()-1+l.length;u>=p;u--,c=-1){var f=e.getLine(u);c>-1&&(f=f.slice(0,c));var h=s(f);if(1==l.length){var m=h.lastIndexOf(l[0]);if(-1==m)continue e;return{from:r(u,d(f,h,m,s)),to:r(u,d(f,h,m+l[0].length,s))}}var g=l[l.length-1];if(h.slice(0,g.length)==g){var v=1;for(o=u-l.length+1;v<l.length-1;v++)if(s(e.getLine(o+v))!=l[v])continue e;var y=e.getLine(u+1-l.length),b=s(y);if(b.slice(b.length-l[0].length)==l[0])return{from:r(u+1-l.length,d(y,b,y.length-l[0].length,s)),to:r(u,d(f,h,g.length,s))}}}}function h(e,t,n,o){var l;this.atOccurrence=!1,this.afterEmptyMatch=!1,this.doc=e,n=n?e.clipPos(n):r(0,0),this.pos={from:n,to:n},"object"==typeof o?l=o.caseFold:(l=o,o=null),"string"==typeof t?(null==l&&(l=!1),this.matches=function(n,r){return(n?f:p)(e,t,r,l)}):(t=i(t,"gm"),o&&!1===o.multiline?this.matches=function(n,r){return(n?u:a)(e,t,r)}:this.matches=function(n,r){return(n?c:s)(e,t,r)})}String.prototype.normalize?(t=function(e){return e.normalize("NFD").toLowerCase()},n=function(e){return e.normalize("NFD")}):(t=function(e){return e.toLowerCase()},n=function(e){return e}),h.prototype={findNext:function(){return this.find(!1)},findPrevious:function(){return this.find(!0)},find:function(t){var n=this.doc.clipPos(t?this.pos.from:this.pos.to);if(this.afterEmptyMatch&&this.atOccurrence&&(n=r(n.line,n.ch),t?(n.ch--,n.ch<0&&(n.line--,n.ch=(this.doc.getLine(n.line)||"").length)):(n.ch++,n.ch>(this.doc.getLine(n.line)||"").length&&(n.ch=0,n.line++)),0!=e.cmpPos(n,this.doc.clipPos(n))))return this.atOccurrence=!1;var i=this.matches(t,n);if(this.afterEmptyMatch=i&&0==e.cmpPos(i.from,i.to),i)return this.pos=i,this.atOccurrence=!0,this.pos.match||!0;var o=r(t?this.doc.firstLine():this.doc.lastLine()+1,0);return this.pos={from:o,to:o},this.atOccurrence=!1},from:function(){if(this.atOccurrence)return this.pos.from},to:function(){if(this.atOccurrence)return this.pos.to},replace:function(t,n){if(this.atOccurrence){var i=e.splitLines(t);this.doc.replaceRange(i,this.pos.from,this.pos.to,n),this.pos.to=r(this.pos.from.line+i.length-1,i[i.length-1].length+(1==i.length?this.pos.from.ch:0))}}},e.defineExtension("getSearchCursor",(function(e,t,n){return new h(this.doc,e,t,n)})),e.defineDocExtension("getSearchCursor",(function(e,t,n){return new h(this,e,t,n)})),e.defineExtension("selectMatches",(function(t,n){for(var r=[],i=this.getSearchCursor(t,this.getCursor("from"),n);i.findNext()&&!(e.cmpPos(i.to(),this.getCursor("to"))>0);)r.push({anchor:i.from(),head:i.to()});r.length&&this.setSelections(r,0)}))}(n(23326))},83280:(e,t,n)=>{!function(e){"use strict";var t=e.commands,n=e.Pos;function r(e,t){return e.line==t.line&&e.ch==t.ch}var i=[];function o(e){i.push(e),i.length>50&&i.shift()}function a(e){return i[i.length-(e?Math.min(e,1):1)]||""}var s=null;function l(e,t,n,a,l){null==l&&(l=e.getRange(t,n)),"grow"==a&&s&&s.cm==e&&r(t,s.pos)&&e.isClean(s.gen)?function(e){if(!i.length)return o(e);i[i.length-1]+=e}(l):!1!==a&&o(l),e.replaceRange("",t,n,"+delete"),s="grow"==a?{cm:e,pos:t,gen:e.changeGeneration()}:null}function u(e,t,n){return e.findPosH(t,n,"char",!0)}function c(e,t,n){return e.findPosH(t,n,"word",!0)}function d(e,t,n){return e.findPosV(t,n,"line",e.doc.sel.goalColumn)}function p(e,t,n){return e.findPosV(t,n,"page",e.doc.sel.goalColumn)}function f(e,t,r){for(var i=t.line,o=e.getLine(i),a=/\S/.test(r<0?o.slice(0,t.ch):o.slice(t.ch)),s=e.firstLine(),l=e.lastLine();;){if((i+=r)<s||i>l)return e.clipPos(n(i-r,r<0?0:null));if(o=e.getLine(i),/\S/.test(o))a=!0;else if(a)return n(i,0)}}function h(e,t,r){for(var i=t.line,o=t.ch,a=e.getLine(t.line),s=!1;;){var l=a.charAt(o+(r<0?-1:0));if(l){if(s&&/[!?.]/.test(l))return n(i,o+(r>0?1:0));s||(s=/\w/.test(l)),o+=r}else{if(i==(r<0?e.firstLine():e.lastLine()))return n(i,o);if(a=e.getLine(i+r),!/\S/.test(a))return n(i,o);i+=r,o=r<0?a.length:0}}}function m(e,t,i){var o;if(e.findMatchingBracket&&(o=e.findMatchingBracket(t,{strict:!0}))&&o.match&&(o.forward?1:-1)==i)return i>0?n(o.to.line,o.to.ch+1):o.to;for(var a=!0;;a=!1){var s=e.getTokenAt(t),l=n(t.line,i<0?s.start:s.end);if(!(a&&i>0&&s.end==t.ch)&&/\w/.test(s.string))return l;var u=e.findPosH(l,i,"char");if(r(l,u))return t;t=u}}function g(e,t){var n=e.state.emacsPrefix;return n?(C(e),"-"==n?-1:Number(n)):t?null:1}function v(e){var t="string"==typeof e?function(t){t.execCommand(e)}:e;return function(e){var n=g(e);t(e);for(var r=1;r<n;++r)t(e)}}function y(e,t,n,i){var o=g(e);o<0&&(i=-i,o=-o);for(var a=0;a<o;++a){var s=n(e,t,i);if(r(s,t))break;t=s}return t}function b(e,t){var n=function(n){n.extendSelection(y(n,n.getCursor(),e,t))};return n.motion=!0,n}function w(e,t,n,r){for(var i,o=e.listSelections(),a=o.length;a--;)l(e,i=o[a].head,y(e,i,t,n),r)}function _(e,t){if(e.somethingSelected()){for(var n,r=e.listSelections(),i=r.length;i--;)l(e,(n=r[i]).anchor,n.head,t);return!0}}function x(e,t){e.state.emacsPrefix?"-"!=t&&(e.state.emacsPrefix+=t):(e.state.emacsPrefix=t,e.on("keyHandled",E),e.on("inputRead",S))}var k={"Alt-G":!0,"Ctrl-X":!0,"Ctrl-Q":!0,"Ctrl-U":!0};function E(e,t){e.state.emacsPrefixMap||k.hasOwnProperty(t)||C(e)}function C(e){e.state.emacsPrefix=null,e.off("keyHandled",E),e.off("inputRead",S)}function S(e,t){var n=g(e);if(n>1&&"+input"==t.origin){for(var r=t.text.join("\n"),i="",o=1;o<n;++o)i+=r;e.replaceSelection(i)}}function A(e,t){("string"!=typeof t||!/^\d$/.test(t)&&"Ctrl-U"!=t)&&(e.removeKeyMap(R),e.state.emacsPrefixMap=!1,e.off("keyHandled",A),e.off("inputRead",A))}function T(e){e.setExtending(!1),e.setCursor(e.getCursor())}function O(e,t,n){e.openDialog?e.openDialog(function(e){var t=document.createDocumentFragment(),n=document.createElement("input");return n.setAttribute("type","text"),n.style.width="10em",t.appendChild(document.createTextNode(e+": ")),t.appendChild(n),t}(t),n,{bottom:!0}):n(prompt(t,""))}function P(e,t){var n=e.getCursor(),r=e.findPosH(n,1,"word");e.replaceRange(t(e.getRange(n,r)),n,r),e.setCursor(r)}t.setMark=function(e){e.setCursor(e.getCursor()),e.setExtending(!e.getExtending()),e.on("change",(function(){e.setExtending(!1)}))},t.killRegion=function(e){l(e,e.getCursor("start"),e.getCursor("end"),!0)},t.killLineEmacs=v((function(e){var t=e.getCursor(),r=e.clipPos(n(t.line)),i=e.getRange(t,r);/\S/.test(i)||(i+="\n",r=n(t.line+1,0)),l(e,t,r,"grow",i)})),t.killRingSave=function(e){o(e.getSelection()),T(e)},t.yank=function(e){var t=e.getCursor();e.replaceRange(a(g(e)),t,t,"paste"),e.setSelection(t,e.getCursor())},t.yankPop=function(e){e.replaceSelection((i.length>1&&i.pop(),a()),"around","paste")},t.forwardChar=b(u,1),t.backwardChar=b(u,-1),t.deleteChar=function(e){w(e,u,1,!1)},t.deleteForwardChar=function(e){_(e,!1)||w(e,u,1,!1)},t.deleteBackwardChar=function(e){_(e,!1)||w(e,u,-1,!1)},t.forwardWord=b(c,1),t.backwardWord=b(c,-1),t.killWord=function(e){w(e,c,1,"grow")},t.backwardKillWord=function(e){w(e,c,-1,"grow")},t.nextLine=b(d,1),t.previousLine=b(d,-1),t.scrollDownCommand=b(p,-1),t.scrollUpCommand=b(p,1),t.backwardParagraph=b(f,-1),t.forwardParagraph=b(f,1),t.backwardSentence=b(h,-1),t.forwardSentence=b(h,1),t.killSentence=function(e){w(e,h,1,"grow")},t.backwardKillSentence=function(e){l(e,e.getCursor(),h(e,e.getCursor(),1),"grow")},t.killSexp=function(e){w(e,m,1,"grow")},t.backwardKillSexp=function(e){w(e,m,-1,"grow")},t.forwardSexp=b(m,1),t.backwardSexp=b(m,-1),t.markSexp=function(e){var t=e.getCursor();e.setSelection(y(e,t,m,1),t)},t.transposeSexps=function(e){var t=m(e,e.getCursor(),-1),n=m(e,t,1),r=m(e,n,1),i=m(e,r,-1);e.replaceRange(e.getRange(i,r)+e.getRange(n,i)+e.getRange(t,n),t,r)},t.backwardUpList=v((function(e){for(var t=e.getCursor(),r=t.line,i=t.ch,o=[];r>=e.firstLine();){for(var a=e.getLine(r),s=null==i?a.length:i;s>0;)if(")"==(i=a.charAt(--s)))o.push("(");else if("]"==i)o.push("[");else if("}"==i)o.push("{");else if(/[\(\{\[]/.test(i)&&(!o.length||o.pop()!=i))return e.extendSelection(n(r,s));--r,i=null}})),t.justOneSpace=function(e){for(var t=e.getCursor(),r=t.ch,i=t.ch,o=e.getLine(t.line);r&&/\s/.test(o.charAt(r-1));)--r;for(;i<o.length&&/\s/.test(o.charAt(i));)++i;e.replaceRange(" ",n(t.line,r),n(t.line,i))},t.openLine=v((function(e){e.replaceSelection("\n","start")})),t.transposeCharsRepeatable=v((function(e){e.execCommand("transposeChars")})),t.capitalizeWord=v((function(e){P(e,(function(e){var t=e.search(/\w/);return-1==t?e:e.slice(0,t)+e.charAt(t).toUpperCase()+e.slice(t+1).toLowerCase()}))})),t.upcaseWord=v((function(e){P(e,(function(e){return e.toUpperCase()}))})),t.downcaseWord=v((function(e){P(e,(function(e){return e.toLowerCase()}))})),t.undoRepeatable=v("undo"),t.keyboardQuit=function(e){e.execCommand("clearSearch"),T(e)},t.newline=v((function(e){e.replaceSelection("\n","end")})),t.gotoLine=function(e){var t=g(e,!0);if(null!=t&&t>0)return e.setCursor(t-1);O(e,"Goto line",(function(t){var n;t&&!isNaN(n=Number(t))&&n==(0|n)&&n>0&&e.setCursor(n-1)}))},t.indentRigidly=function(e){e.indentSelection(g(e,!0)||e.getOption("indentUnit"))},t.exchangePointAndMark=function(e){e.setSelection(e.getCursor("head"),e.getCursor("anchor"))},t.quotedInsertTab=v("insertTab"),t.universalArgument=function(e){e.state.emacsPrefixMap=!0,e.addKeyMap(R),e.on("keyHandled",A),e.on("inputRead",A)},e.emacs={kill:l,killRegion:_,repeated:v};var D=e.keyMap.emacs=e.normalizeKeyMap({"Ctrl-W":"killRegion","Ctrl-K":"killLineEmacs","Alt-W":"killRingSave","Ctrl-Y":"yank","Alt-Y":"yankPop","Ctrl-Space":"setMark","Ctrl-Shift-2":"setMark","Ctrl-F":"forwardChar","Ctrl-B":"backwardChar",Right:"forwardChar",Left:"backwardChar","Ctrl-D":"deleteChar",Delete:"deleteForwardChar","Ctrl-H":"deleteBackwardChar",Backspace:"deleteBackwardChar","Alt-F":"forwardWord","Alt-B":"backwardWord","Alt-Right":"forwardWord","Alt-Left":"backwardWord","Alt-D":"killWord","Alt-Backspace":"backwardKillWord","Ctrl-N":"nextLine","Ctrl-P":"previousLine",Down:"nextLine",Up:"previousLine","Ctrl-A":"goLineStart","Ctrl-E":"goLineEnd",End:"goLineEnd",Home:"goLineStart","Alt-V":"scrollDownCommand","Ctrl-V":"scrollUpCommand",PageUp:"scrollDownCommand",PageDown:"scrollUpCommand","Ctrl-Up":"backwardParagraph","Ctrl-Down":"forwardParagraph","Alt-{":"backwardParagraph","Alt-}":"forwardParagraph","Alt-A":"backwardSentence","Alt-E":"forwardSentence","Alt-K":"killSentence","Ctrl-X Delete":"backwardKillSentence","Ctrl-Alt-K":"killSexp","Ctrl-Alt-Backspace":"backwardKillSexp","Ctrl-Alt-F":"forwardSexp","Ctrl-Alt-B":"backwardSexp","Shift-Ctrl-Alt-2":"markSexp","Ctrl-Alt-T":"transposeSexps","Ctrl-Alt-U":"backwardUpList","Alt-Space":"justOneSpace","Ctrl-O":"openLine","Ctrl-T":"transposeCharsRepeatable","Alt-C":"capitalizeWord","Alt-U":"upcaseWord","Alt-L":"downcaseWord","Alt-;":"toggleComment","Ctrl-/":"undoRepeatable","Shift-Ctrl--":"undoRepeatable","Ctrl-Z":"undoRepeatable","Cmd-Z":"undoRepeatable","Ctrl-X U":"undoRepeatable","Shift-Ctrl-Z":"redo","Shift-Alt-,":"goDocStart","Shift-Alt-.":"goDocEnd","Ctrl-S":"findPersistentNext","Ctrl-R":"findPersistentPrev","Ctrl-G":"keyboardQuit","Shift-Alt-5":"replace","Alt-/":"autocomplete",Enter:"newlineAndIndent","Ctrl-J":"newline",Tab:"indentAuto","Alt-G G":"gotoLine","Ctrl-X Tab":"indentRigidly","Ctrl-X Ctrl-X":"exchangePointAndMark","Ctrl-X Ctrl-S":"save","Ctrl-X Ctrl-W":"save","Ctrl-X S":"saveAll","Ctrl-X F":"open","Ctrl-X K":"close","Ctrl-X H":"selectAll","Ctrl-Q Tab":"quotedInsertTab","Ctrl-U":"universalArgument",fallthrough:"default"}),R={"Ctrl-G":C};function I(e){R[e]=function(t){x(t,e)},D["Ctrl-"+e]=function(t){x(t,e)},k["Ctrl-"+e]=!0}for(var M=0;M<10;++M)I(String(M));I("-")}(n(23326))},94521:(e,t,n)=>{!function(e){"use strict";var t=e.commands,n=e.Pos;function r(t,r){t.extendSelectionsBy((function(i){return t.display.shift||t.doc.extend||i.empty()?function(t,r,i){if(i<0&&0==r.ch)return t.clipPos(n(r.line-1));var o=t.getLine(r.line);if(i>0&&r.ch>=o.length)return t.clipPos(n(r.line+1,0));for(var a,s="start",l=r.ch,u=l,c=i<0?0:o.length,d=0;u!=c;u+=i,d++){var p=o.charAt(i<0?u-1:u),f="_"!=p&&e.isWordChar(p)?"w":"o";if("w"==f&&p.toUpperCase()==p&&(f="W"),"start"==s)"o"!=f?(s="in",a=f):l=u+i;else if("in"==s&&a!=f){if("w"==a&&"W"==f&&i<0&&u--,"W"==a&&"w"==f&&i>0){if(u==l+1){a="w";continue}u--}break}}return n(r.line,u)}(t.doc,i.head,r):r<0?i.from():i.to()}))}function i(t,r){if(t.isReadOnly())return e.Pass;t.operation((function(){for(var e=t.listSelections().length,i=[],o=-1,a=0;a<e;a++){var s=t.listSelections()[a].head;if(!(s.line<=o)){var l=n(s.line+(r?0:1),0);t.replaceRange("\n",l,null,"+insertLine"),t.indentLine(l.line,null,!0),i.push({head:l,anchor:l}),o=s.line+1}}t.setSelections(i)})),t.execCommand("indentAuto")}function o(t,r){for(var i=r.ch,o=i,a=t.getLine(r.line);i&&e.isWordChar(a.charAt(i-1));)--i;for(;o<a.length&&e.isWordChar(a.charAt(o));)++o;return{from:n(r.line,i),to:n(r.line,o),word:a.slice(i,o)}}function a(e,t){for(var n=e.listSelections(),r=[],i=0;i<n.length;i++){var o=n[i],a=e.findPosV(o.anchor,t,"line",o.anchor.goalColumn),s=e.findPosV(o.head,t,"line",o.head.goalColumn);a.goalColumn=null!=o.anchor.goalColumn?o.anchor.goalColumn:e.cursorCoords(o.anchor,"div").left,s.goalColumn=null!=o.head.goalColumn?o.head.goalColumn:e.cursorCoords(o.head,"div").left;var l={anchor:a,head:s};r.push(o),r.push(l)}e.setSelections(r)}t.goSubwordLeft=function(e){r(e,-1)},t.goSubwordRight=function(e){r(e,1)},t.scrollLineUp=function(e){var t=e.getScrollInfo();if(!e.somethingSelected()){var n=e.lineAtHeight(t.top+t.clientHeight,"local");e.getCursor().line>=n&&e.execCommand("goLineUp")}e.scrollTo(null,t.top-e.defaultTextHeight())},t.scrollLineDown=function(e){var t=e.getScrollInfo();if(!e.somethingSelected()){var n=e.lineAtHeight(t.top,"local")+1;e.getCursor().line<=n&&e.execCommand("goLineDown")}e.scrollTo(null,t.top+e.defaultTextHeight())},t.splitSelectionByLine=function(e){for(var t=e.listSelections(),r=[],i=0;i<t.length;i++)for(var o=t[i].from(),a=t[i].to(),s=o.line;s<=a.line;++s)a.line>o.line&&s==a.line&&0==a.ch||r.push({anchor:s==o.line?o:n(s,0),head:s==a.line?a:n(s)});e.setSelections(r,0)},t.singleSelectionTop=function(e){var t=e.listSelections()[0];e.setSelection(t.anchor,t.head,{scroll:!1})},t.selectLine=function(e){for(var t=e.listSelections(),r=[],i=0;i<t.length;i++){var o=t[i];r.push({anchor:n(o.from().line,0),head:n(o.to().line+1,0)})}e.setSelections(r)},t.insertLineAfter=function(e){return i(e,!1)},t.insertLineBefore=function(e){return i(e,!0)},t.selectNextOccurrence=function(t){var r=t.getCursor("from"),i=t.getCursor("to"),a=t.state.sublimeFindFullWord==t.doc.sel;if(0==e.cmpPos(r,i)){var s=o(t,r);if(!s.word)return;t.setSelection(s.from,s.to),a=!0}else{var l=t.getRange(r,i),u=a?new RegExp("\\b"+l+"\\b"):l,c=t.getSearchCursor(u,i),d=c.findNext();if(d||(d=(c=t.getSearchCursor(u,n(t.firstLine(),0))).findNext()),!d||function(t,n,r){for(var i=0;i<t.length;i++)if(0==e.cmpPos(t[i].from(),n)&&0==e.cmpPos(t[i].to(),r))return!0;return!1}(t.listSelections(),c.from(),c.to()))return;t.addSelection(c.from(),c.to())}a&&(t.state.sublimeFindFullWord=t.doc.sel)},t.skipAndSelectNextOccurrence=function(n){var r=n.getCursor("anchor"),i=n.getCursor("head");t.selectNextOccurrence(n),0!=e.cmpPos(r,i)&&n.doc.setSelections(n.doc.listSelections().filter((function(e){return e.anchor!=r||e.head!=i})))},t.addCursorToPrevLine=function(e){a(e,-1)},t.addCursorToNextLine=function(e){a(e,1)};var s="(){}[]";function l(t){for(var r=t.listSelections(),i=[],o=0;o<r.length;o++){var a=r[o],l=a.head,u=t.scanForBracket(l,-1);if(!u)return!1;for(;;){var c=t.scanForBracket(l,1);if(!c)return!1;if(c.ch==s.charAt(s.indexOf(u.ch)+1)){var d=n(u.pos.line,u.pos.ch+1);if(0!=e.cmpPos(d,a.from())||0!=e.cmpPos(c.pos,a.to())){i.push({anchor:d,head:c.pos});break}if(!(u=t.scanForBracket(u.pos,-1)))return!1}l=n(c.pos.line,c.pos.ch+1)}}return t.setSelections(i),!0}function u(e){return e?/\bpunctuation\b/.test(e)?e:void 0:null}function c(t,r,i){if(t.isReadOnly())return e.Pass;for(var o,a=t.listSelections(),s=[],l=0;l<a.length;l++){var u=a[l];if(!u.empty()){for(var c=u.from().line,d=u.to().line;l<a.length-1&&a[l+1].from().line==d;)d=a[++l].to().line;a[l].to().ch||d--,s.push(c,d)}}s.length?o=!0:s.push(t.firstLine(),t.lastLine()),t.operation((function(){for(var e=[],a=0;a<s.length;a+=2){var l=s[a],u=s[a+1],c=n(l,0),d=n(u),p=t.getRange(c,d,!1);r?p.sort((function(e,t){return e<t?-i:e==t?0:i})):p.sort((function(e,t){var n=e.toUpperCase(),r=t.toUpperCase();return n!=r&&(e=n,t=r),e<t?-i:e==t?0:i})),t.replaceRange(p,c,d),o&&e.push({anchor:c,head:n(u+1,0)})}o&&t.setSelections(e,0)}))}function d(t,n){t.operation((function(){for(var r=t.listSelections(),i=[],a=[],s=0;s<r.length;s++)(u=r[s]).empty()?(i.push(s),a.push("")):a.push(n(t.getRange(u.from(),u.to())));var l;for(t.replaceSelections(a,"around","case"),s=i.length-1;s>=0;s--){var u=r[i[s]];if(!(l&&e.cmpPos(u.head,l)>0)){var c=o(t,u.head);l=c.from,t.replaceRange(n(c.word),c.from,c.to)}}}))}function p(t){var n=t.getCursor("from"),r=t.getCursor("to");if(0==e.cmpPos(n,r)){var i=o(t,n);if(!i.word)return;n=i.from,r=i.to}return{from:n,to:r,query:t.getRange(n,r),word:i}}function f(e,t){var r=p(e);if(r){var i=r.query,o=e.getSearchCursor(i,t?r.to:r.from);(t?o.findNext():o.findPrevious())?e.setSelection(o.from(),o.to()):(o=e.getSearchCursor(i,t?n(e.firstLine(),0):e.clipPos(n(e.lastLine()))),(t?o.findNext():o.findPrevious())?e.setSelection(o.from(),o.to()):r.word&&e.setSelection(r.from,r.to))}}t.selectScope=function(e){l(e)||e.execCommand("selectAll")},t.selectBetweenBrackets=function(t){if(!l(t))return e.Pass},t.goToBracket=function(t){t.extendSelectionsBy((function(r){var i=t.scanForBracket(r.head,1,u(t.getTokenTypeAt(r.head)));if(i&&0!=e.cmpPos(i.pos,r.head))return i.pos;var o=t.scanForBracket(r.head,-1,u(t.getTokenTypeAt(n(r.head.line,r.head.ch+1))));return o&&n(o.pos.line,o.pos.ch+1)||r.head}))},t.swapLineUp=function(t){if(t.isReadOnly())return e.Pass;for(var r=t.listSelections(),i=[],o=t.firstLine()-1,a=[],s=0;s<r.length;s++){var l=r[s],u=l.from().line-1,c=l.to().line;a.push({anchor:n(l.anchor.line-1,l.anchor.ch),head:n(l.head.line-1,l.head.ch)}),0!=l.to().ch||l.empty()||--c,u>o?i.push(u,c):i.length&&(i[i.length-1]=c),o=c}t.operation((function(){for(var e=0;e<i.length;e+=2){var r=i[e],o=i[e+1],s=t.getLine(r);t.replaceRange("",n(r,0),n(r+1,0),"+swapLine"),o>t.lastLine()?t.replaceRange("\n"+s,n(t.lastLine()),null,"+swapLine"):t.replaceRange(s+"\n",n(o,0),null,"+swapLine")}t.setSelections(a),t.scrollIntoView()}))},t.swapLineDown=function(t){if(t.isReadOnly())return e.Pass;for(var r=t.listSelections(),i=[],o=t.lastLine()+1,a=r.length-1;a>=0;a--){var s=r[a],l=s.to().line+1,u=s.from().line;0!=s.to().ch||s.empty()||l--,l<o?i.push(l,u):i.length&&(i[i.length-1]=u),o=u}t.operation((function(){for(var e=i.length-2;e>=0;e-=2){var r=i[e],o=i[e+1],a=t.getLine(r);r==t.lastLine()?t.replaceRange("",n(r-1),n(r),"+swapLine"):t.replaceRange("",n(r,0),n(r+1,0),"+swapLine"),t.replaceRange(a+"\n",n(o,0),null,"+swapLine")}t.scrollIntoView()}))},t.toggleCommentIndented=function(e){e.toggleComment({indent:!0})},t.joinLines=function(e){for(var t=e.listSelections(),r=[],i=0;i<t.length;i++){for(var o=t[i],a=o.from(),s=a.line,l=o.to().line;i<t.length-1&&t[i+1].from().line==l;)l=t[++i].to().line;r.push({start:s,end:l,anchor:!o.empty()&&a})}e.operation((function(){for(var t=0,i=[],o=0;o<r.length;o++){for(var a,s=r[o],l=s.anchor&&n(s.anchor.line-t,s.anchor.ch),u=s.start;u<=s.end;u++){var c=u-t;u==s.end&&(a=n(c,e.getLine(c).length+1)),c<e.lastLine()&&(e.replaceRange(" ",n(c),n(c+1,/^\s*/.exec(e.getLine(c+1))[0].length)),++t)}i.push({anchor:l||a,head:a})}e.setSelections(i,0)}))},t.duplicateLine=function(e){e.operation((function(){for(var t=e.listSelections().length,r=0;r<t;r++){var i=e.listSelections()[r];i.empty()?e.replaceRange(e.getLine(i.head.line)+"\n",n(i.head.line,0)):e.replaceRange(e.getRange(i.from(),i.to()),i.from())}e.scrollIntoView()}))},t.sortLines=function(e){c(e,!0,1)},t.reverseSortLines=function(e){c(e,!0,-1)},t.sortLinesInsensitive=function(e){c(e,!1,1)},t.reverseSortLinesInsensitive=function(e){c(e,!1,-1)},t.nextBookmark=function(e){var t=e.state.sublimeBookmarks;if(t)for(;t.length;){var n=t.shift(),r=n.find();if(r)return t.push(n),e.setSelection(r.from,r.to)}},t.prevBookmark=function(e){var t=e.state.sublimeBookmarks;if(t)for(;t.length;){t.unshift(t.pop());var n=t[t.length-1].find();if(n)return e.setSelection(n.from,n.to);t.pop()}},t.toggleBookmark=function(e){for(var t=e.listSelections(),n=e.state.sublimeBookmarks||(e.state.sublimeBookmarks=[]),r=0;r<t.length;r++){for(var i=t[r].from(),o=t[r].to(),a=t[r].empty()?e.findMarksAt(i):e.findMarks(i,o),s=0;s<a.length;s++)if(a[s].sublimeBookmark){a[s].clear();for(var l=0;l<n.length;l++)n[l]==a[s]&&n.splice(l--,1);break}s==a.length&&n.push(e.markText(i,o,{sublimeBookmark:!0,clearWhenEmpty:!1}))}},t.clearBookmarks=function(e){var t=e.state.sublimeBookmarks;if(t)for(var n=0;n<t.length;n++)t[n].clear();t.length=0},t.selectBookmarks=function(e){var t=e.state.sublimeBookmarks,n=[];if(t)for(var r=0;r<t.length;r++){var i=t[r].find();i?n.push({anchor:i.from,head:i.to}):t.splice(r--,0)}n.length&&e.setSelections(n,0)},t.smartBackspace=function(t){if(t.somethingSelected())return e.Pass;t.operation((function(){for(var r=t.listSelections(),i=t.getOption("indentUnit"),o=r.length-1;o>=0;o--){var a=r[o].head,s=t.getRange({line:a.line,ch:0},a),l=e.countColumn(s,null,t.getOption("tabSize")),u=t.findPosH(a,-1,"char",!1);if(s&&!/\S/.test(s)&&l%i==0){var c=new n(a.line,e.findColumn(s,l-i,i));c.ch!=a.ch&&(u=c)}t.replaceRange("",u,a,"+delete")}}))},t.delLineRight=function(e){e.operation((function(){for(var t=e.listSelections(),r=t.length-1;r>=0;r--)e.replaceRange("",t[r].anchor,n(t[r].to().line),"+delete");e.scrollIntoView()}))},t.upcaseAtCursor=function(e){d(e,(function(e){return e.toUpperCase()}))},t.downcaseAtCursor=function(e){d(e,(function(e){return e.toLowerCase()}))},t.setSublimeMark=function(e){e.state.sublimeMark&&e.state.sublimeMark.clear(),e.state.sublimeMark=e.setBookmark(e.getCursor())},t.selectToSublimeMark=function(e){var t=e.state.sublimeMark&&e.state.sublimeMark.find();t&&e.setSelection(e.getCursor(),t)},t.deleteToSublimeMark=function(t){var n=t.state.sublimeMark&&t.state.sublimeMark.find();if(n){var r=t.getCursor(),i=n;if(e.cmpPos(r,i)>0){var o=i;i=r,r=o}t.state.sublimeKilled=t.getRange(r,i),t.replaceRange("",r,i)}},t.swapWithSublimeMark=function(e){var t=e.state.sublimeMark&&e.state.sublimeMark.find();t&&(e.state.sublimeMark.clear(),e.state.sublimeMark=e.setBookmark(e.getCursor()),e.setCursor(t))},t.sublimeYank=function(e){null!=e.state.sublimeKilled&&e.replaceSelection(e.state.sublimeKilled,null,"paste")},t.showInCenter=function(e){var t=e.cursorCoords(null,"local");e.scrollTo(null,(t.top+t.bottom)/2-e.getScrollInfo().clientHeight/2)},t.findUnder=function(e){f(e,!0)},t.findUnderPrevious=function(e){f(e,!1)},t.findAllUnder=function(e){var t=p(e);if(t){for(var n=e.getSearchCursor(t.query),r=[],i=-1;n.findNext();)r.push({anchor:n.from(),head:n.to()}),n.from().line<=t.from.line&&n.from().ch<=t.from.ch&&i++;e.setSelections(r,i)}};var h=e.keyMap;h.macSublime={"Cmd-Left":"goLineStartSmart","Shift-Tab":"indentLess","Shift-Ctrl-K":"deleteLine","Alt-Q":"wrapLines","Ctrl-Left":"goSubwordLeft","Ctrl-Right":"goSubwordRight","Ctrl-Alt-Up":"scrollLineUp","Ctrl-Alt-Down":"scrollLineDown","Cmd-L":"selectLine","Shift-Cmd-L":"splitSelectionByLine",Esc:"singleSelectionTop","Cmd-Enter":"insertLineAfter","Shift-Cmd-Enter":"insertLineBefore","Cmd-D":"selectNextOccurrence","Shift-Cmd-Space":"selectScope","Shift-Cmd-M":"selectBetweenBrackets","Cmd-M":"goToBracket","Cmd-Ctrl-Up":"swapLineUp","Cmd-Ctrl-Down":"swapLineDown","Cmd-/":"toggleCommentIndented","Cmd-J":"joinLines","Shift-Cmd-D":"duplicateLine",F5:"sortLines","Shift-F5":"reverseSortLines","Cmd-F5":"sortLinesInsensitive","Shift-Cmd-F5":"reverseSortLinesInsensitive",F2:"nextBookmark","Shift-F2":"prevBookmark","Cmd-F2":"toggleBookmark","Shift-Cmd-F2":"clearBookmarks","Alt-F2":"selectBookmarks",Backspace:"smartBackspace","Cmd-K Cmd-D":"skipAndSelectNextOccurrence","Cmd-K Cmd-K":"delLineRight","Cmd-K Cmd-U":"upcaseAtCursor","Cmd-K Cmd-L":"downcaseAtCursor","Cmd-K Cmd-Space":"setSublimeMark","Cmd-K Cmd-A":"selectToSublimeMark","Cmd-K Cmd-W":"deleteToSublimeMark","Cmd-K Cmd-X":"swapWithSublimeMark","Cmd-K Cmd-Y":"sublimeYank","Cmd-K Cmd-C":"showInCenter","Cmd-K Cmd-G":"clearBookmarks","Cmd-K Cmd-Backspace":"delLineLeft","Cmd-K Cmd-1":"foldAll","Cmd-K Cmd-0":"unfoldAll","Cmd-K Cmd-J":"unfoldAll","Ctrl-Shift-Up":"addCursorToPrevLine","Ctrl-Shift-Down":"addCursorToNextLine","Cmd-F3":"findUnder","Shift-Cmd-F3":"findUnderPrevious","Alt-F3":"findAllUnder","Shift-Cmd-[":"fold","Shift-Cmd-]":"unfold","Cmd-I":"findIncremental","Shift-Cmd-I":"findIncrementalReverse","Cmd-H":"replace",F3:"findNext","Shift-F3":"findPrev",fallthrough:"macDefault"},e.normalizeKeyMap(h.macSublime),h.pcSublime={"Shift-Tab":"indentLess","Shift-Ctrl-K":"deleteLine","Alt-Q":"wrapLines","Ctrl-T":"transposeChars","Alt-Left":"goSubwordLeft","Alt-Right":"goSubwordRight","Ctrl-Up":"scrollLineUp","Ctrl-Down":"scrollLineDown","Ctrl-L":"selectLine","Shift-Ctrl-L":"splitSelectionByLine",Esc:"singleSelectionTop","Ctrl-Enter":"insertLineAfter","Shift-Ctrl-Enter":"insertLineBefore","Ctrl-D":"selectNextOccurrence","Shift-Ctrl-Space":"selectScope","Shift-Ctrl-M":"selectBetweenBrackets","Ctrl-M":"goToBracket","Shift-Ctrl-Up":"swapLineUp","Shift-Ctrl-Down":"swapLineDown","Ctrl-/":"toggleCommentIndented","Ctrl-J":"joinLines","Shift-Ctrl-D":"duplicateLine",F9:"sortLines","Shift-F9":"reverseSortLines","Ctrl-F9":"sortLinesInsensitive","Shift-Ctrl-F9":"reverseSortLinesInsensitive",F2:"nextBookmark","Shift-F2":"prevBookmark","Ctrl-F2":"toggleBookmark","Shift-Ctrl-F2":"clearBookmarks","Alt-F2":"selectBookmarks",Backspace:"smartBackspace","Ctrl-K Ctrl-D":"skipAndSelectNextOccurrence","Ctrl-K Ctrl-K":"delLineRight","Ctrl-K Ctrl-U":"upcaseAtCursor","Ctrl-K Ctrl-L":"downcaseAtCursor","Ctrl-K Ctrl-Space":"setSublimeMark","Ctrl-K Ctrl-A":"selectToSublimeMark","Ctrl-K Ctrl-W":"deleteToSublimeMark","Ctrl-K Ctrl-X":"swapWithSublimeMark","Ctrl-K Ctrl-Y":"sublimeYank","Ctrl-K Ctrl-C":"showInCenter","Ctrl-K Ctrl-G":"clearBookmarks","Ctrl-K Ctrl-Backspace":"delLineLeft","Ctrl-K Ctrl-1":"foldAll","Ctrl-K Ctrl-0":"unfoldAll","Ctrl-K Ctrl-J":"unfoldAll","Ctrl-Alt-Up":"addCursorToPrevLine","Ctrl-Alt-Down":"addCursorToNextLine","Ctrl-F3":"findUnder","Shift-Ctrl-F3":"findUnderPrevious","Alt-F3":"findAllUnder","Shift-Ctrl-[":"fold","Shift-Ctrl-]":"unfold","Ctrl-I":"findIncremental","Shift-Ctrl-I":"findIncrementalReverse","Ctrl-H":"replace",F3:"findNext","Shift-F3":"findPrev",fallthrough:"pcDefault"},e.normalizeKeyMap(h.pcSublime);var m=h.default==h.macDefault;h.sublime=m?h.macSublime:h.pcSublime}(n(23326),n(19757),n(32407))},50832:(e,t,n)=>{!function(e){"use strict";function t(e){var t=e.Pos;function n(e,n){var r=e.state.vim;if(!r||r.insertMode)return n.head;var i=r.sel.head;return i?r.visualBlock&&n.head.line!=i.line?void 0:n.from()!=n.anchor||n.empty()||n.head.line!=i.line||n.head.ch==i.ch?n.head:new t(n.head.line,n.head.ch-1):n.head}var r=[{keys:"<Left>",type:"keyToKey",toKeys:"h"},{keys:"<Right>",type:"keyToKey",toKeys:"l"},{keys:"<Up>",type:"keyToKey",toKeys:"k"},{keys:"<Down>",type:"keyToKey",toKeys:"j"},{keys:"g<Up>",type:"keyToKey",toKeys:"gk"},{keys:"g<Down>",type:"keyToKey",toKeys:"gj"},{keys:"<Space>",type:"keyToKey",toKeys:"l"},{keys:"<BS>",type:"keyToKey",toKeys:"h",context:"normal"},{keys:"<Del>",type:"keyToKey",toKeys:"x",context:"normal"},{keys:"<C-Space>",type:"keyToKey",toKeys:"W"},{keys:"<C-BS>",type:"keyToKey",toKeys:"B",context:"normal"},{keys:"<S-Space>",type:"keyToKey",toKeys:"w"},{keys:"<S-BS>",type:"keyToKey",toKeys:"b",context:"normal"},{keys:"<C-n>",type:"keyToKey",toKeys:"j"},{keys:"<C-p>",type:"keyToKey",toKeys:"k"},{keys:"<C-[>",type:"keyToKey",toKeys:"<Esc>"},{keys:"<C-c>",type:"keyToKey",toKeys:"<Esc>"},{keys:"<C-[>",type:"keyToKey",toKeys:"<Esc>",context:"insert"},{keys:"<C-c>",type:"keyToKey",toKeys:"<Esc>",context:"insert"},{keys:"<C-Esc>",type:"keyToKey",toKeys:"<Esc>"},{keys:"<C-Esc>",type:"keyToKey",toKeys:"<Esc>",context:"insert"},{keys:"s",type:"keyToKey",toKeys:"cl",context:"normal"},{keys:"s",type:"keyToKey",toKeys:"c",context:"visual"},{keys:"S",type:"keyToKey",toKeys:"cc",context:"normal"},{keys:"S",type:"keyToKey",toKeys:"VdO",context:"visual"},{keys:"<Home>",type:"keyToKey",toKeys:"0"},{keys:"<End>",type:"keyToKey",toKeys:"$"},{keys:"<PageUp>",type:"keyToKey",toKeys:"<C-b>"},{keys:"<PageDown>",type:"keyToKey",toKeys:"<C-f>"},{keys:"<CR>",type:"keyToKey",toKeys:"j^",context:"normal"},{keys:"<Ins>",type:"keyToKey",toKeys:"i",context:"normal"},{keys:"<Ins>",type:"action",action:"toggleOverwrite",context:"insert"},{keys:"H",type:"motion",motion:"moveToTopLine",motionArgs:{linewise:!0,toJumplist:!0}},{keys:"M",type:"motion",motion:"moveToMiddleLine",motionArgs:{linewise:!0,toJumplist:!0}},{keys:"L",type:"motion",motion:"moveToBottomLine",motionArgs:{linewise:!0,toJumplist:!0}},{keys:"h",type:"motion",motion:"moveByCharacters",motionArgs:{forward:!1}},{keys:"l",type:"motion",motion:"moveByCharacters",motionArgs:{forward:!0}},{keys:"j",type:"motion",motion:"moveByLines",motionArgs:{forward:!0,linewise:!0}},{keys:"k",type:"motion",motion:"moveByLines",motionArgs:{forward:!1,linewise:!0}},{keys:"gj",type:"motion",motion:"moveByDisplayLines",motionArgs:{forward:!0}},{keys:"gk",type:"motion",motion:"moveByDisplayLines",motionArgs:{forward:!1}},{keys:"w",type:"motion",motion:"moveByWords",motionArgs:{forward:!0,wordEnd:!1}},{keys:"W",type:"motion",motion:"moveByWords",motionArgs:{forward:!0,wordEnd:!1,bigWord:!0}},{keys:"e",type:"motion",motion:"moveByWords",motionArgs:{forward:!0,wordEnd:!0,inclusive:!0}},{keys:"E",type:"motion",motion:"moveByWords",motionArgs:{forward:!0,wordEnd:!0,bigWord:!0,inclusive:!0}},{keys:"b",type:"motion",motion:"moveByWords",motionArgs:{forward:!1,wordEnd:!1}},{keys:"B",type:"motion",motion:"moveByWords",motionArgs:{forward:!1,wordEnd:!1,bigWord:!0}},{keys:"ge",type:"motion",motion:"moveByWords",motionArgs:{forward:!1,wordEnd:!0,inclusive:!0}},{keys:"gE",type:"motion",motion:"moveByWords",motionArgs:{forward:!1,wordEnd:!0,bigWord:!0,inclusive:!0}},{keys:"{",type:"motion",motion:"moveByParagraph",motionArgs:{forward:!1,toJumplist:!0}},{keys:"}",type:"motion",motion:"moveByParagraph",motionArgs:{forward:!0,toJumplist:!0}},{keys:"(",type:"motion",motion:"moveBySentence",motionArgs:{forward:!1}},{keys:")",type:"motion",motion:"moveBySentence",motionArgs:{forward:!0}},{keys:"<C-f>",type:"motion",motion:"moveByPage",motionArgs:{forward:!0}},{keys:"<C-b>",type:"motion",motion:"moveByPage",motionArgs:{forward:!1}},{keys:"<C-d>",type:"motion",motion:"moveByScroll",motionArgs:{forward:!0,explicitRepeat:!0}},{keys:"<C-u>",type:"motion",motion:"moveByScroll",motionArgs:{forward:!1,explicitRepeat:!0}},{keys:"gg",type:"motion",motion:"moveToLineOrEdgeOfDocument",motionArgs:{forward:!1,explicitRepeat:!0,linewise:!0,toJumplist:!0}},{keys:"G",type:"motion",motion:"moveToLineOrEdgeOfDocument",motionArgs:{forward:!0,explicitRepeat:!0,linewise:!0,toJumplist:!0}},{keys:"g$",type:"motion",motion:"moveToEndOfDisplayLine"},{keys:"g^",type:"motion",motion:"moveToStartOfDisplayLine"},{keys:"g0",type:"motion",motion:"moveToStartOfDisplayLine"},{keys:"0",type:"motion",motion:"moveToStartOfLine"},{keys:"^",type:"motion",motion:"moveToFirstNonWhiteSpaceCharacter"},{keys:"+",type:"motion",motion:"moveByLines",motionArgs:{forward:!0,toFirstChar:!0}},{keys:"-",type:"motion",motion:"moveByLines",motionArgs:{forward:!1,toFirstChar:!0}},{keys:"_",type:"motion",motion:"moveByLines",motionArgs:{forward:!0,toFirstChar:!0,repeatOffset:-1}},{keys:"$",type:"motion",motion:"moveToEol",motionArgs:{inclusive:!0}},{keys:"%",type:"motion",motion:"moveToMatchedSymbol",motionArgs:{inclusive:!0,toJumplist:!0}},{keys:"f<character>",type:"motion",motion:"moveToCharacter",motionArgs:{forward:!0,inclusive:!0}},{keys:"F<character>",type:"motion",motion:"moveToCharacter",motionArgs:{forward:!1}},{keys:"t<character>",type:"motion",motion:"moveTillCharacter",motionArgs:{forward:!0,inclusive:!0}},{keys:"T<character>",type:"motion",motion:"moveTillCharacter",motionArgs:{forward:!1}},{keys:";",type:"motion",motion:"repeatLastCharacterSearch",motionArgs:{forward:!0}},{keys:",",type:"motion",motion:"repeatLastCharacterSearch",motionArgs:{forward:!1}},{keys:"'<character>",type:"motion",motion:"goToMark",motionArgs:{toJumplist:!0,linewise:!0}},{keys:"`<character>",type:"motion",motion:"goToMark",motionArgs:{toJumplist:!0}},{keys:"]`",type:"motion",motion:"jumpToMark",motionArgs:{forward:!0}},{keys:"[`",type:"motion",motion:"jumpToMark",motionArgs:{forward:!1}},{keys:"]'",type:"motion",motion:"jumpToMark",motionArgs:{forward:!0,linewise:!0}},{keys:"['",type:"motion",motion:"jumpToMark",motionArgs:{forward:!1,linewise:!0}},{keys:"]p",type:"action",action:"paste",isEdit:!0,actionArgs:{after:!0,isEdit:!0,matchIndent:!0}},{keys:"[p",type:"action",action:"paste",isEdit:!0,actionArgs:{after:!1,isEdit:!0,matchIndent:!0}},{keys:"]<character>",type:"motion",motion:"moveToSymbol",motionArgs:{forward:!0,toJumplist:!0}},{keys:"[<character>",type:"motion",motion:"moveToSymbol",motionArgs:{forward:!1,toJumplist:!0}},{keys:"|",type:"motion",motion:"moveToColumn"},{keys:"o",type:"motion",motion:"moveToOtherHighlightedEnd",context:"visual"},{keys:"O",type:"motion",motion:"moveToOtherHighlightedEnd",motionArgs:{sameLine:!0},context:"visual"},{keys:"d",type:"operator",operator:"delete"},{keys:"y",type:"operator",operator:"yank"},{keys:"c",type:"operator",operator:"change"},{keys:"=",type:"operator",operator:"indentAuto"},{keys:">",type:"operator",operator:"indent",operatorArgs:{indentRight:!0}},{keys:"<",type:"operator",operator:"indent",operatorArgs:{indentRight:!1}},{keys:"g~",type:"operator",operator:"changeCase"},{keys:"gu",type:"operator",operator:"changeCase",operatorArgs:{toLower:!0},isEdit:!0},{keys:"gU",type:"operator",operator:"changeCase",operatorArgs:{toLower:!1},isEdit:!0},{keys:"n",type:"motion",motion:"findNext",motionArgs:{forward:!0,toJumplist:!0}},{keys:"N",type:"motion",motion:"findNext",motionArgs:{forward:!1,toJumplist:!0}},{keys:"gn",type:"motion",motion:"findAndSelectNextInclusive",motionArgs:{forward:!0}},{keys:"gN",type:"motion",motion:"findAndSelectNextInclusive",motionArgs:{forward:!1}},{keys:"x",type:"operatorMotion",operator:"delete",motion:"moveByCharacters",motionArgs:{forward:!0},operatorMotionArgs:{visualLine:!1}},{keys:"X",type:"operatorMotion",operator:"delete",motion:"moveByCharacters",motionArgs:{forward:!1},operatorMotionArgs:{visualLine:!0}},{keys:"D",type:"operatorMotion",operator:"delete",motion:"moveToEol",motionArgs:{inclusive:!0},context:"normal"},{keys:"D",type:"operator",operator:"delete",operatorArgs:{linewise:!0},context:"visual"},{keys:"Y",type:"operatorMotion",operator:"yank",motion:"expandToLine",motionArgs:{linewise:!0},context:"normal"},{keys:"Y",type:"operator",operator:"yank",operatorArgs:{linewise:!0},context:"visual"},{keys:"C",type:"operatorMotion",operator:"change",motion:"moveToEol",motionArgs:{inclusive:!0},context:"normal"},{keys:"C",type:"operator",operator:"change",operatorArgs:{linewise:!0},context:"visual"},{keys:"~",type:"operatorMotion",operator:"changeCase",motion:"moveByCharacters",motionArgs:{forward:!0},operatorArgs:{shouldMoveCursor:!0},context:"normal"},{keys:"~",type:"operator",operator:"changeCase",context:"visual"},{keys:"<C-u>",type:"operatorMotion",operator:"delete",motion:"moveToStartOfLine",context:"insert"},{keys:"<C-w>",type:"operatorMotion",operator:"delete",motion:"moveByWords",motionArgs:{forward:!1,wordEnd:!1},context:"insert"},{keys:"<C-w>",type:"idle",context:"normal"},{keys:"<C-i>",type:"action",action:"jumpListWalk",actionArgs:{forward:!0}},{keys:"<C-o>",type:"action",action:"jumpListWalk",actionArgs:{forward:!1}},{keys:"<C-e>",type:"action",action:"scroll",actionArgs:{forward:!0,linewise:!0}},{keys:"<C-y>",type:"action",action:"scroll",actionArgs:{forward:!1,linewise:!0}},{keys:"a",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"charAfter"},context:"normal"},{keys:"A",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"eol"},context:"normal"},{keys:"A",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"endOfSelectedArea"},context:"visual"},{keys:"i",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"inplace"},context:"normal"},{keys:"gi",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"lastEdit"},context:"normal"},{keys:"I",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"firstNonBlank"},context:"normal"},{keys:"gI",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"bol"},context:"normal"},{keys:"I",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{insertAt:"startOfSelectedArea"},context:"visual"},{keys:"o",type:"action",action:"newLineAndEnterInsertMode",isEdit:!0,interlaceInsertRepeat:!0,actionArgs:{after:!0},context:"normal"},{keys:"O",type:"action",action:"newLineAndEnterInsertMode",isEdit:!0,interlaceInsertRepeat:!0,actionArgs:{after:!1},context:"normal"},{keys:"v",type:"action",action:"toggleVisualMode"},{keys:"V",type:"action",action:"toggleVisualMode",actionArgs:{linewise:!0}},{keys:"<C-v>",type:"action",action:"toggleVisualMode",actionArgs:{blockwise:!0}},{keys:"<C-q>",type:"action",action:"toggleVisualMode",actionArgs:{blockwise:!0}},{keys:"gv",type:"action",action:"reselectLastSelection"},{keys:"J",type:"action",action:"joinLines",isEdit:!0},{keys:"gJ",type:"action",action:"joinLines",actionArgs:{keepSpaces:!0},isEdit:!0},{keys:"p",type:"action",action:"paste",isEdit:!0,actionArgs:{after:!0,isEdit:!0}},{keys:"P",type:"action",action:"paste",isEdit:!0,actionArgs:{after:!1,isEdit:!0}},{keys:"r<character>",type:"action",action:"replace",isEdit:!0},{keys:"@<character>",type:"action",action:"replayMacro"},{keys:"q<character>",type:"action",action:"enterMacroRecordMode"},{keys:"R",type:"action",action:"enterInsertMode",isEdit:!0,actionArgs:{replace:!0},context:"normal"},{keys:"R",type:"operator",operator:"change",operatorArgs:{linewise:!0,fullLine:!0},context:"visual",exitVisualBlock:!0},{keys:"u",type:"action",action:"undo",context:"normal"},{keys:"u",type:"operator",operator:"changeCase",operatorArgs:{toLower:!0},context:"visual",isEdit:!0},{keys:"U",type:"operator",operator:"changeCase",operatorArgs:{toLower:!1},context:"visual",isEdit:!0},{keys:"<C-r>",type:"action",action:"redo"},{keys:"m<character>",type:"action",action:"setMark"},{keys:'"<character>',type:"action",action:"setRegister"},{keys:"zz",type:"action",action:"scrollToCursor",actionArgs:{position:"center"}},{keys:"z.",type:"action",action:"scrollToCursor",actionArgs:{position:"center"},motion:"moveToFirstNonWhiteSpaceCharacter"},{keys:"zt",type:"action",action:"scrollToCursor",actionArgs:{position:"top"}},{keys:"z<CR>",type:"action",action:"scrollToCursor",actionArgs:{position:"top"},motion:"moveToFirstNonWhiteSpaceCharacter"},{keys:"zb",type:"action",action:"scrollToCursor",actionArgs:{position:"bottom"}},{keys:"z-",type:"action",action:"scrollToCursor",actionArgs:{position:"bottom"},motion:"moveToFirstNonWhiteSpaceCharacter"},{keys:".",type:"action",action:"repeatLastEdit"},{keys:"<C-a>",type:"action",action:"incrementNumberToken",isEdit:!0,actionArgs:{increase:!0,backtrack:!1}},{keys:"<C-x>",type:"action",action:"incrementNumberToken",isEdit:!0,actionArgs:{increase:!1,backtrack:!1}},{keys:"<C-t>",type:"action",action:"indent",actionArgs:{indentRight:!0},context:"insert"},{keys:"<C-d>",type:"action",action:"indent",actionArgs:{indentRight:!1},context:"insert"},{keys:"a<character>",type:"motion",motion:"textObjectManipulation"},{keys:"i<character>",type:"motion",motion:"textObjectManipulation",motionArgs:{textObjectInner:!0}},{keys:"/",type:"search",searchArgs:{forward:!0,querySrc:"prompt",toJumplist:!0}},{keys:"?",type:"search",searchArgs:{forward:!1,querySrc:"prompt",toJumplist:!0}},{keys:"*",type:"search",searchArgs:{forward:!0,querySrc:"wordUnderCursor",wholeWordOnly:!0,toJumplist:!0}},{keys:"#",type:"search",searchArgs:{forward:!1,querySrc:"wordUnderCursor",wholeWordOnly:!0,toJumplist:!0}},{keys:"g*",type:"search",searchArgs:{forward:!0,querySrc:"wordUnderCursor",toJumplist:!0}},{keys:"g#",type:"search",searchArgs:{forward:!1,querySrc:"wordUnderCursor",toJumplist:!0}},{keys:":",type:"ex"}],i=r.length,o=[{name:"colorscheme",shortName:"colo"},{name:"map"},{name:"imap",shortName:"im"},{name:"nmap",shortName:"nm"},{name:"vmap",shortName:"vm"},{name:"unmap"},{name:"write",shortName:"w"},{name:"undo",shortName:"u"},{name:"redo",shortName:"red"},{name:"set",shortName:"se"},{name:"setlocal",shortName:"setl"},{name:"setglobal",shortName:"setg"},{name:"sort",shortName:"sor"},{name:"substitute",shortName:"s",possiblyAsync:!0},{name:"nohlsearch",shortName:"noh"},{name:"yank",shortName:"y"},{name:"delmarks",shortName:"delm"},{name:"registers",shortName:"reg",excludeFromCommandHistory:!0},{name:"vglobal",shortName:"v"},{name:"global",shortName:"g"}];function a(t){t.setOption("disableInput",!0),t.setOption("showCursorWhenSelecting",!1),e.signal(t,"vim-mode-change",{mode:"normal"}),t.on("cursorActivity",nt),F(t),e.on(t.getInputField(),"paste",p(t))}function s(t,n){this==e.keyMap.vim&&(t.options.$customCursor=null,e.rmClass(t.getWrapperElement(),"cm-fat-cursor")),n&&n.attach==l||function(t){t.setOption("disableInput",!1),t.off("cursorActivity",nt),e.off(t.getInputField(),"paste",p(t)),t.state.vim=null,Ue&&clearTimeout(Ue)}(t)}function l(t,r){this==e.keyMap.vim&&(t.curOp&&(t.curOp.selectionChanged=!0),t.options.$customCursor=n,e.addClass(t.getWrapperElement(),"cm-fat-cursor")),r&&r.attach==l||a(t)}function u(t,n){if(n){if(this[t])return this[t];var r=function(e){if("'"==e.charAt(0))return e.charAt(1);var t=e.split(/-(?!$)/),n=t[t.length-1];if(1==t.length&&1==t[0].length)return!1;if(2==t.length&&"Shift"==t[0]&&1==n.length)return!1;for(var r=!1,i=0;i<t.length;i++){var o=t[i];o in c?t[i]=c[o]:r=!0,o in d&&(t[i]=d[o])}return!!r&&(C(n)&&(t[t.length-1]=n.toLowerCase()),"<"+t.join("-")+">")}(t);if(!r)return!1;var i=z.findKey(n,r);return"function"==typeof i&&e.signal(n,"vim-keypress",r),i}}e.defineOption("vimMode",!1,(function(t,n,r){n&&"vim"!=t.getOption("keyMap")?t.setOption("keyMap","vim"):!n&&r!=e.Init&&/^vim/.test(t.getOption("keyMap"))&&t.setOption("keyMap","default")}));var c={Shift:"S",Ctrl:"C",Alt:"A",Cmd:"D",Mod:"A",CapsLock:""},d={Enter:"CR",Backspace:"BS",Delete:"Del",Insert:"Ins"};function p(e){var t=e.state.vim;return t.onPasteFn||(t.onPasteFn=function(){t.insertMode||(e.setCursor(J(e.getCursor(),0,1)),Z.enterInsertMode(e,{},t))}),t.onPasteFn}var f=/[\d]/,h=[e.isWordChar,function(t){return t&&!e.isWordChar(t)&&!/\s/.test(t)}],m=[function(e){return/\S/.test(e)}];function g(e,t){for(var n=[],r=e;r<e+t;r++)n.push(String.fromCharCode(r));return n}var v,y=g(65,26),b=g(97,26),w=g(48,10),_=[].concat(y,b,w,["<",">"]),x=[].concat(y,b,w,["-",'"',".",":","_","/"]);try{v=new RegExp("^[\\p{Lu}]$","u")}catch(e){v=/^[A-Z]$/}function k(e,t){return t>=e.firstLine()&&t<=e.lastLine()}function E(e){return/^[a-z]$/.test(e)}function C(e){return v.test(e)}function S(e){return/^\s*$/.test(e)}function A(e){return-1!=".?!".indexOf(e)}function T(e,t){for(var n=0;n<t.length;n++)if(t[n]==e)return!0;return!1}var O={};function P(e,t,n,r,i){if(void 0===t&&!i)throw Error("defaultValue is required unless callback is provided");if(n||(n="string"),O[e]={type:n,defaultValue:t,callback:i},r)for(var o=0;o<r.length;o++)O[r[o]]=O[e];t&&D(e,t)}function D(e,t,n,r){var i=O[e],o=(r=r||{}).scope;if(!i)return new Error("Unknown option: "+e);if("boolean"==i.type){if(t&&!0!==t)return new Error("Invalid argument: "+e+"="+t);!1!==t&&(t=!0)}i.callback?("local"!==o&&i.callback(t,void 0),"global"!==o&&n&&i.callback(t,n)):("local"!==o&&(i.value="boolean"==i.type?!!t:t),"global"!==o&&n&&(n.state.vim.options[e]={value:t}))}function R(e,t,n){var r=O[e],i=(n=n||{}).scope;if(!r)return new Error("Unknown option: "+e);if(r.callback){var o=t&&r.callback(void 0,t);return"global"!==i&&void 0!==o?o:"local"!==i?r.callback():void 0}return((o="global"!==i&&t&&t.state.vim.options[e])||"local"!==i&&r||{}).value}P("filetype",void 0,"string",["ft"],(function(e,t){if(void 0!==t){if(void 0===e)return"null"==(n=t.getOption("mode"))?"":n;var n=""==e?"null":e;t.setOption("mode",n)}}));var I,M,L=function(){var e=100,t=-1,n=0,r=0,i=new Array(e);function o(o,a){(t+=a)>n?t=n:t<r&&(t=r);var s=i[(e+t)%e];if(s&&!s.find()){var l,u=a>0?1:-1,c=o.getCursor();do{if((s=i[(e+(t+=u))%e])&&(l=s.find())&&!re(c,l))break}while(t<n&&t>r)}return s}return{cachedCursor:void 0,add:function(o,a,s){var l=i[t%e];function u(n){var r=++t%e,a=i[r];a&&a.clear(),i[r]=o.setBookmark(n)}if(l){var c=l.find();c&&!re(c,a)&&u(a)}else u(a);u(s),n=t,(r=t-e+1)<0&&(r=0)},find:function(e,n){var r=t,i=o(e,n);return t=r,i&&i.find()},move:o}},N=function(e){return e?{changes:e.changes,expectCursorActivityForChange:e.expectCursorActivityForChange}:{changes:[],expectCursorActivityForChange:!1}};function j(){this.latestRegister=void 0,this.isPlaying=!1,this.isRecording=!1,this.replaySearchQueries=[],this.onRecordingDone=void 0,this.lastInsertModeChanges=N()}function F(e){return e.state.vim||(e.state.vim={inputState:new U,lastEditInputState:void 0,lastEditActionCommand:void 0,lastHPos:-1,lastHSPos:-1,lastMotion:null,marks:{},insertMode:!1,insertModeRepeat:void 0,visualMode:!1,visualLine:!1,visualBlock:!1,lastSelection:null,lastPastedText:null,sel:{},options:{}}),e.state.vim}function B(){for(var e in I={searchQuery:null,searchIsReversed:!1,lastSubstituteReplacePart:void 0,jumpList:L(),macroModeState:new j,lastCharacterSearch:{increment:0,forward:!0,selectedCharacter:""},registerController:new W({}),searchHistoryController:new H,exCommandHistoryController:new H},O){var t=O[e];t.value=t.defaultValue}}j.prototype={exitMacroRecordMode:function(){var e=I.macroModeState;e.onRecordingDone&&e.onRecordingDone(),e.onRecordingDone=void 0,e.isRecording=!1},enterMacroRecordMode:function(e,t){var n=I.registerController.getRegister(t);if(n){if(n.clear(),this.latestRegister=t,e.openDialog){var r=je("span",{class:"cm-vim-message"},"recording @"+t);this.onRecordingDone=e.openDialog(r,null,{bottom:!0})}this.isRecording=!0}}};var z={enterVimMode:a,buildKeyMap:function(){},getRegisterController:function(){return I.registerController},resetVimGlobalState_:B,getVimGlobalState_:function(){return I},maybeInitVimState_:F,suppressErrorLogging:!1,InsertModeKey:it,map:function(e,t,n){Xe.map(e,t,n)},unmap:function(e,t){return Xe.unmap(e,t)},noremap:function(e,t,n){function o(e){return e?[e]:["normal","insert","visual"]}for(var a=o(n),s=r.length,l=s-i;l<s&&a.length;l++){var u=r[l];if(!(u.keys!=t||n&&u.context&&u.context!==n||"ex"===u.type.substr(0,2)||"key"===u.type.substr(0,3))){var c={};for(var d in u)c[d]=u[d];c.keys=e,n&&!c.context&&(c.context=n),this._mapCommand(c);var p=o(u.context);a=a.filter((function(e){return-1===p.indexOf(e)}))}}},mapclear:function(e){var t=r.length,n=i,o=r.slice(0,t-n);if(r=r.slice(t-n),e)for(var a=o.length-1;a>=0;a--){var s=o[a];if(e!==s.context)if(s.context)this._mapCommand(s);else{var l=["normal","insert","visual"];for(var u in l)if(l[u]!==e){var c={};for(var d in s)c[d]=s[d];c.context=l[u],this._mapCommand(c)}}}},setOption:D,getOption:R,defineOption:P,defineEx:function(e,t,n){if(t){if(0!==e.indexOf(t))throw new Error('(Vim.defineEx) "'+t+'" is not a prefix of "'+e+'", command not registered')}else t=e;Ze[e]=n,Xe.commandMap_[t]={name:e,shortName:t,type:"api"}},handleKey:function(e,t,n){var r=this.findKey(e,t,n);if("function"==typeof r)return r()},multiSelectHandleKey:function(e,t,n){var r=!1,i=z.maybeInitVimState_(e),o=i.visualBlock||i.wasInVisualBlock,a=e.isInMultiSelectMode();if(i.wasInVisualBlock&&!a?i.wasInVisualBlock=!1:a&&i.visualBlock&&(i.wasInVisualBlock=!0),"<Esc>"!=t||i.insertMode||i.visualMode||!a||"<Esc>"!=i.status)if(o||!a||e.inVirtualSelectionMode)r=z.handleKey(e,t,n);else{var s=lt(i);e.operation((function(){e.curOp.isVimOp=!0,e.forEachSelection((function(){var i=e.getCursor("head"),o=e.getCursor("anchor"),a=ie(i,o)?0:-1,l=ie(i,o)?-1:0;i=J(i,0,a),o=J(o,0,l),e.state.vim.sel.head=i,e.state.vim.sel.anchor=o,r=z.handleKey(e,t,n),e.virtualSelection&&(e.state.vim=lt(s))})),e.curOp.cursorActivity&&!r&&(e.curOp.cursorActivity=!1),e.state.vim=i}),!0)}else q(e);return!r||i.visualMode||i.insert||i.visualMode==e.somethingSelected()||rt(e,i),r},findKey:function(e,t,n){var i,o=F(e);function a(){var r=I.macroModeState;if(r.isRecording){if("q"==t)return r.exitMacroRecordMode(),q(e),!0;"mapping"!=n&&function(e,t){if(!e.isPlaying){var n=e.latestRegister,r=I.registerController.getRegister(n);r&&r.pushText(t)}}(r,t)}}function s(){if("<Esc>"==t){if(o.visualMode)ge(e);else{if(!o.insertMode)return;Qe(e)}return q(e),!0}}return!1===(i=o.insertMode?function(){if(s())return!0;for(var n=o.inputState.keyBuffer=o.inputState.keyBuffer+t,i=1==t.length,a=V.matchCommand(n,r,o.inputState,"insert");n.length>1&&"full"!=a.type;){n=o.inputState.keyBuffer=n.slice(1);var l=V.matchCommand(n,r,o.inputState,"insert");"none"!=l.type&&(a=l)}if("none"==a.type)return q(e),!1;if("partial"==a.type)return M&&window.clearTimeout(M),M=window.setTimeout((function(){o.insertMode&&o.inputState.keyBuffer&&q(e)}),R("insertModeEscKeysTimeout")),!i;if(M&&window.clearTimeout(M),i){for(var u=e.listSelections(),c=0;c<u.length;c++){var d=u[c].head;e.replaceRange("",J(d,0,-(n.length-1)),d,"+input")}I.macroModeState.lastInsertModeChanges.changes.pop()}return q(e),a.command}():function(){if(a()||s())return!0;var n=o.inputState.keyBuffer=o.inputState.keyBuffer+t;if(/^[1-9]\d*$/.test(n))return!0;var i=/^(\d*)(.*)$/.exec(n);if(!i)return q(e),!1;var l=o.visualMode?"visual":"normal",u=i[2]||i[1];o.inputState.operatorShortcut&&o.inputState.operatorShortcut.slice(-1)==u&&(u=o.inputState.operatorShortcut);var c=V.matchCommand(u,r,o.inputState,l);return"none"==c.type?(q(e),!1):"partial"==c.type||("clear"==c.type?(q(e),!0):(o.inputState.keyBuffer="",(i=/^(\d*)(.*)$/.exec(n))[1]&&"0"!=i[1]&&o.inputState.pushRepeatDigit(i[1]),c.command))}())?o.insertMode||1!==t.length?void 0:function(){return!0}:!0===i?function(){return!0}:function(){return e.operation((function(){e.curOp.isVimOp=!0;try{"keyToKey"==i.type?function(n){for(var r;n;)r=/<\w+-.+?>|<\w+>|./.exec(n),t=r[0],n=n.substring(r.index+t.length),z.handleKey(e,t,"mapping")}(i.toKeys):V.processCommand(e,o,i)}catch(t){throw e.state.vim=void 0,F(e),z.suppressErrorLogging||console.log(t),t}return!0}))}},handleEx:function(e,t){Xe.processCommand(e,t)},defineMotion:function(e,t){G[e]=t},defineAction:function(e,t){Z[e]=t},defineOperator:function(e,t){Y[e]=t},mapCommand:function(e,t,n,r,i){var o={keys:e,type:t};for(var a in o[t]=n,o[t+"Args"]=r,i)o[a]=i[a];Je(o)},_mapCommand:Je,defineRegister:function(e,t){var n=I.registerController.registers;if(!e||1!=e.length)throw Error("Register name must be 1 character");if(n[e])throw Error("Register already defined "+e);n[e]=t,x.push(e)},exitVisualMode:ge,exitInsertMode:Qe};function U(){this.prefixRepeat=[],this.motionRepeat=[],this.operator=null,this.operatorArgs=null,this.motion=null,this.motionArgs=null,this.keyBuffer=[],this.registerName=null}function q(t,n){t.state.vim.inputState=new U,e.signal(t,"vim-command-done",n)}function $(e,t,n){this.clear(),this.keyBuffer=[e||""],this.insertModeChanges=[],this.searchQueries=[],this.linewise=!!t,this.blockwise=!!n}function W(e){this.registers=e,this.unnamedRegister=e['"']=new $,e["."]=new $,e[":"]=new $,e["/"]=new $}function H(){this.historyBuffer=[],this.iterator=0,this.initialPrefix=null}U.prototype.pushRepeatDigit=function(e){this.operator?this.motionRepeat=this.motionRepeat.concat(e):this.prefixRepeat=this.prefixRepeat.concat(e)},U.prototype.getRepeat=function(){var e=0;return(this.prefixRepeat.length>0||this.motionRepeat.length>0)&&(e=1,this.prefixRepeat.length>0&&(e*=parseInt(this.prefixRepeat.join(""),10)),this.motionRepeat.length>0&&(e*=parseInt(this.motionRepeat.join(""),10))),e},$.prototype={setText:function(e,t,n){this.keyBuffer=[e||""],this.linewise=!!t,this.blockwise=!!n},pushText:function(e,t){t&&(this.linewise||this.keyBuffer.push("\n"),this.linewise=!0),this.keyBuffer.push(e)},pushInsertModeChanges:function(e){this.insertModeChanges.push(N(e))},pushSearchQuery:function(e){this.searchQueries.push(e)},clear:function(){this.keyBuffer=[],this.insertModeChanges=[],this.searchQueries=[],this.linewise=!1},toString:function(){return this.keyBuffer.join("")}},W.prototype={pushText:function(e,t,n,r,i){if("_"!==e){r&&"\n"!==n.charAt(n.length-1)&&(n+="\n");var o=this.isValidRegister(e)?this.getRegister(e):null;if(o)C(e)?o.pushText(n,r):o.setText(n,r,i),this.unnamedRegister.setText(o.toString(),r);else{switch(t){case"yank":this.registers[0]=new $(n,r,i);break;case"delete":case"change":-1==n.indexOf("\n")?this.registers["-"]=new $(n,r):(this.shiftNumericRegisters_(),this.registers[1]=new $(n,r))}this.unnamedRegister.setText(n,r,i)}}},getRegister:function(e){return this.isValidRegister(e)?(e=e.toLowerCase(),this.registers[e]||(this.registers[e]=new $),this.registers[e]):this.unnamedRegister},isValidRegister:function(e){return e&&T(e,x)},shiftNumericRegisters_:function(){for(var e=9;e>=2;e--)this.registers[e]=this.getRegister(""+(e-1))}},H.prototype={nextMatch:function(e,t){var n=this.historyBuffer,r=t?-1:1;null===this.initialPrefix&&(this.initialPrefix=e);for(var i=this.iterator+r;t?i>=0:i<n.length;i+=r)for(var o=n[i],a=0;a<=o.length;a++)if(this.initialPrefix==o.substring(0,a))return this.iterator=i,o;return i>=n.length?(this.iterator=n.length,this.initialPrefix):i<0?e:void 0},pushInput:function(e){var t=this.historyBuffer.indexOf(e);t>-1&&this.historyBuffer.splice(t,1),e.length&&this.historyBuffer.push(e)},reset:function(){this.initialPrefix=null,this.iterator=this.historyBuffer.length}};var V={matchCommand:function(e,t,n,r){var i,o=function(e,t,n,r){for(var i,o=[],a=[],s=0;s<t.length;s++){var l=t[s];"insert"==n&&"insert"!=l.context||l.context&&l.context!=n||r.operator&&"action"==l.type||!(i=ee(e,l.keys))||("partial"==i&&o.push(l),"full"==i&&a.push(l))}return{partial:o.length&&o,full:a.length&&a}}(e,t,r,n);if(!o.full&&!o.partial)return{type:"none"};if(!o.full&&o.partial)return{type:"partial"};for(var a=0;a<o.full.length;a++){var s=o.full[a];i||(i=s)}if("<character>"==i.keys.slice(-11)){var l=function(e){var t=/^.*(<[^>]+>)$/.exec(e),n=t?t[1]:e.slice(-1);if(n.length>1)switch(n){case"<CR>":n="\n";break;case"<Space>":n=" ";break;default:n=""}return n}(e);if(!l||l.length>1)return{type:"clear"};n.selectedCharacter=l}return{type:"full",command:i}},processCommand:function(e,t,n){switch(t.inputState.repeatOverride=n.repeatOverride,n.type){case"motion":this.processMotion(e,t,n);break;case"operator":this.processOperator(e,t,n);break;case"operatorMotion":this.processOperatorMotion(e,t,n);break;case"action":this.processAction(e,t,n);break;case"search":this.processSearch(e,t,n);break;case"ex":case"keyToEx":this.processEx(e,t,n)}},processMotion:function(e,t,n){t.inputState.motion=n.motion,t.inputState.motionArgs=Q(n.motionArgs),this.evalInput(e,t)},processOperator:function(e,t,n){var r=t.inputState;if(r.operator){if(r.operator==n.operator)return r.motion="expandToLine",r.motionArgs={linewise:!0},void this.evalInput(e,t);q(e)}r.operator=n.operator,r.operatorArgs=Q(n.operatorArgs),n.keys.length>1&&(r.operatorShortcut=n.keys),n.exitVisualBlock&&(t.visualBlock=!1,he(e)),t.visualMode&&this.evalInput(e,t)},processOperatorMotion:function(e,t,n){var r=t.visualMode,i=Q(n.operatorMotionArgs);i&&r&&i.visualLine&&(t.visualLine=!0),this.processOperator(e,t,n),r||this.processMotion(e,t,n)},processAction:function(e,t,n){var r=t.inputState,i=r.getRepeat(),o=!!i,a=Q(n.actionArgs)||{};r.selectedCharacter&&(a.selectedCharacter=r.selectedCharacter),n.operator&&this.processOperator(e,t,n),n.motion&&this.processMotion(e,t,n),(n.motion||n.operator)&&this.evalInput(e,t),a.repeat=i||1,a.repeatIsExplicit=o,a.registerName=r.registerName,q(e),t.lastMotion=null,n.isEdit&&this.recordLastEdit(t,r,n),Z[n.action](e,a,t)},processSearch:function(t,n,r){if(t.getSearchCursor){var i=r.searchArgs.forward,o=r.searchArgs.wholeWordOnly;De(t).setReversed(!i);var a=i?"/":"?",s=De(t).getQuery(),l=t.getScrollInfo();switch(r.searchArgs.querySrc){case"prompt":var u=I.macroModeState;u.isPlaying?f(p=u.replaySearchQueries.shift(),!0,!1):Be(t,{onClose:function(e){t.scrollTo(l.left,l.top),f(e,!0,!0);var n=I.macroModeState;n.isRecording&&function(e,t){if(!e.isPlaying){var n=e.latestRegister,r=I.registerController.getRegister(n);r&&r.pushSearchQuery&&r.pushSearchQuery(t)}}(n,e)},prefix:a,desc:"(JavaScript regexp)",onKeyUp:function(n,r,o){var a,s,u,c=e.keyName(n);"Up"==c||"Down"==c?(a="Up"==c,s=n.target?n.target.selectionEnd:0,o(r=I.searchHistoryController.nextMatch(r,a)||""),s&&n.target&&(n.target.selectionEnd=n.target.selectionStart=Math.min(s,n.target.value.length))):"Left"!=c&&"Right"!=c&&"Ctrl"!=c&&"Alt"!=c&&"Shift"!=c&&I.searchHistoryController.reset();try{u=ze(t,r,!0,!0)}catch(n){}u?t.scrollIntoView($e(t,!i,u),30):(We(t),t.scrollTo(l.left,l.top))},onKeyDown:function(n,r,i){var o=e.keyName(n);"Esc"==o||"Ctrl-C"==o||"Ctrl-["==o||"Backspace"==o&&""==r?(I.searchHistoryController.pushInput(r),I.searchHistoryController.reset(),ze(t,s),We(t),t.scrollTo(l.left,l.top),e.e_stop(n),q(t),i(),t.focus()):"Up"==o||"Down"==o?e.e_stop(n):"Ctrl-U"==o&&(e.e_stop(n),i(""))}});break;case"wordUnderCursor":var c=ye(t,!1,0,!1,!0),d=!0;if(c||(c=ye(t,!1,0,!1,!1),d=!1),!c)return;var p=t.getLine(c.start.line).substring(c.start.ch,c.end.ch);p=d&&o?"\\b"+p+"\\b":p.replace(/([.?*+$\[\]\/\\(){}|\-])/g,"\\$1"),I.jumpList.cachedCursor=t.getCursor(),t.setCursor(c.start),f(p,!0,!1)}}function f(e,i,o){I.searchHistoryController.pushInput(e),I.searchHistoryController.reset();try{ze(t,e,i,o)}catch(n){return Fe(t,"Invalid regex: "+e),void q(t)}V.processMotion(t,n,{type:"motion",motion:"findNext",motionArgs:{forward:!0,toJumplist:r.searchArgs.toJumplist}})}},processEx:function(t,n,r){function i(e){I.exCommandHistoryController.pushInput(e),I.exCommandHistoryController.reset(),Xe.processCommand(t,e),q(t)}function o(n,r,i){var o,a,s=e.keyName(n);("Esc"==s||"Ctrl-C"==s||"Ctrl-["==s||"Backspace"==s&&""==r)&&(I.exCommandHistoryController.pushInput(r),I.exCommandHistoryController.reset(),e.e_stop(n),q(t),i(),t.focus()),"Up"==s||"Down"==s?(e.e_stop(n),o="Up"==s,a=n.target?n.target.selectionEnd:0,i(r=I.exCommandHistoryController.nextMatch(r,o)||""),a&&n.target&&(n.target.selectionEnd=n.target.selectionStart=Math.min(a,n.target.value.length))):"Ctrl-U"==s?(e.e_stop(n),i("")):"Left"!=s&&"Right"!=s&&"Ctrl"!=s&&"Alt"!=s&&"Shift"!=s&&I.exCommandHistoryController.reset()}"keyToEx"==r.type?Xe.processCommand(t,r.exArgs.input):n.visualMode?Be(t,{onClose:i,prefix:":",value:"'<,'>",onKeyDown:o,selectValueOnOpen:!1}):Be(t,{onClose:i,prefix:":",onKeyDown:o})},evalInput:function(e,n){var r,i,o,a=n.inputState,s=a.motion,l=a.motionArgs||{},u=a.operator,c=a.operatorArgs||{},d=a.registerName,p=n.sel,f=ne(n.visualMode?X(e,p.head):e.getCursor("head")),h=ne(n.visualMode?X(e,p.anchor):e.getCursor("anchor")),m=ne(f),g=ne(h);if(u&&this.recordLastEdit(n,a),(o=void 0!==a.repeatOverride?a.repeatOverride:a.getRepeat())>0&&l.explicitRepeat?l.repeatIsExplicit=!0:(l.noRepeat||!l.explicitRepeat&&0===o)&&(o=1,l.repeatIsExplicit=!1),a.selectedCharacter&&(l.selectedCharacter=c.selectedCharacter=a.selectedCharacter),l.repeat=o,q(e),s){var v=G[s](e,f,l,n,a);if(n.lastMotion=G[s],!v)return;if(l.toJumplist){var y=I.jumpList,b=y.cachedCursor;b?(be(e,b,v),delete y.cachedCursor):be(e,f,v)}v instanceof Array?(i=v[0],r=v[1]):r=v,r||(r=ne(f)),n.visualMode?(n.visualBlock&&r.ch===1/0||(r=X(e,r)),i&&(i=X(e,i)),i=i||g,p.anchor=i,p.head=r,he(e),Se(e,n,"<",ie(i,r)?i:r),Se(e,n,">",ie(i,r)?r:i)):u||(r=X(e,r),e.setCursor(r.line,r.ch))}if(u){if(c.lastSel){i=g;var w=c.lastSel,_=Math.abs(w.head.line-w.anchor.line),x=Math.abs(w.head.ch-w.anchor.ch);r=w.visualLine?new t(g.line+_,g.ch):w.visualBlock?new t(g.line+_,g.ch+x):w.head.line==w.anchor.line?new t(g.line,g.ch+x):new t(g.line+_,g.ch),n.visualMode=!0,n.visualLine=w.visualLine,n.visualBlock=w.visualBlock,p=n.sel={anchor:i,head:r},he(e)}else n.visualMode&&(c.lastSel={anchor:ne(p.anchor),head:ne(p.head),visualBlock:n.visualBlock,visualLine:n.visualLine});var k,E,C,A,T;if(n.visualMode){if(k=oe(p.head,p.anchor),E=ae(p.head,p.anchor),C=n.visualLine||c.linewise,T=me(e,{anchor:k,head:E},A=n.visualBlock?"block":C?"line":"char"),C){var O=T.ranges;if("block"==A)for(var P=0;P<O.length;P++)O[P].head.ch=le(e,O[P].head.line);else"line"==A&&(O[0].head=new t(O[0].head.line+1,0))}}else{if(k=ne(i||g),ie(E=ne(r||m),k)){var D=k;k=E,E=D}(C=l.linewise||c.linewise)?function(e,t,n){t.ch=0,n.ch=0,n.line++}(0,k,E):l.forward&&function(e,t,n){var r=e.getRange(t,n);if(/\n\s*$/.test(r)){var i=r.split("\n");i.pop();for(var o=i.pop();i.length>0&&o&&S(o);o=i.pop())n.line--,n.ch=0;o?(n.line--,n.ch=le(e,n.line)):n.ch=0}}(e,k,E),T=me(e,{anchor:k,head:E},A="char",!l.inclusive||C)}e.setSelections(T.ranges,T.primary),n.lastMotion=null,c.repeat=o,c.registerName=d,c.linewise=C;var R=Y[u](e,c,T.ranges,g,r);n.visualMode&&ge(e,null!=R),R&&e.setCursor(R)}},recordLastEdit:function(e,t,n){var r=I.macroModeState;r.isPlaying||(e.lastEditInputState=t,e.lastEditActionCommand=n,r.lastInsertModeChanges.changes=[],r.lastInsertModeChanges.expectCursorActivityForChange=!1,r.lastInsertModeChanges.visualBlock=e.visualBlock?e.sel.head.line-e.sel.anchor.line:0)}},G={moveToTopLine:function(e,n,r){var i=Ve(e).top+r.repeat-1;return new t(i,ve(e.getLine(i)))},moveToMiddleLine:function(e){var n=Ve(e),r=Math.floor(.5*(n.top+n.bottom));return new t(r,ve(e.getLine(r)))},moveToBottomLine:function(e,n,r){var i=Ve(e).bottom-r.repeat+1;return new t(i,ve(e.getLine(i)))},expandToLine:function(e,n,r){return new t(n.line+r.repeat-1,1/0)},findNext:function(e,t,n){var r=De(e),i=r.getQuery();if(i){var o=!n.forward;return o=r.isReversed()?!o:o,qe(e,i),$e(e,o,i,n.repeat)}},findAndSelectNextInclusive:function(n,r,i,o,a){var s=De(n),l=s.getQuery();if(l){var u=!i.forward,c=function(e,n,r,i,o){return void 0===i&&(i=1),e.operation((function(){var a=e.getCursor(),s=e.getSearchCursor(r,a),l=s.find(!n);!o.visualMode&&l&&re(s.from(),a)&&s.find(!n);for(var u=0;u<i;u++)if(!(l=s.find(n))&&!(s=e.getSearchCursor(r,n?new t(e.lastLine()):new t(e.firstLine(),0))).find(n))return;return[s.from(),s.to()]}))}(n,u=s.isReversed()?!u:u,l,i.repeat,o);if(c){if(a.operator)return c;var d=c[0],p=new t(c[1].line,c[1].ch-1);if(o.visualMode){(o.visualLine||o.visualBlock)&&(o.visualLine=!1,o.visualBlock=!1,e.signal(n,"vim-mode-change",{mode:"visual",subMode:""}));var f=o.sel.anchor;if(f)return s.isReversed()?i.forward?[f,d]:[f,p]:i.forward?[f,p]:[f,d]}else o.visualMode=!0,o.visualLine=!1,o.visualBlock=!1,e.signal(n,"vim-mode-change",{mode:"visual",subMode:""});return u?[p,d]:[d,p]}}},goToMark:function(e,t,n,r){var i=Ge(e,r,n.selectedCharacter);return i?n.linewise?{line:i.line,ch:ve(e.getLine(i.line))}:i:null},moveToOtherHighlightedEnd:function(e,n,r,i){if(i.visualBlock&&r.sameLine){var o=i.sel;return[X(e,new t(o.anchor.line,o.head.ch)),X(e,new t(o.head.line,o.anchor.ch))]}return[i.sel.head,i.sel.anchor]},jumpToMark:function(e,n,r,i){for(var o=n,a=0;a<r.repeat;a++){var s=o;for(var l in i.marks)if(E(l)){var u=i.marks[l].find();if(!((r.forward?ie(u,s):ie(s,u))||r.linewise&&u.line==s.line)){var c=re(s,o),d=r.forward?se(s,u,o):se(o,u,s);(c||d)&&(o=u)}}}return r.linewise&&(o=new t(o.line,ve(e.getLine(o.line)))),o},moveByCharacters:function(e,n,r){var i=n,o=r.repeat,a=r.forward?i.ch+o:i.ch-o;return new t(i.line,a)},moveByLines:function(e,n,r,i){var o=n,a=o.ch;switch(i.lastMotion){case this.moveByLines:case this.moveByDisplayLines:case this.moveByScroll:case this.moveToColumn:case this.moveToEol:a=i.lastHPos;break;default:i.lastHPos=a}var s=r.repeat+(r.repeatOffset||0),l=r.forward?o.line+s:o.line-s,u=e.firstLine(),c=e.lastLine(),d=e.findPosV(o,r.forward?s:-s,"line",i.lastHSPos);return(r.forward?d.line>l:d.line<l)&&(l=d.line,a=d.ch),l<u&&o.line==u?this.moveToStartOfLine(e,n,r,i):l>c&&o.line==c?Ee(e,n,r,i,!0):(r.toFirstChar&&(a=ve(e.getLine(l)),i.lastHPos=a),i.lastHSPos=e.charCoords(new t(l,a),"div").left,new t(l,a))},moveByDisplayLines:function(e,n,r,i){var o=n;switch(i.lastMotion){case this.moveByDisplayLines:case this.moveByScroll:case this.moveByLines:case this.moveToColumn:case this.moveToEol:break;default:i.lastHSPos=e.charCoords(o,"div").left}var a=r.repeat;if((l=e.findPosV(o,r.forward?a:-a,"line",i.lastHSPos)).hitSide)if(r.forward)var s={top:e.charCoords(l,"div").top+8,left:i.lastHSPos},l=e.coordsChar(s,"div");else{var u=e.charCoords(new t(e.firstLine(),0),"div");u.left=i.lastHSPos,l=e.coordsChar(u,"div")}return i.lastHPos=l.ch,l},moveByPage:function(e,t,n){var r=t,i=n.repeat;return e.findPosV(r,n.forward?i:-i,"page")},moveByParagraph:function(e,t,n){var r=n.forward?1:-1;return Te(e,t,n.repeat,r)},moveBySentence:function(e,n,r){var i=r.forward?1:-1;return function(e,n,r,i){function o(e,t){if(t.pos+t.dir<0||t.pos+t.dir>=t.line.length){if(t.ln+=t.dir,!k(e,t.ln))return t.line=null,t.ln=null,void(t.pos=null);t.line=e.getLine(t.ln),t.pos=t.dir>0?0:t.line.length-1}else t.pos+=t.dir}function a(e,t,n,r){var i=""===(u=e.getLine(t)),a={line:u,ln:t,pos:n,dir:r},s={ln:a.ln,pos:a.pos},l=""===a.line;for(o(e,a);null!==a.line;){if(s.ln=a.ln,s.pos=a.pos,""===a.line&&!l)return{ln:a.ln,pos:a.pos};if(i&&""!==a.line&&!S(a.line[a.pos]))return{ln:a.ln,pos:a.pos};!A(a.line[a.pos])||i||a.pos!==a.line.length-1&&!S(a.line[a.pos+1])||(i=!0),o(e,a)}var u=e.getLine(s.ln);s.pos=0;for(var c=u.length-1;c>=0;--c)if(!S(u[c])){s.pos=c;break}return s}function s(e,t,n,r){var i={line:l=e.getLine(t),ln:t,pos:n,dir:r},a={ln:i.ln,pos:null},s=""===i.line;for(o(e,i);null!==i.line;){if(""===i.line&&!s)return null!==a.pos?a:{ln:i.ln,pos:i.pos};if(A(i.line[i.pos])&&null!==a.pos&&(i.ln!==a.ln||i.pos+1!==a.pos))return a;""===i.line||S(i.line[i.pos])||(s=!1,a={ln:i.ln,pos:i.pos}),o(e,i)}var l=e.getLine(a.ln);a.pos=0;for(var u=0;u<l.length;++u)if(!S(l[u])){a.pos=u;break}return a}for(var l={ln:n.line,pos:n.ch};r>0;)l=i<0?s(e,l.ln,l.pos,i):a(e,l.ln,l.pos,i),r--;return new t(l.ln,l.pos)}(e,n,r.repeat,i)},moveByScroll:function(e,t,n,r){var i,o=e.getScrollInfo(),a=n.repeat;a||(a=o.clientHeight/(2*e.defaultTextHeight()));var s=e.charCoords(t,"local");if(n.repeat=a,!(i=G.moveByDisplayLines(e,t,n,r)))return null;var l=e.charCoords(i,"local");return e.scrollTo(null,o.top+l.top-s.top),i},moveByWords:function(e,n,r){return function(e,n,r,i,o,a){var s=ne(n),l=[];(i&&!o||!i&&o)&&r++;for(var u=!(i&&o),c=0;c<r;c++){var d=ke(e,n,i,a,u);if(!d){var p=le(e,e.lastLine());l.push(i?{line:e.lastLine(),from:p,to:p}:{line:0,from:0,to:0});break}l.push(d),n=new t(d.line,i?d.to-1:d.from)}var f=l.length!=r,h=l[0],m=l.pop();return i&&!o?(f||h.from==s.ch&&h.line==s.line||(m=l.pop()),new t(m.line,m.from)):i&&o?new t(m.line,m.to-1):!i&&o?(f||h.to==s.ch&&h.line==s.line||(m=l.pop()),new t(m.line,m.to)):new t(m.line,m.from)}(e,n,r.repeat,!!r.forward,!!r.wordEnd,!!r.bigWord)},moveTillCharacter:function(e,t,n){var r=Ce(e,n.repeat,n.forward,n.selectedCharacter),i=n.forward?-1:1;return we(i,n),r?(r.ch+=i,r):null},moveToCharacter:function(e,t,n){var r=n.repeat;return we(0,n),Ce(e,r,n.forward,n.selectedCharacter)||t},moveToSymbol:function(e,n,r){return function(e,n,r,i){var o=ne(e.getCursor()),a=r?1:-1,s=r?e.lineCount():-1,l=o.ch,u=o.line,c=e.getLine(u),d={lineText:c,nextCh:c.charAt(l),lastCh:null,index:l,symb:i,reverseSymb:(r?{")":"(","}":"{"}:{"(":")","{":"}"})[i],forward:r,depth:0,curMoveThrough:!1},p=_e[i];if(!p)return o;var f=xe[p].init,h=xe[p].isComplete;for(f&&f(d);u!==s&&n;){if(d.index+=a,d.nextCh=d.lineText.charAt(d.index),!d.nextCh){if(u+=a,d.lineText=e.getLine(u)||"",a>0)d.index=0;else{var m=d.lineText.length;d.index=m>0?m-1:0}d.nextCh=d.lineText.charAt(d.index)}h(d)&&(o.line=u,o.ch=d.index,n--)}return d.nextCh||d.curMoveThrough?new t(u,d.index):o}(e,r.repeat,r.forward,r.selectedCharacter)||n},moveToColumn:function(e,n,r,i){var o=r.repeat;return i.lastHPos=o-1,i.lastHSPos=e.charCoords(n,"div").left,function(e,n){var r=e.getCursor().line;return X(e,new t(r,n-1))}(e,o)},moveToEol:function(e,t,n,r){return Ee(e,t,n,r,!1)},moveToFirstNonWhiteSpaceCharacter:function(e,n){var r=n;return new t(r.line,ve(e.getLine(r.line)))},moveToMatchedSymbol:function(e,n){for(var r,i=n,o=i.line,a=i.ch,s=e.getLine(o);a<s.length;a++)if((r=s.charAt(a))&&-1!="()[]{}".indexOf(r)){var l=e.getTokenTypeAt(new t(o,a+1));if("string"!==l&&"comment"!==l)break}if(a<s.length){var u="<"===a||">"===a?/[(){}[\]<>]/:/[(){}[\]]/;return e.findMatchingBracket(new t(o,a),{bracketRegex:u}).to}return i},moveToStartOfLine:function(e,n){return new t(n.line,0)},moveToLineOrEdgeOfDocument:function(e,n,r){var i=r.forward?e.lastLine():e.firstLine();return r.repeatIsExplicit&&(i=r.repeat-e.getOption("firstLineNumber")),new t(i,ve(e.getLine(i)))},moveToStartOfDisplayLine:function(e){return e.execCommand("goLineLeft"),e.getCursor()},moveToEndOfDisplayLine:function(e){e.execCommand("goLineRight");var t=e.getCursor();return"before"==t.sticky&&t.ch--,t},textObjectManipulation:function(n,r,i,o){var a=i.selectedCharacter;"b"==a?a="(":"B"==a&&(a="{");var s,l=!i.textObjectInner;if({"(":")",")":"(","{":"}","}":"{","[":"]","]":"[","<":">",">":"<"}[a])s=function(e,n,r,i){var o,a,s=n,l={"(":/[()]/,")":/[()]/,"[":/[[\]]/,"]":/[[\]]/,"{":/[{}]/,"}":/[{}]/,"<":/[<>]/,">":/[<>]/}[r],u={"(":"(",")":"(","[":"[","]":"[","{":"{","}":"{","<":"<",">":"<"}[r],c=e.getLine(s.line).charAt(s.ch)===u?1:0;if(o=e.scanForBracket(new t(s.line,s.ch+c),-1,void 0,{bracketRegex:l}),a=e.scanForBracket(new t(s.line,s.ch+c),1,void 0,{bracketRegex:l}),!o||!a)return{start:s,end:s};if(o=o.pos,a=a.pos,o.line==a.line&&o.ch>a.ch||o.line>a.line){var d=o;o=a,a=d}return i?a.ch+=1:o.ch+=1,{start:o,end:a}}(n,r,a,l);else if({"'":!0,'"':!0,"`":!0}[a])s=function(e,n,r,i){var o,a,s,l,u=ne(n),c=e.getLine(u.line).split(""),d=c.indexOf(r);if(u.ch<d?u.ch=d:d<u.ch&&c[u.ch]==r&&(a=u.ch,--u.ch),c[u.ch]!=r||a)for(s=u.ch;s>-1&&!o;s--)c[s]==r&&(o=s+1);else o=u.ch+1;if(o&&!a)for(s=o,l=c.length;s<l&&!a;s++)c[s]==r&&(a=s);return o&&a?(i&&(--o,++a),{start:new t(u.line,o),end:new t(u.line,a)}):{start:u,end:u}}(n,r,a,l);else if("W"===a)s=ye(n,l,0,!0);else if("w"===a)s=ye(n,l,0,!1);else if("p"===a)if(s=Te(n,r,i.repeat,0,l),i.linewise=!0,o.visualMode)o.visualLine||(o.visualLine=!0);else{var u=o.inputState.operatorArgs;u&&(u.linewise=!0),s.end.line--}else if("t"===a)s=function(t,n,r){var i=n;if(!e.findMatchingTag||!e.findEnclosingTag)return{start:i,end:i};var o=e.findMatchingTag(t,n)||e.findEnclosingTag(t,n);return o&&o.open&&o.close?r?{start:o.open.from,end:o.close.to}:{start:o.open.to,end:o.close.from}:{start:i,end:i}}(n,r,l);else{if("s"!==a)return null;var c=n.getLine(r.line);r.ch>0&&A(c[r.ch])&&(r.ch-=1);var d=Oe(n,r,i.repeat,1,l),p=Oe(n,r,i.repeat,-1,l);S(n.getLine(p.line)[p.ch])&&S(n.getLine(d.line)[d.ch-1])&&(p={line:p.line,ch:p.ch+1}),s={start:p,end:d}}return n.state.vim.visualMode?function(e,n,r){var i,o=e.state.vim.sel,a=o.head,s=o.anchor;return ie(r,n)&&(i=r,r=n,n=i),ie(a,s)?(a=oe(n,a),s=ae(s,r)):(s=oe(n,s),-1==(a=J(a=ae(a,r),0,-1)).ch&&a.line!=e.firstLine()&&(a=new t(a.line-1,le(e,a.line-1)))),[s,a]}(n,s.start,s.end):[s.start,s.end]},repeatLastCharacterSearch:function(e,t,n){var r=I.lastCharacterSearch,i=n.repeat,o=n.forward===r.forward,a=(r.increment?1:0)*(o?-1:1);e.moveH(-a,"char"),n.inclusive=!!o;var s=Ce(e,i,o,r.selectedCharacter);return s?(s.ch+=a,s):(e.moveH(a,"char"),t)}};function K(e,t){for(var n=[],r=0;r<t;r++)n.push(e);return n}var Y={change:function(n,r,i){var o,a,s=n.state.vim,l=i[0].anchor,u=i[0].head;if(s.visualMode)if(r.fullLine)u.ch=Number.MAX_VALUE,u.line--,n.setSelection(l,u),a=n.getSelection(),n.replaceSelection(""),o=l;else{a=n.getSelection();var c=K("",i.length);n.replaceSelections(c),o=oe(i[0].head,i[0].anchor)}else{a=n.getRange(l,u);var d=s.lastEditInputState||{};if("moveByWords"==d.motion&&!S(a)){var p=/\s+$/.exec(a);p&&d.motionArgs&&d.motionArgs.forward&&(u=J(u,0,-p[0].length),a=a.slice(0,-p[0].length))}var f=new t(l.line-1,Number.MAX_VALUE),h=n.firstLine()==n.lastLine();u.line>n.lastLine()&&r.linewise&&!h?n.replaceRange("",f,u):n.replaceRange("",l,u),r.linewise&&(h||(n.setCursor(f),e.commands.newlineAndIndent(n)),l.ch=Number.MAX_VALUE),o=l}I.registerController.pushText(r.registerName,"change",a,r.linewise,i.length>1),Z.enterInsertMode(n,{head:o},n.state.vim)},delete:function(e,n,r){var i,o,a=e.state.vim;if(a.visualBlock){o=e.getSelection();var s=K("",r.length);e.replaceSelections(s),i=oe(r[0].head,r[0].anchor)}else{var l=r[0].anchor,u=r[0].head;n.linewise&&u.line!=e.firstLine()&&l.line==e.lastLine()&&l.line==u.line-1&&(l.line==e.firstLine()?l.ch=0:l=new t(l.line-1,le(e,l.line-1))),o=e.getRange(l,u),e.replaceRange("",l,u),i=l,n.linewise&&(i=G.moveToFirstNonWhiteSpaceCharacter(e,l))}return I.registerController.pushText(n.registerName,"delete",o,n.linewise,a.visualBlock),X(e,i)},indent:function(e,t,n){var r=e.state.vim;if(e.indentMore)for(var i=r.visualMode?t.repeat:1,o=0;o<i;o++)t.indentRight?e.indentMore():e.indentLess();else{var a=n[0].anchor.line,s=r.visualBlock?n[n.length-1].anchor.line:n[0].head.line;i=r.visualMode?t.repeat:1,t.linewise&&s--;for(var l=a;l<=s;l++)for(o=0;o<i;o++)e.indentLine(l,t.indentRight)}return G.moveToFirstNonWhiteSpaceCharacter(e,n[0].anchor)},indentAuto:function(e,t,n){return e.execCommand("indentAuto"),G.moveToFirstNonWhiteSpaceCharacter(e,n[0].anchor)},changeCase:function(e,t,n,r,i){for(var o=e.getSelections(),a=[],s=t.toLower,l=0;l<o.length;l++){var u=o[l],c="";if(!0===s)c=u.toLowerCase();else if(!1===s)c=u.toUpperCase();else for(var d=0;d<u.length;d++){var p=u.charAt(d);c+=C(p)?p.toLowerCase():p.toUpperCase()}a.push(c)}return e.replaceSelections(a),t.shouldMoveCursor?i:!e.state.vim.visualMode&&t.linewise&&n[0].anchor.line+1==n[0].head.line?G.moveToFirstNonWhiteSpaceCharacter(e,r):t.linewise?r:oe(n[0].anchor,n[0].head)},yank:function(e,t,n,r){var i=e.state.vim,o=e.getSelection(),a=i.visualMode?oe(i.sel.anchor,i.sel.head,n[0].head,n[0].anchor):r;return I.registerController.pushText(t.registerName,"yank",o,t.linewise,i.visualBlock),a}};var Z={jumpListWalk:function(e,t,n){if(!n.visualMode){var r=t.repeat,i=t.forward,o=I.jumpList.move(e,i?r:-r),a=o?o.find():void 0;a=a||e.getCursor(),e.setCursor(a)}},scroll:function(e,t,n){if(!n.visualMode){var r=t.repeat||1,i=e.defaultTextHeight(),o=e.getScrollInfo().top,a=i*r,s=t.forward?o+a:o-a,l=ne(e.getCursor()),u=e.charCoords(l,"local");if(t.forward)s>u.top?(l.line+=(s-u.top)/i,l.line=Math.ceil(l.line),e.setCursor(l),u=e.charCoords(l,"local"),e.scrollTo(null,u.top)):e.scrollTo(null,s);else{var c=s+e.getScrollInfo().clientHeight;c<u.bottom?(l.line-=(u.bottom-c)/i,l.line=Math.floor(l.line),e.setCursor(l),u=e.charCoords(l,"local"),e.scrollTo(null,u.bottom-e.getScrollInfo().clientHeight)):e.scrollTo(null,s)}}},scrollToCursor:function(e,n){var r=e.getCursor().line,i=e.charCoords(new t(r,0),"local"),o=e.getScrollInfo().clientHeight,a=i.top;switch(n.position){case"center":a=i.bottom-o/2;break;case"bottom":var s=new t(r,e.getLine(r).length-1);a=a-o+(e.charCoords(s,"local").bottom-a)}e.scrollTo(null,a)},replayMacro:function(e,t,n){var r=t.selectedCharacter,i=t.repeat,o=I.macroModeState;for("@"==r?r=o.latestRegister:o.latestRegister=r;i--;)et(e,n,o,r)},enterMacroRecordMode:function(e,t){var n=I.macroModeState,r=t.selectedCharacter;I.registerController.isValidRegister(r)&&n.enterMacroRecordMode(e,r)},toggleOverwrite:function(t){t.state.overwrite?(t.toggleOverwrite(!1),t.setOption("keyMap","vim-insert"),e.signal(t,"vim-mode-change",{mode:"insert"})):(t.toggleOverwrite(!0),t.setOption("keyMap","vim-replace"),e.signal(t,"vim-mode-change",{mode:"replace"}))},enterInsertMode:function(n,r,i){if(!n.getOption("readOnly")){i.insertMode=!0,i.insertModeRepeat=r&&r.repeat||1;var o=r?r.insertAt:null,a=i.sel,s=r.head||n.getCursor("head"),l=n.listSelections().length;if("eol"==o)s=new t(s.line,le(n,s.line));else if("bol"==o)s=new t(s.line,0);else if("charAfter"==o)s=J(s,0,1);else if("firstNonBlank"==o)s=G.moveToFirstNonWhiteSpaceCharacter(n,s);else if("startOfSelectedArea"==o){if(!i.visualMode)return;i.visualBlock?(s=new t(Math.min(a.head.line,a.anchor.line),Math.min(a.head.ch,a.anchor.ch)),l=Math.abs(a.head.line-a.anchor.line)+1):s=a.head.line<a.anchor.line?a.head:new t(a.anchor.line,0)}else if("endOfSelectedArea"==o){if(!i.visualMode)return;i.visualBlock?(s=new t(Math.min(a.head.line,a.anchor.line),Math.max(a.head.ch,a.anchor.ch)+1),l=Math.abs(a.head.line-a.anchor.line)+1):s=a.head.line>=a.anchor.line?J(a.head,0,1):new t(a.anchor.line,0)}else if("inplace"==o){if(i.visualMode)return}else"lastEdit"==o&&(s=Ke(n)||s);n.setOption("disableInput",!1),r&&r.replace?(n.toggleOverwrite(!0),n.setOption("keyMap","vim-replace"),e.signal(n,"vim-mode-change",{mode:"replace"})):(n.toggleOverwrite(!1),n.setOption("keyMap","vim-insert"),e.signal(n,"vim-mode-change",{mode:"insert"})),I.macroModeState.isPlaying||(n.on("change",tt),e.on(n.getInputField(),"keydown",ot)),i.visualMode&&ge(n),pe(n,s,l)}},toggleVisualMode:function(n,r,i){var o,a=r.repeat,s=n.getCursor();i.visualMode?i.visualLine^r.linewise||i.visualBlock^r.blockwise?(i.visualLine=!!r.linewise,i.visualBlock=!!r.blockwise,e.signal(n,"vim-mode-change",{mode:"visual",subMode:i.visualLine?"linewise":i.visualBlock?"blockwise":""}),he(n)):ge(n):(i.visualMode=!0,i.visualLine=!!r.linewise,i.visualBlock=!!r.blockwise,o=X(n,new t(s.line,s.ch+a-1)),i.sel={anchor:s,head:o},e.signal(n,"vim-mode-change",{mode:"visual",subMode:i.visualLine?"linewise":i.visualBlock?"blockwise":""}),he(n),Se(n,i,"<",oe(s,o)),Se(n,i,">",ae(s,o)))},reselectLastSelection:function(t,n,r){var i=r.lastSelection;if(r.visualMode&&fe(t,r),i){var o=i.anchorMark.find(),a=i.headMark.find();if(!o||!a)return;r.sel={anchor:o,head:a},r.visualMode=!0,r.visualLine=i.visualLine,r.visualBlock=i.visualBlock,he(t),Se(t,r,"<",oe(o,a)),Se(t,r,">",ae(o,a)),e.signal(t,"vim-mode-change",{mode:"visual",subMode:r.visualLine?"linewise":r.visualBlock?"blockwise":""})}},joinLines:function(e,n,r){var i,o;if(r.visualMode){if(i=e.getCursor("anchor"),ie(o=e.getCursor("head"),i)){var a=o;o=i,i=a}o.ch=le(e,o.line)-1}else{var s=Math.max(n.repeat,2);i=e.getCursor(),o=X(e,new t(i.line+s-1,1/0))}for(var l=0,u=i.line;u<o.line;u++){l=le(e,i.line),a=new t(i.line+1,le(e,i.line+1));var c=e.getRange(i,a);c=n.keepSpaces?c.replace(/\n\r?/g,""):c.replace(/\n\s*/g," "),e.replaceRange(c,i,a)}var d=new t(i.line,l);r.visualMode&&ge(e,!1),e.setCursor(d)},newLineAndEnterInsertMode:function(n,r,i){i.insertMode=!0;var o=ne(n.getCursor());o.line!==n.firstLine()||r.after?(o.line=r.after?o.line:o.line-1,o.ch=le(n,o.line),n.setCursor(o),(e.commands.newlineAndIndentContinueComment||e.commands.newlineAndIndent)(n)):(n.replaceRange("\n",new t(n.firstLine(),0)),n.setCursor(n.firstLine(),0)),this.enterInsertMode(n,{repeat:r.repeat},i)},paste:function(e,n,r){var i=ne(e.getCursor()),o=I.registerController.getRegister(n.registerName);if(f=o.toString()){if(n.matchIndent){var a=e.getOption("tabSize"),s=function(e){var t=e.split("\t").length-1,n=e.split(" ").length-1;return t*a+1*n},l=e.getLine(e.getCursor().line),u=s(l.match(/^\s*/)[0]),c=f.replace(/\n$/,""),d=f!==c,p=s(f.match(/^\s*/)[0]),f=c.replace(/^\s*/gm,(function(t){var n=u+(s(t)-p);if(n<0)return"";if(e.getOption("indentWithTabs")){var r=Math.floor(n/a);return Array(r+1).join("\t")}return Array(n+1).join(" ")}));f+=d?"\n":""}n.repeat>1&&(f=Array(n.repeat+1).join(f));var h,m,g=o.linewise,v=o.blockwise;if(v){f=f.split("\n"),g&&f.pop();for(var y=0;y<f.length;y++)f[y]=""==f[y]?" ":f[y];i.ch+=n.after?1:0,i.ch=Math.min(le(e,i.line),i.ch)}else g?r.visualMode?f=r.visualLine?f.slice(0,-1):"\n"+f.slice(0,f.length-1)+"\n":n.after?(f="\n"+f.slice(0,f.length-1),i.ch=le(e,i.line)):i.ch=0:i.ch+=n.after?1:0;if(r.visualMode){var b;r.lastPastedText=f;var w=function(e,n){var r=n.lastSelection,i=function(){var t=e.listSelections(),n=t[0],r=t[t.length-1];return[ie(n.anchor,n.head)?n.anchor:n.head,ie(r.anchor,r.head)?r.head:r.anchor]},o=function(){var n=e.getCursor(),i=e.getCursor(),o=r.visualBlock;if(o){var a=o.width,s=o.height;i=new t(n.line+s,n.ch+a);for(var l=[],u=n.line;u<i.line;u++){var c={anchor:new t(u,n.ch),head:new t(u,i.ch)};l.push(c)}e.setSelections(l)}else{var d=r.anchorMark.find(),p=r.headMark.find(),f=p.line-d.line,h=p.ch-d.ch;i={line:i.line+f,ch:f?i.ch:h+i.ch},r.visualLine&&(n=new t(n.line,0),i=new t(i.line,le(e,i.line))),e.setSelection(n,i)}return[n,i]};return n.visualMode?i():o()}(e,r),_=w[0],x=w[1],k=e.getSelection(),E=e.listSelections(),C=new Array(E.length).join("1").split("1");r.lastSelection&&(b=r.lastSelection.headMark.find()),I.registerController.unnamedRegister.setText(k),v?(e.replaceSelections(C),x=new t(_.line+f.length-1,_.ch),e.setCursor(_),de(e,x),e.replaceSelections(f),h=_):r.visualBlock?(e.replaceSelections(C),e.setCursor(_),e.replaceRange(f,_,_),h=_):(e.replaceRange(f,_,x),h=e.posFromIndex(e.indexFromPos(_)+f.length-1)),b&&(r.lastSelection.headMark=e.setBookmark(b)),g&&(h.ch=0)}else if(v){for(e.setCursor(i),y=0;y<f.length;y++){var S=i.line+y;S>e.lastLine()&&e.replaceRange("\n",new t(S,0)),le(e,S)<i.ch&&ce(e,S,i.ch)}e.setCursor(i),de(e,new t(i.line+f.length-1,i.ch)),e.replaceSelections(f),h=i}else e.replaceRange(f,i),g&&n.after?h=new t(i.line+1,ve(e.getLine(i.line+1))):g&&!n.after?h=new t(i.line,ve(e.getLine(i.line))):!g&&n.after?(m=e.indexFromPos(i),h=e.posFromIndex(m+f.length-1)):(m=e.indexFromPos(i),h=e.posFromIndex(m+f.length));r.visualMode&&ge(e,!1),e.setCursor(h)}},undo:function(t,n){t.operation((function(){te(t,e.commands.undo,n.repeat)(),t.setCursor(t.getCursor("anchor"))}))},redo:function(t,n){te(t,e.commands.redo,n.repeat)()},setRegister:function(e,t,n){n.inputState.registerName=t.selectedCharacter},setMark:function(e,t,n){Se(e,n,t.selectedCharacter,e.getCursor())},replace:function(n,r,i){var o,a,s=r.selectedCharacter,l=n.getCursor(),u=n.listSelections();if(i.visualMode)l=n.getCursor("start"),a=n.getCursor("end");else{var c=n.getLine(l.line);(o=l.ch+r.repeat)>c.length&&(o=c.length),a=new t(l.line,o)}if("\n"==s)i.visualMode||n.replaceRange("",l,a),(e.commands.newlineAndIndentContinueComment||e.commands.newlineAndIndent)(n);else{var d=n.getRange(l,a);if(d=d.replace(/[^\n]/g,s),i.visualBlock){var p=new Array(n.getOption("tabSize")+1).join(" ");d=(d=n.getSelection()).replace(/\t/g,p).replace(/[^\n]/g,s).split("\n"),n.replaceSelections(d)}else n.replaceRange(d,l,a);i.visualMode?(l=ie(u[0].anchor,u[0].head)?u[0].anchor:u[0].head,n.setCursor(l),ge(n,!1)):n.setCursor(J(a,0,-1))}},incrementNumberToken:function(e,n){for(var r,i,o,a,s=e.getCursor(),l=e.getLine(s.line),u=/(-?)(?:(0x)([\da-f]+)|(0b|0|)(\d+))/gi;null!==(r=u.exec(l))&&(o=(i=r.index)+r[0].length,!(s.ch<o)););if((n.backtrack||!(o<=s.ch))&&r){var c=r[2]||r[4],d=r[3]||r[5],p=n.increase?1:-1,f={"0b":2,0:8,"":10,"0x":16}[c.toLowerCase()];a=(parseInt(r[1]+d,f)+p*n.repeat).toString(f);var h=c?new Array(d.length-a.length+1+r[1].length).join("0"):"";a="-"===a.charAt(0)?"-"+c+h+a.substr(1):c+h+a;var m=new t(s.line,i),g=new t(s.line,o);e.replaceRange(a,m,g),e.setCursor(new t(s.line,i+a.length-1))}},repeatLastEdit:function(e,t,n){if(n.lastEditInputState){var r=t.repeat;r&&t.repeatIsExplicit?n.lastEditInputState.repeatOverride=r:r=n.lastEditInputState.repeatOverride||r,at(e,n,r,!1)}},indent:function(e,t){e.indentLine(e.getCursor().line,t.indentRight)},exitInsertMode:Qe};function X(e,n){var r=e.state.vim,i=r.insertMode||r.visualMode,o=Math.min(Math.max(e.firstLine(),n.line),e.lastLine()),a=le(e,o)-1+!!i,s=Math.min(Math.max(0,n.ch),a);return new t(o,s)}function Q(e){var t={};for(var n in e)e.hasOwnProperty(n)&&(t[n]=e[n]);return t}function J(e,n,r){return"object"==typeof n&&(r=n.ch,n=n.line),new t(e.line+n,e.ch+r)}function ee(e,t){if("<character>"==t.slice(-11)){var n=t.length-11,r=e.slice(0,n),i=t.slice(0,n);return r==i&&e.length>n?"full":0==i.indexOf(r)&&"partial"}return e==t?"full":0==t.indexOf(e)&&"partial"}function te(e,t,n){return function(){for(var r=0;r<n;r++)t(e)}}function ne(e){return new t(e.line,e.ch)}function re(e,t){return e.ch==t.ch&&e.line==t.line}function ie(e,t){return e.line<t.line||e.line==t.line&&e.ch<t.ch}function oe(e,t){return arguments.length>2&&(t=oe.apply(void 0,Array.prototype.slice.call(arguments,1))),ie(e,t)?e:t}function ae(e,t){return arguments.length>2&&(t=ae.apply(void 0,Array.prototype.slice.call(arguments,1))),ie(e,t)?t:e}function se(e,t,n){var r=ie(e,t),i=ie(t,n);return r&&i}function le(e,t){return e.getLine(t).length}function ue(e){return e.trim?e.trim():e.replace(/^\s+|\s+$/g,"")}function ce(e,n,r){var i=le(e,n),o=new Array(r-i+1).join(" ");e.setCursor(new t(n,i)),e.replaceRange(o,e.getCursor())}function de(e,n){var r=[],i=e.listSelections(),o=ne(e.clipPos(n)),a=!re(n,o),s=function(e,t,n){for(var r=0;r<e.length;r++){var i="head"!=n&&re(e[r].anchor,t),o="anchor"!=n&&re(e[r].head,t);if(i||o)return r}return-1}(i,e.getCursor("head")),l=re(i[s].head,i[s].anchor),u=i.length-1,c=u-s>s?u:0,d=i[c].anchor,p=Math.min(d.line,o.line),f=Math.max(d.line,o.line),h=d.ch,m=o.ch,g=i[c].head.ch-h,v=m-h;g>0&&v<=0?(h++,a||m--):g<0&&v>=0?(h--,l||m++):g<0&&-1==v&&(h--,m++);for(var y=p;y<=f;y++){var b={anchor:new t(y,h),head:new t(y,m)};r.push(b)}return e.setSelections(r),n.ch=m,d.ch=h,d}function pe(e,t,n){for(var r=[],i=0;i<n;i++){var o=J(t,i,0);r.push({anchor:o,head:o})}e.setSelections(r,0)}function fe(e,t){var n=t.sel.anchor,r=t.sel.head;t.lastPastedText&&(r=e.posFromIndex(e.indexFromPos(n)+t.lastPastedText.length),t.lastPastedText=null),t.lastSelection={anchorMark:e.setBookmark(n),headMark:e.setBookmark(r),anchor:ne(n),head:ne(r),visualMode:t.visualMode,visualLine:t.visualLine,visualBlock:t.visualBlock}}function he(e,t,n){var r=e.state.vim,i=me(e,t=t||r.sel,n=n||r.visualLine?"line":r.visualBlock?"block":"char");e.setSelections(i.ranges,i.primary)}function me(e,n,r,i){var o=ne(n.head),a=ne(n.anchor);if("char"==r){var s=i||ie(n.head,n.anchor)?0:1,l=ie(n.head,n.anchor)?1:0;return o=J(n.head,0,s),{ranges:[{anchor:a=J(n.anchor,0,l),head:o}],primary:0}}if("line"==r){if(ie(n.head,n.anchor))o.ch=0,a.ch=le(e,a.line);else{a.ch=0;var u=e.lastLine();o.line>u&&(o.line=u),o.ch=le(e,o.line)}return{ranges:[{anchor:a,head:o}],primary:0}}if("block"==r){var c=Math.min(a.line,o.line),d=a.ch,p=Math.max(a.line,o.line),f=o.ch;d<f?f+=1:d+=1;for(var h=p-c+1,m=o.line==c?0:h-1,g=[],v=0;v<h;v++)g.push({anchor:new t(c+v,d),head:new t(c+v,f)});return{ranges:g,primary:m}}}function ge(t,n){var r=t.state.vim;!1!==n&&t.setCursor(X(t,r.sel.head)),fe(t,r),r.visualMode=!1,r.visualLine=!1,r.visualBlock=!1,r.insertMode||e.signal(t,"vim-mode-change",{mode:"normal"})}function ve(e){if(!e)return 0;var t=e.search(/\S/);return-1==t?e.length:t}function ye(e,n,r,i,o){for(var a=function(e){var t=e.getCursor("head");return 1==e.getSelection().length&&(t=oe(t,e.getCursor("anchor"))),t}(e),s=e.getLine(a.line),l=a.ch,u=o?h[0]:m[0];!u(s.charAt(l));)if(++l>=s.length)return null;i?u=m[0]:(u=h[0])(s.charAt(l))||(u=h[1]);for(var c=l,d=l;u(s.charAt(c))&&c<s.length;)c++;for(;u(s.charAt(d))&&d>=0;)d--;if(d++,n){for(var p=c;/\s/.test(s.charAt(c))&&c<s.length;)c++;if(p==c){for(var f=d;/\s/.test(s.charAt(d-1))&&d>0;)d--;d||(d=f)}}return{start:new t(a.line,d),end:new t(a.line,c)}}function be(e,t,n){re(t,n)||I.jumpList.add(e,t,n)}function we(e,t){I.lastCharacterSearch.increment=e,I.lastCharacterSearch.forward=t.forward,I.lastCharacterSearch.selectedCharacter=t.selectedCharacter}var _e={"(":"bracket",")":"bracket","{":"bracket","}":"bracket","[":"section","]":"section","*":"comment","/":"comment",m:"method",M:"method","#":"preprocess"},xe={bracket:{isComplete:function(e){if(e.nextCh===e.symb){if(e.depth++,e.depth>=1)return!0}else e.nextCh===e.reverseSymb&&e.depth--;return!1}},section:{init:function(e){e.curMoveThrough=!0,e.symb=(e.forward?"]":"[")===e.symb?"{":"}"},isComplete:function(e){return 0===e.index&&e.nextCh===e.symb}},comment:{isComplete:function(e){var t="*"===e.lastCh&&"/"===e.nextCh;return e.lastCh=e.nextCh,t}},method:{init:function(e){e.symb="m"===e.symb?"{":"}",e.reverseSymb="{"===e.symb?"}":"{"},isComplete:function(e){return e.nextCh===e.symb}},preprocess:{init:function(e){e.index=0},isComplete:function(e){if("#"===e.nextCh){var t=e.lineText.match(/^#(\w+)/)[1];if("endif"===t){if(e.forward&&0===e.depth)return!0;e.depth++}else if("if"===t){if(!e.forward&&0===e.depth)return!0;e.depth--}if("else"===t&&0===e.depth)return!0}return!1}}};function ke(e,t,n,r,i){var o=t.line,a=t.ch,s=e.getLine(o),l=n?1:-1,u=r?m:h;if(i&&""==s){if(o+=l,s=e.getLine(o),!k(e,o))return null;a=n?0:s.length}for(;;){if(i&&""==s)return{from:0,to:0,line:o};for(var c=l>0?s.length:-1,d=c,p=c;a!=c;){for(var f=!1,g=0;g<u.length&&!f;++g)if(u[g](s.charAt(a))){for(d=a;a!=c&&u[g](s.charAt(a));)a+=l;if(f=d!=(p=a),d==t.ch&&o==t.line&&p==d+l)continue;return{from:Math.min(d,p+1),to:Math.max(d,p),line:o}}f||(a+=l)}if(!k(e,o+=l))return null;s=e.getLine(o),a=l>0?0:s.length}}function Ee(e,n,r,i,o){var a=new t(n.line+r.repeat-1,1/0),s=e.clipPos(a);return s.ch--,o||(i.lastHPos=1/0,i.lastHSPos=e.charCoords(s,"div").left),a}function Ce(e,n,r,i){for(var o,a=e.getCursor(),s=a.ch,l=0;l<n;l++){if(-1==(o=Ae(s,e.getLine(a.line),i,r,!0)))return null;s=o}return new t(e.getCursor().line,o)}function Se(e,t,n,r){T(n,_)&&(t.marks[n]&&t.marks[n].clear(),t.marks[n]=e.setBookmark(r))}function Ae(e,t,n,r,i){var o;return r?-1==(o=t.indexOf(n,e+1))||i||(o-=1):-1==(o=t.lastIndexOf(n,e-1))||i||(o+=1),o}function Te(e,n,r,i,o){var a,s=n.line,l=e.firstLine(),u=e.lastLine(),c=s;function d(t){return!e.getLine(t)}function p(e,t,n){return n?d(e)!=d(e+t):!d(e)&&d(e+t)}if(i){for(;l<=c&&c<=u&&r>0;)p(c,i)&&r--,c+=i;return new t(c,0)}var f=e.state.vim;if(f.visualLine&&p(s,1,!0)){var h=f.sel.anchor;p(h.line,-1,!0)&&(o&&h.line==s||(s+=1))}var m=d(s);for(c=s;c<=u&&r;c++)p(c,1,!0)&&(o&&d(c)==m||r--);for(a=new t(c,0),c>u&&!m?m=!0:o=!1,c=s;c>l&&(o&&d(c)!=m&&c!=s||!p(c,-1,!0));c--);return{start:new t(c,0),end:a}}function Oe(e,n,r,i,o){function a(e){e.pos+e.dir<0||e.pos+e.dir>=e.line.length?e.line=null:e.pos+=e.dir}function s(e,t,n,r){var i={line:e.getLine(t),ln:t,pos:n,dir:r};if(""===i.line)return{ln:i.ln,pos:i.pos};var s=i.pos;for(a(i);null!==i.line;){if(s=i.pos,A(i.line[i.pos])){if(o){for(a(i);null!==i.line&&S(i.line[i.pos]);)s=i.pos,a(i);return{ln:i.ln,pos:s+1}}return{ln:i.ln,pos:i.pos+1}}a(i)}return{ln:i.ln,pos:s+1}}function l(e,t,n,r){var i=e.getLine(t),s={line:i,ln:t,pos:n,dir:r};if(""===s.line)return{ln:s.ln,pos:s.pos};var l=s.pos;for(a(s);null!==s.line;){if(S(s.line[s.pos])||A(s.line[s.pos])){if(A(s.line[s.pos]))return o&&S(s.line[s.pos+1])?{ln:s.ln,pos:s.pos+1}:{ln:s.ln,pos:l}}else l=s.pos;a(s)}return s.line=i,o&&S(s.line[s.pos])?{ln:s.ln,pos:s.pos}:{ln:s.ln,pos:l}}for(var u={ln:n.line,pos:n.ch};r>0;)u=i<0?l(e,u.ln,u.pos,i):s(e,u.ln,u.pos,i),r--;return new t(u.ln,u.pos)}function Pe(){}function De(e){var t=e.state.vim;return t.searchState_||(t.searchState_=new Pe)}function Re(e,t){var n=Ie(e,t)||[];if(!n.length)return[];var r=[];if(0===n[0]){for(var i=0;i<n.length;i++)"number"==typeof n[i]&&r.push(e.substring(n[i]+1,n[i+1]));return r}}function Ie(e,t){t||(t="/");for(var n=!1,r=[],i=0;i<e.length;i++){var o=e.charAt(i);n||o!=t||r.push(i),n=!n&&"\\"==o}return r}P("pcre",!0,"boolean"),Pe.prototype={getQuery:function(){return I.query},setQuery:function(e){I.query=e},getOverlay:function(){return this.searchOverlay},setOverlay:function(e){this.searchOverlay=e},isReversed:function(){return I.isReversed},setReversed:function(e){I.isReversed=e},getScrollbarAnnotate:function(){return this.annotate},setScrollbarAnnotate:function(e){this.annotate=e}};var Me={"\\n":"\n","\\r":"\r","\\t":"\t"};var Le={"\\/":"/","\\\\":"\\","\\n":"\n","\\r":"\r","\\t":"\t","\\&":"&"};function Ne(e,t,n){if(I.registerController.getRegister("/").setText(e),e instanceof RegExp)return e;var r,i,o=Ie(e,"/");return o.length?(r=e.substring(0,o[0]),i=-1!=e.substring(o[0]).indexOf("i")):r=e,r?(R("pcre")||(r=function(e){for(var t=!1,n=[],r=-1;r<e.length;r++){var i=e.charAt(r)||"",o=e.charAt(r+1)||"",a=o&&-1!="|(){".indexOf(o);t?("\\"===i&&a||n.push(i),t=!1):"\\"===i?(t=!0,o&&-1!="}".indexOf(o)&&(a=!0),a&&"\\"!==o||n.push(i)):(n.push(i),a&&"\\"!==o&&n.push("\\"))}return n.join("")}(r)),n&&(t=/^[^A-Z]*$/.test(r)),new RegExp(r,t||i?"im":"m")):null}function je(e){"string"==typeof e&&(e=document.createElement(e));for(var t,n=1;n<arguments.length;n++)if(t=arguments[n])if("object"!=typeof t&&(t=document.createTextNode(t)),t.nodeType)e.appendChild(t);else for(var r in t)Object.prototype.hasOwnProperty.call(t,r)&&("$"===r[0]?e.style[r.slice(1)]=t[r]:e.setAttribute(r,t[r]));return e}function Fe(e,t){var n=je("div",{$color:"red",$whiteSpace:"pre",class:"cm-vim-message"},t);e.openNotification?e.openNotification(n,{bottom:!0,duration:5e3}):alert(n.innerText)}function Be(e,t){var n,r,i=(n=t.prefix,r=t.desc,je(document.createDocumentFragment(),je("span",{$fontFamily:"monospace",$whiteSpace:"pre"},n,je("input",{type:"text",autocorrect:"off",autocapitalize:"off",spellcheck:"false"})),r&&je("span",{$color:"#888"},r)));if(e.openDialog)e.openDialog(i,t.onClose,{onKeyDown:t.onKeyDown,onKeyUp:t.onKeyUp,bottom:!0,selectValueOnOpen:!1,value:t.value});else{var o="";"string"!=typeof t.prefix&&t.prefix&&(o+=t.prefix.textContent),t.desc&&(o+=" "+t.desc),t.onClose(prompt(o,""))}}function ze(e,t,n,r){if(t){var i=De(e),o=Ne(t,!!n,!!r);if(o)return qe(e,o),function(e,t){if(e instanceof RegExp&&t instanceof RegExp){for(var n=["global","multiline","ignoreCase","source"],r=0;r<n.length;r++){var i=n[r];if(e[i]!==t[i])return!1}return!0}return!1}(o,i.getQuery())||i.setQuery(o),o}}var Ue=0;function qe(e,t){clearTimeout(Ue),Ue=setTimeout((function(){if(e.state.vim){var n=De(e),r=n.getOverlay();r&&t==r.query||(r&&e.removeOverlay(r),r=function(e){if("^"==e.source.charAt(0))var t=!0;return{token:function(n){if(!t||n.sol()){var r=n.match(e,!1);if(r)return 0==r[0].length?(n.next(),"searching"):n.sol()||(n.backUp(1),e.exec(n.next()+r[0]))?(n.match(e),"searching"):(n.next(),null);for(;!n.eol()&&(n.next(),!n.match(e,!1)););}else n.skipToEnd()},query:e}}(t),e.addOverlay(r),e.showMatchesOnScrollbar&&(n.getScrollbarAnnotate()&&n.getScrollbarAnnotate().clear(),n.setScrollbarAnnotate(e.showMatchesOnScrollbar(t))),n.setOverlay(r))}}),50)}function $e(e,n,r,i){return void 0===i&&(i=1),e.operation((function(){for(var o=e.getCursor(),a=e.getSearchCursor(r,o),s=0;s<i;s++){var l=a.find(n);if(0==s&&l&&re(a.from(),o)){var u=n?a.from():a.to();(l=a.find(n))&&!l[0]&&re(a.from(),u)&&e.getLine(u.line).length==u.ch&&(l=a.find(n))}if(!l&&!(a=e.getSearchCursor(r,n?new t(e.lastLine()):new t(e.firstLine(),0))).find(n))return}return a.from()}))}function We(e){var t=De(e);e.removeOverlay(De(e).getOverlay()),t.setOverlay(null),t.getScrollbarAnnotate()&&(t.getScrollbarAnnotate().clear(),t.setScrollbarAnnotate(null))}function He(e,t,n){return"number"!=typeof e&&(e=e.line),t instanceof Array?T(e,t):"number"==typeof n?e>=t&&e<=n:e==t}function Ve(e){var t=e.getScrollInfo(),n=e.coordsChar({left:0,top:6+t.top},"local"),r=t.clientHeight-10+t.top,i=e.coordsChar({left:0,top:r},"local");return{top:n.line,bottom:i.line}}function Ge(e,n,r){if("'"==r||"`"==r)return I.jumpList.find(e,-1)||new t(0,0);if("."==r)return Ke(e);var i=n.marks[r];return i&&i.find()}function Ke(e){for(var t=e.doc.history.done,n=t.length;n--;)if(t[n].changes)return ne(t[n].changes[0].to)}var Ye=function(){this.buildCommandMap_()};Ye.prototype={processCommand:function(e,t,n){var r=this;e.operation((function(){e.curOp.isVimOp=!0,r._processCommand(e,t,n)}))},_processCommand:function(t,n,r){var i=t.state.vim,o=I.registerController.getRegister(":"),a=o.toString();i.visualMode&&ge(t);var s=new e.StringStream(n);o.setText(n);var l,u,c=r||{};c.input=n;try{this.parseInput_(t,s,c)}catch(e){throw Fe(t,e.toString()),e}if(c.commandName){if(l=this.matchCommand_(c.commandName)){if(u=l.name,l.excludeFromCommandHistory&&o.setText(a),this.parseCommandArgs_(s,c,l),"exToKey"==l.type){for(var d=0;d<l.toKeys.length;d++)z.handleKey(t,l.toKeys[d],"mapping");return}if("exToEx"==l.type)return void this.processCommand(t,l.toInput)}}else void 0!==c.line&&(u="move");if(u)try{Ze[u](t,c),l&&l.possiblyAsync||!c.callback||c.callback()}catch(e){throw Fe(t,e.toString()),e}else Fe(t,'Not an editor command ":'+n+'"')},parseInput_:function(e,t,n){t.eatWhile(":"),t.eat("%")?(n.line=e.firstLine(),n.lineEnd=e.lastLine()):(n.line=this.parseLineSpec_(e,t),void 0!==n.line&&t.eat(",")&&(n.lineEnd=this.parseLineSpec_(e,t)));var r=t.match(/^(\w+|!!|@@|[!#&*<=>@~])/);return n.commandName=r?r[1]:t.match(/.*/)[0],n},parseLineSpec_:function(e,t){var n=t.match(/^(\d+)/);if(n)return parseInt(n[1],10)-1;switch(t.next()){case".":return this.parseLineSpecOffset_(t,e.getCursor().line);case"$":return this.parseLineSpecOffset_(t,e.lastLine());case"'":var r=t.next(),i=Ge(e,e.state.vim,r);if(!i)throw new Error("Mark not set");return this.parseLineSpecOffset_(t,i.line);case"-":case"+":return t.backUp(1),this.parseLineSpecOffset_(t,e.getCursor().line);default:return void t.backUp(1)}},parseLineSpecOffset_:function(e,t){var n=e.match(/^([+-])?(\d+)/);if(n){var r=parseInt(n[2],10);"-"==n[1]?t-=r:t+=r}return t},parseCommandArgs_:function(e,t,n){if(!e.eol()){t.argString=e.match(/.*/)[0];var r=n.argDelimiter||/\s+/,i=ue(t.argString).split(r);i.length&&i[0]&&(t.args=i)}},matchCommand_:function(e){for(var t=e.length;t>0;t--){var n=e.substring(0,t);if(this.commandMap_[n]){var r=this.commandMap_[n];if(0===r.name.indexOf(e))return r}}return null},buildCommandMap_:function(){this.commandMap_={};for(var e=0;e<o.length;e++){var t=o[e],n=t.shortName||t.name;this.commandMap_[n]=t}},map:function(e,t,n){if(":"!=e&&":"==e.charAt(0)){if(n)throw Error("Mode not supported for ex mappings");var i=e.substring(1);":"!=t&&":"==t.charAt(0)?this.commandMap_[i]={name:i,type:"exToEx",toInput:t.substring(1),user:!0}:this.commandMap_[i]={name:i,type:"exToKey",toKeys:t,user:!0}}else if(":"!=t&&":"==t.charAt(0)){var o={keys:e,type:"keyToEx",exArgs:{input:t.substring(1)}};n&&(o.context=n),r.unshift(o)}else o={keys:e,type:"keyToKey",toKeys:t},n&&(o.context=n),r.unshift(o)},unmap:function(e,t){if(":"!=e&&":"==e.charAt(0)){if(t)throw Error("Mode not supported for ex mappings");var n=e.substring(1);if(this.commandMap_[n]&&this.commandMap_[n].user)return delete this.commandMap_[n],!0}else for(var i=e,o=0;o<r.length;o++)if(i==r[o].keys&&r[o].context===t)return r.splice(o,1),!0}};var Ze={colorscheme:function(e,t){!t.args||t.args.length<1?Fe(e,e.getOption("theme")):e.setOption("theme",t.args[0])},map:function(e,t,n){var r=t.args;!r||r.length<2?e&&Fe(e,"Invalid mapping: "+t.input):Xe.map(r[0],r[1],n)},imap:function(e,t){this.map(e,t,"insert")},nmap:function(e,t){this.map(e,t,"normal")},vmap:function(e,t){this.map(e,t,"visual")},unmap:function(e,t,n){var r=t.args;(!r||r.length<1||!Xe.unmap(r[0],n))&&e&&Fe(e,"No such mapping: "+t.input)},move:function(e,t){V.processCommand(e,e.state.vim,{type:"motion",motion:"moveToLineOrEdgeOfDocument",motionArgs:{forward:!1,explicitRepeat:!0,linewise:!0},repeatOverride:t.line+1})},set:function(e,t){var n=t.args,r=t.setCfg||{};if(!n||n.length<1)e&&Fe(e,"Invalid mapping: "+t.input);else{var i=n[0].split("="),o=i[0],a=i[1],s=!1;if("?"==o.charAt(o.length-1)){if(a)throw Error("Trailing characters: "+t.argString);o=o.substring(0,o.length-1),s=!0}void 0===a&&"no"==o.substring(0,2)&&(o=o.substring(2),a=!1);var l=O[o]&&"boolean"==O[o].type;if(l&&null==a&&(a=!0),!l&&void 0===a||s){var u=R(o,e,r);u instanceof Error?Fe(e,u.message):Fe(e,!0===u||!1===u?" "+(u?"":"no")+o:" "+o+"="+u)}else{var c=D(o,a,e,r);c instanceof Error&&Fe(e,c.message)}}},setlocal:function(e,t){t.setCfg={scope:"local"},this.set(e,t)},setglobal:function(e,t){t.setCfg={scope:"global"},this.set(e,t)},registers:function(e,t){var n=t.args,r=I.registerController.registers,i="----------Registers----------\n\n";if(n){n=n.join("");for(var o=0;o<n.length;o++)a=n.charAt(o),I.registerController.isValidRegister(a)&&(i+='"'+a+" "+(r[a]||new $).toString()+"\n")}else for(var a in r){var s=r[a].toString();s.length&&(i+='"'+a+" "+s+"\n")}Fe(e,i)},sort:function(n,r){var i,o,a,s,l;var u=function(){if(r.argString){var t=new e.StringStream(r.argString);if(t.eat("!")&&(i=!0),t.eol())return;if(!t.eatSpace())return"Invalid arguments";var n=t.match(/([dinuox]+)?\s*(\/.+\/)?\s*/);if(!n&&!t.eol())return"Invalid arguments";if(n[1]){o=-1!=n[1].indexOf("i"),a=-1!=n[1].indexOf("u");var u=-1!=n[1].indexOf("d")||-1!=n[1].indexOf("n")&&1,c=-1!=n[1].indexOf("x")&&1,d=-1!=n[1].indexOf("o")&&1;if(u+c+d>1)return"Invalid arguments";s=(u?"decimal":c&&"hex")||d&&"octal"}n[2]&&(l=new RegExp(n[2].substr(1,n[2].length-2),o?"i":""))}}();if(u)Fe(n,u+": "+r.argString);else{var c=r.line||n.firstLine(),d=r.lineEnd||r.line||n.lastLine();if(c!=d){var p=new t(c,0),f=new t(d,le(n,d)),h=n.getRange(p,f).split("\n"),m=l||("decimal"==s?/(-?)([\d]+)/:"hex"==s?/(-?)(?:0x)?([0-9a-f]+)/i:"octal"==s?/([0-7]+)/:null),g="decimal"==s?10:"hex"==s?16:"octal"==s?8:null,v=[],y=[];if(s||l)for(var b=0;b<h.length;b++){var w=l?h[b].match(l):null;w&&""!=w[0]?v.push(w):!l&&m.exec(h[b])?v.push(h[b]):y.push(h[b])}else y=h;if(v.sort(l?function(e,t){var n;return i&&(n=e,e=t,t=n),o&&(e[0]=e[0].toLowerCase(),t[0]=t[0].toLowerCase()),e[0]<t[0]?-1:1}:k),l)for(b=0;b<v.length;b++)v[b]=v[b].input;else s||y.sort(k);if(h=i?v.concat(y):y.concat(v),a){var _,x=h;for(h=[],b=0;b<x.length;b++)x[b]!=_&&h.push(x[b]),_=x[b]}n.replaceRange(h.join("\n"),p,f)}}function k(e,t){var n;i&&(n=e,e=t,t=n),o&&(e=e.toLowerCase(),t=t.toLowerCase());var r=s&&m.exec(e),a=s&&m.exec(t);return r?(r=parseInt((r[1]+r[2]).toLowerCase(),g))-(a=parseInt((a[1]+a[2]).toLowerCase(),g)):e<t?-1:1}},vglobal:function(e,t){this.global(e,t)},global:function(e,t){var n=t.argString;if(n){var r,i="v"===t.commandName[0],o=void 0!==t.line?t.line:e.firstLine(),a=t.lineEnd||t.line||e.lastLine(),s=function(e){return Re(e,"/")}(n),l=n;if(s.length&&(l=s[0],r=s.slice(1,s.length).join("/")),l)try{ze(e,l,!0,!0)}catch(t){return void Fe(e,"Invalid regex: "+l)}for(var u=De(e).getQuery(),c=[],d=o;d<=a;d++){var p=e.getLineHandle(d);u.test(p.text)!==i&&c.push(r?p:p.text)}if(r){var f=0,h=function(){if(f<c.length){var t=c[f++],n=e.getLineNumber(t);if(null==n)return void h();var i=n+1+r;Xe.processCommand(e,i,{callback:h})}};h()}else Fe(e,c.join("\n"))}else Fe(e,"Regular Expression missing from global")},substitute:function(n,r){if(!n.getSearchCursor)throw new Error("Search feature not available. Requires searchcursor.js or any other getSearchCursor implementation.");var i,o,a,s,l=r.argString,u=l?Re(l,l[0]):[],c="",d=!1,p=!1;if(u.length)i=u[0],R("pcre")&&""!==i&&(i=new RegExp(i).source),void 0!==(c=u[1])&&(c=R("pcre")?function(t){for(var n=new e.StringStream(t),r=[];!n.eol();){for(;n.peek()&&"\\"!=n.peek();)r.push(n.next());var i=!1;for(var o in Le)if(n.match(o,!0)){i=!0,r.push(Le[o]);break}i||r.push(n.next())}return r.join("")}(c.replace(/([^\\])&/g,"$1$$&")):function(e){for(var t=!1,n=[],r=-1;r<e.length;r++){var i=e.charAt(r)||"",o=e.charAt(r+1)||"";Me[i+o]?(n.push(Me[i+o]),r++):t?(n.push(i),t=!1):"\\"===i?(t=!0,a=o,f.test(a)||"$"===o?n.push("$"):"/"!==o&&"\\"!==o&&n.push("\\")):("$"===i&&n.push("$"),n.push(i),"/"===o&&n.push("\\"))}var a;return n.join("")}(c),I.lastSubstituteReplacePart=c),o=u[2]?u[2].split(" "):[];else if(l&&l.length)return void Fe(n,"Substitutions should be of the form :s/pattern/replace/");if(o&&(a=o[0],s=parseInt(o[1]),a&&(-1!=a.indexOf("c")&&(d=!0),-1!=a.indexOf("g")&&(p=!0),i=R("pcre")?i+"/"+a:i.replace(/\//g,"\\/")+"/"+a)),i)try{ze(n,i,!0,!0)}catch(e){return void Fe(n,"Invalid regex: "+i)}if(void 0!==(c=c||I.lastSubstituteReplacePart)){var h=De(n).getQuery(),m=void 0!==r.line?r.line:n.getCursor().line,g=r.lineEnd||m;m==n.firstLine()&&g==n.lastLine()&&(g=1/0),s&&(g=(m=g)+s-1);var v=X(n,new t(m,0)),y=n.getSearchCursor(h,v);!function(t,n,r,i,o,a,s,l,u){t.state.vim.exMode=!0;var c,d,p,f=!1;function h(){t.operation((function(){for(;!f;)m(),v();y()}))}function m(){var e=t.getRange(a.from(),a.to()).replace(s,l),n=a.to().line;a.replace(e),d=a.to().line,o+=d-n,p=d<n}function g(){var e=c&&ne(a.to()),t=a.findNext();return t&&!t[0]&&e&&re(a.from(),e)&&(t=a.findNext()),t}function v(){for(;g()&&He(a.from(),i,o);)if(r||a.from().line!=d||p)return t.scrollIntoView(a.from(),30),t.setSelection(a.from(),a.to()),c=a.from(),void(f=!1);f=!0}function y(e){if(e&&e(),t.focus(),c){t.setCursor(c);var n=t.state.vim;n.exMode=!1,n.lastHPos=n.lastHSPos=c.ch}u&&u()}function b(n,r,i){switch(e.e_stop(n),e.keyName(n)){case"Y":m(),v();break;case"N":v();break;case"A":var o=u;u=void 0,t.operation(h),u=o;break;case"L":m();case"Q":case"Esc":case"Ctrl-C":case"Ctrl-[":y(i)}return f&&y(i),!0}if(v(),!f)return n?void Be(t,{prefix:je("span","replace with ",je("strong",l)," (y/n/a/q/l)"),onKeyDown:b}):(h(),void(u&&u()));Fe(t,"No matches for "+s.source)}(n,d,p,m,g,y,h,c,r.callback)}else Fe(n,"No previous substitute regular expression")},redo:e.commands.redo,undo:e.commands.undo,write:function(t){e.commands.save?e.commands.save(t):t.save&&t.save()},nohlsearch:function(e){We(e)},yank:function(e){var t=ne(e.getCursor()).line,n=e.getLine(t);I.registerController.pushText("0","yank",n,!0,!0)},delmarks:function(t,n){if(n.argString&&ue(n.argString))for(var r=t.state.vim,i=new e.StringStream(ue(n.argString));!i.eol();){i.eatSpace();var o=i.pos;if(!i.match(/[a-zA-Z]/,!1))return void Fe(t,"Invalid argument: "+n.argString.substring(o));var a=i.next();if(i.match("-",!0)){if(!i.match(/[a-zA-Z]/,!1))return void Fe(t,"Invalid argument: "+n.argString.substring(o));var s=a,l=i.next();if(!(E(s)&&E(l)||C(s)&&C(l)))return void Fe(t,"Invalid argument: "+s+"-");var u=s.charCodeAt(0),c=l.charCodeAt(0);if(u>=c)return void Fe(t,"Invalid argument: "+n.argString.substring(o));for(var d=0;d<=c-u;d++){var p=String.fromCharCode(u+d);delete r.marks[p]}}else delete r.marks[a]}else Fe(t,"Argument required")}},Xe=new Ye;function Qe(t){var n=t.state.vim,r=I.macroModeState,i=I.registerController.getRegister("."),o=r.isPlaying,a=r.lastInsertModeChanges;o||(t.off("change",tt),e.off(t.getInputField(),"keydown",ot)),!o&&n.insertModeRepeat>1&&(at(t,n,n.insertModeRepeat-1,!0),n.lastEditInputState.repeatOverride=n.insertModeRepeat),delete n.insertModeRepeat,n.insertMode=!1,t.setCursor(t.getCursor().line,t.getCursor().ch-1),t.setOption("keyMap","vim"),t.setOption("disableInput",!0),t.toggleOverwrite(!1),i.setText(a.changes.join("")),e.signal(t,"vim-mode-change",{mode:"normal"}),r.isRecording&&function(e){if(!e.isPlaying){var t=e.latestRegister,n=I.registerController.getRegister(t);n&&n.pushInsertModeChanges&&n.pushInsertModeChanges(e.lastInsertModeChanges)}}(r)}function Je(e){r.unshift(e)}function et(e,t,n,r){var i=I.registerController.getRegister(r);if(":"==r)return i.keyBuffer[0]&&Xe.processCommand(e,i.keyBuffer[0]),void(n.isPlaying=!1);var o=i.keyBuffer,a=0;n.isPlaying=!0,n.replaySearchQueries=i.searchQueries.slice(0);for(var s=0;s<o.length;s++)for(var l,u,c=o[s];c;)if(u=(l=/<\w+-.+?>|<\w+>|./.exec(c))[0],c=c.substring(l.index+u.length),z.handleKey(e,u,"macro"),t.insertMode){var d=i.insertModeChanges[a++].changes;I.macroModeState.lastInsertModeChanges.changes=d,st(e,d,1),Qe(e)}n.isPlaying=!1}function tt(e,t){var n=I.macroModeState,r=n.lastInsertModeChanges;if(!n.isPlaying)for(;t;){if(r.expectCursorActivityForChange=!0,r.ignoreCount>1)r.ignoreCount--;else if("+input"==t.origin||"paste"==t.origin||void 0===t.origin){var i=e.listSelections().length;i>1&&(r.ignoreCount=i);var o=t.text.join("\n");r.maybeReset&&(r.changes=[],r.maybeReset=!1),o&&(e.state.overwrite&&!/\n/.test(o)?r.changes.push([o]):r.changes.push(o))}t=t.next}}function nt(e){var t=e.state.vim;if(t.insertMode){var n=I.macroModeState;if(n.isPlaying)return;var r=n.lastInsertModeChanges;r.expectCursorActivityForChange?r.expectCursorActivityForChange=!1:r.maybeReset=!0}else e.curOp.isVimOp||rt(e,t)}function rt(t,n){var r=t.getCursor("anchor"),i=t.getCursor("head");if(n.visualMode&&!t.somethingSelected()?ge(t,!1):n.visualMode||n.insertMode||!t.somethingSelected()||(n.visualMode=!0,n.visualLine=!1,e.signal(t,"vim-mode-change",{mode:"visual"})),n.visualMode){var o=ie(i,r)?0:-1,a=ie(i,r)?-1:0;i=J(i,0,o),r=J(r,0,a),n.sel={anchor:r,head:i},Se(t,n,"<",oe(i,r)),Se(t,n,">",ae(i,r))}else n.insertMode||(n.lastHPos=t.getCursor().ch)}function it(e){this.keyName=e}function ot(t){var n=I.macroModeState.lastInsertModeChanges,r=e.keyName(t);r&&(-1==r.indexOf("Delete")&&-1==r.indexOf("Backspace")||e.lookupKey(r,"vim-insert",(function(){return n.maybeReset&&(n.changes=[],n.maybeReset=!1),n.changes.push(new it(r)),!0})))}function at(e,t,n,r){var i=I.macroModeState;i.isPlaying=!0;var o=!!t.lastEditActionCommand,a=t.inputState;function s(){o?V.processAction(e,t,t.lastEditActionCommand):V.evalInput(e,t)}function l(n){if(i.lastInsertModeChanges.changes.length>0){n=t.lastEditActionCommand?n:1;var r=i.lastInsertModeChanges;st(e,r.changes,n)}}if(t.inputState=t.lastEditInputState,o&&t.lastEditActionCommand.interlaceInsertRepeat)for(var u=0;u<n;u++)s(),l(1);else r||s(),l(n);t.inputState=a,t.insertMode&&!r&&Qe(e),i.isPlaying=!1}function st(t,n,r){function i(n){return"string"==typeof n?e.commands[n](t):n(t),!0}var o=t.getCursor("head"),a=I.macroModeState.lastInsertModeChanges.visualBlock;a&&(pe(t,o,a+1),r=t.listSelections().length,t.setCursor(o));for(var s=0;s<r;s++){a&&t.setCursor(J(o,s,0));for(var l=0;l<n.length;l++){var u=n[l];if(u instanceof it)e.lookupKey(u.keyName,"vim-insert",i);else if("string"==typeof u)t.replaceSelection(u);else{var c=t.getCursor(),d=J(c,0,u[0].length);t.replaceRange(u[0],c,d),t.setCursor(d)}}}a&&t.setCursor(J(o,0,1))}function lt(e){var t=new e.constructor;return Object.keys(e).forEach((function(n){var r=e[n];Array.isArray(r)?r=r.slice():r&&"object"==typeof r&&r.constructor!=Object&&(r=lt(r)),t[n]=r})),e.sel&&(t.sel={head:e.sel.head&&ne(e.sel.head),anchor:e.sel.anchor&&ne(e.sel.anchor)}),t}return e.keyMap.vim={attach:l,detach:s,call:u},P("insertModeEscKeysTimeout",200,"number"),e.keyMap["vim-insert"]={fallthrough:["default"],attach:l,detach:s,call:u},e.keyMap["vim-replace"]={Backspace:"goCharLeft",fallthrough:["vim-insert"],attach:l,detach:s,call:u},B(),z}var n;e.Vim=((n=e).Vim=t(n),n.Vim)}(n(23326),n(19757),n(15971),n(32407))},23326:function(e){e.exports=function(){"use strict";var e=navigator.userAgent,t=navigator.platform,n=/gecko\/\d/i.test(e),r=/MSIE \d/.test(e),i=/Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(e),o=/Edge\/(\d+)/.exec(e),a=r||i||o,s=a&&(r?document.documentMode||6:+(o||i)[1]),l=!o&&/WebKit\//.test(e),u=l&&/Qt\/\d+\.\d+/.test(e),c=!o&&/Chrome\/(\d+)/.exec(e),d=c&&+c[1],p=/Opera\//.test(e),f=/Apple Computer/.test(navigator.vendor),h=/Mac OS X 1\d\D([8-9]|\d\d)\D/.test(e),m=/PhantomJS/.test(e),g=f&&(/Mobile\/\w+/.test(e)||navigator.maxTouchPoints>2),v=/Android/.test(e),y=g||v||/webOS|BlackBerry|Opera Mini|Opera Mobi|IEMobile/i.test(e),b=g||/Mac/.test(t),w=/\bCrOS\b/.test(e),_=/win/i.test(t),x=p&&e.match(/Version\/(\d*\.\d*)/);x&&(x=Number(x[1])),x&&x>=15&&(p=!1,l=!0);var k=b&&(u||p&&(null==x||x<12.11)),E=n||a&&s>=9;function C(e){return new RegExp("(^|\\s)"+e+"(?:$|\\s)\\s*")}var S,A=function(e,t){var n=e.className,r=C(t).exec(n);if(r){var i=n.slice(r.index+r[0].length);e.className=n.slice(0,r.index)+(i?r[1]+i:"")}};function T(e){for(var t=e.childNodes.length;t>0;--t)e.removeChild(e.firstChild);return e}function O(e,t){return T(e).appendChild(t)}function P(e,t,n,r){var i=document.createElement(e);if(n&&(i.className=n),r&&(i.style.cssText=r),"string"==typeof t)i.appendChild(document.createTextNode(t));else if(t)for(var o=0;o<t.length;++o)i.appendChild(t[o]);return i}function D(e,t,n,r){var i=P(e,t,n,r);return i.setAttribute("role","presentation"),i}function R(e,t){if(3==t.nodeType&&(t=t.parentNode),e.contains)return e.contains(t);do{if(11==t.nodeType&&(t=t.host),t==e)return!0}while(t=t.parentNode)}function I(e){var t;try{t=e.activeElement}catch(n){t=e.body||null}for(;t&&t.shadowRoot&&t.shadowRoot.activeElement;)t=t.shadowRoot.activeElement;return t}function M(e,t){var n=e.className;C(t).test(n)||(e.className+=(n?" ":"")+t)}function L(e,t){for(var n=e.split(" "),r=0;r<n.length;r++)n[r]&&!C(n[r]).test(t)&&(t+=" "+n[r]);return t}S=document.createRange?function(e,t,n,r){var i=document.createRange();return i.setEnd(r||e,n),i.setStart(e,t),i}:function(e,t,n){var r=document.body.createTextRange();try{r.moveToElementText(e.parentNode)}catch(e){return r}return r.collapse(!0),r.moveEnd("character",n),r.moveStart("character",t),r};var N=function(e){e.select()};function j(e){return e.display.wrapper.ownerDocument}function F(e){return j(e).defaultView}function B(e){var t=Array.prototype.slice.call(arguments,1);return function(){return e.apply(null,t)}}function z(e,t,n){for(var r in t||(t={}),e)!e.hasOwnProperty(r)||!1===n&&t.hasOwnProperty(r)||(t[r]=e[r]);return t}function U(e,t,n,r,i){null==t&&-1==(t=e.search(/[^\s\u00a0]/))&&(t=e.length);for(var o=r||0,a=i||0;;){var s=e.indexOf("\t",o);if(s<0||s>=t)return a+(t-o);a+=s-o,a+=n-a%n,o=s+1}}g?N=function(e){e.selectionStart=0,e.selectionEnd=e.value.length}:a&&(N=function(e){try{e.select()}catch(e){}});var q=function(){this.id=null,this.f=null,this.time=0,this.handler=B(this.onTimeout,this)};function $(e,t){for(var n=0;n<e.length;++n)if(e[n]==t)return n;return-1}q.prototype.onTimeout=function(e){e.id=0,e.time<=+new Date?e.f():setTimeout(e.handler,e.time-+new Date)},q.prototype.set=function(e,t){this.f=t;var n=+new Date+e;(!this.id||n<this.time)&&(clearTimeout(this.id),this.id=setTimeout(this.handler,e),this.time=n)};var W=50,H={toString:function(){return"CodeMirror.Pass"}},V={scroll:!1},G={origin:"*mouse"},K={origin:"+move"};function Y(e,t,n){for(var r=0,i=0;;){var o=e.indexOf("\t",r);-1==o&&(o=e.length);var a=o-r;if(o==e.length||i+a>=t)return r+Math.min(a,t-i);if(i+=o-r,r=o+1,(i+=n-i%n)>=t)return r}}var Z=[""];function X(e){for(;Z.length<=e;)Z.push(Q(Z)+" ");return Z[e]}function Q(e){return e[e.length-1]}function J(e,t){for(var n=[],r=0;r<e.length;r++)n[r]=t(e[r],r);return n}function ee(){}function te(e,t){var n;return Object.create?n=Object.create(e):(ee.prototype=e,n=new ee),t&&z(t,n),n}var ne=/[\u00df\u0587\u0590-\u05f4\u0600-\u06ff\u3040-\u309f\u30a0-\u30ff\u3400-\u4db5\u4e00-\u9fcc\uac00-\ud7af]/;function re(e){return/\w/.test(e)||e>"€"&&(e.toUpperCase()!=e.toLowerCase()||ne.test(e))}function ie(e,t){return t?!!(t.source.indexOf("\\w")>-1&&re(e))||t.test(e):re(e)}function oe(e){for(var t in e)if(e.hasOwnProperty(t)&&e[t])return!1;return!0}var ae=/[\u0300-\u036f\u0483-\u0489\u0591-\u05bd\u05bf\u05c1\u05c2\u05c4\u05c5\u05c7\u0610-\u061a\u064b-\u065e\u0670\u06d6-\u06dc\u06de-\u06e4\u06e7\u06e8\u06ea-\u06ed\u0711\u0730-\u074a\u07a6-\u07b0\u07eb-\u07f3\u0816-\u0819\u081b-\u0823\u0825-\u0827\u0829-\u082d\u0900-\u0902\u093c\u0941-\u0948\u094d\u0951-\u0955\u0962\u0963\u0981\u09bc\u09be\u09c1-\u09c4\u09cd\u09d7\u09e2\u09e3\u0a01\u0a02\u0a3c\u0a41\u0a42\u0a47\u0a48\u0a4b-\u0a4d\u0a51\u0a70\u0a71\u0a75\u0a81\u0a82\u0abc\u0ac1-\u0ac5\u0ac7\u0ac8\u0acd\u0ae2\u0ae3\u0b01\u0b3c\u0b3e\u0b3f\u0b41-\u0b44\u0b4d\u0b56\u0b57\u0b62\u0b63\u0b82\u0bbe\u0bc0\u0bcd\u0bd7\u0c3e-\u0c40\u0c46-\u0c48\u0c4a-\u0c4d\u0c55\u0c56\u0c62\u0c63\u0cbc\u0cbf\u0cc2\u0cc6\u0ccc\u0ccd\u0cd5\u0cd6\u0ce2\u0ce3\u0d3e\u0d41-\u0d44\u0d4d\u0d57\u0d62\u0d63\u0dca\u0dcf\u0dd2-\u0dd4\u0dd6\u0ddf\u0e31\u0e34-\u0e3a\u0e47-\u0e4e\u0eb1\u0eb4-\u0eb9\u0ebb\u0ebc\u0ec8-\u0ecd\u0f18\u0f19\u0f35\u0f37\u0f39\u0f71-\u0f7e\u0f80-\u0f84\u0f86\u0f87\u0f90-\u0f97\u0f99-\u0fbc\u0fc6\u102d-\u1030\u1032-\u1037\u1039\u103a\u103d\u103e\u1058\u1059\u105e-\u1060\u1071-\u1074\u1082\u1085\u1086\u108d\u109d\u135f\u1712-\u1714\u1732-\u1734\u1752\u1753\u1772\u1773\u17b7-\u17bd\u17c6\u17c9-\u17d3\u17dd\u180b-\u180d\u18a9\u1920-\u1922\u1927\u1928\u1932\u1939-\u193b\u1a17\u1a18\u1a56\u1a58-\u1a5e\u1a60\u1a62\u1a65-\u1a6c\u1a73-\u1a7c\u1a7f\u1b00-\u1b03\u1b34\u1b36-\u1b3a\u1b3c\u1b42\u1b6b-\u1b73\u1b80\u1b81\u1ba2-\u1ba5\u1ba8\u1ba9\u1c2c-\u1c33\u1c36\u1c37\u1cd0-\u1cd2\u1cd4-\u1ce0\u1ce2-\u1ce8\u1ced\u1dc0-\u1de6\u1dfd-\u1dff\u200c\u200d\u20d0-\u20f0\u2cef-\u2cf1\u2de0-\u2dff\u302a-\u302f\u3099\u309a\ua66f-\ua672\ua67c\ua67d\ua6f0\ua6f1\ua802\ua806\ua80b\ua825\ua826\ua8c4\ua8e0-\ua8f1\ua926-\ua92d\ua947-\ua951\ua980-\ua982\ua9b3\ua9b6-\ua9b9\ua9bc\uaa29-\uaa2e\uaa31\uaa32\uaa35\uaa36\uaa43\uaa4c\uaab0\uaab2-\uaab4\uaab7\uaab8\uaabe\uaabf\uaac1\uabe5\uabe8\uabed\udc00-\udfff\ufb1e\ufe00-\ufe0f\ufe20-\ufe26\uff9e\uff9f]/;function se(e){return e.charCodeAt(0)>=768&&ae.test(e)}function le(e,t,n){for(;(n<0?t>0:t<e.length)&&se(e.charAt(t));)t+=n;return t}function ue(e,t,n){for(var r=t>n?-1:1;;){if(t==n)return t;var i=(t+n)/2,o=r<0?Math.ceil(i):Math.floor(i);if(o==t)return e(o)?t:n;e(o)?n=o:t=o+r}}var ce=null;function de(e,t,n){var r;ce=null;for(var i=0;i<e.length;++i){var o=e[i];if(o.from<t&&o.to>t)return i;o.to==t&&(o.from!=o.to&&"before"==n?r=i:ce=i),o.from==t&&(o.from!=o.to&&"before"!=n?r=i:ce=i)}return null!=r?r:ce}var pe=function(){var e=/[\u0590-\u05f4\u0600-\u06ff\u0700-\u08ac]/,t=/[stwN]/,n=/[LRr]/,r=/[Lb1n]/,i=/[1n]/;function o(e,t,n){this.level=e,this.from=t,this.to=n}return function(a,s){var l,u="ltr"==s?"L":"R";if(0==a.length||"ltr"==s&&!e.test(a))return!1;for(var c=a.length,d=[],p=0;p<c;++p)d.push((l=a.charCodeAt(p))<=247?"bbbbbbbbbtstwsbbbbbbbbbbbbbbssstwNN%%%NNNNNN,N,N1111111111NNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNbbbbbbsbbbbbbbbbbbbbbbbbbbbbbbbbb,N%%%%NNNNLNNNNN%%11NLNNN1LNNNNNLLLLLLLLLLLLLLLLLLLLLLLNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLN".charAt(l):1424<=l&&l<=1524?"R":1536<=l&&l<=1785?"nnnnnnNNr%%r,rNNmmmmmmmmmmmrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrmmmmmmmmmmmmmmmmmmmmmnnnnnnnnnn%nnrrrmrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrmmmmmmmnNmmmmmmrrmmNmmmmrr1111111111".charAt(l-1536):1774<=l&&l<=2220?"r":8192<=l&&l<=8203?"w":8204==l?"b":"L");for(var f=0,h=u;f<c;++f){var m=d[f];"m"==m?d[f]=h:h=m}for(var g=0,v=u;g<c;++g){var y=d[g];"1"==y&&"r"==v?d[g]="n":n.test(y)&&(v=y,"r"==y&&(d[g]="R"))}for(var b=1,w=d[0];b<c-1;++b){var _=d[b];"+"==_&&"1"==w&&"1"==d[b+1]?d[b]="1":","!=_||w!=d[b+1]||"1"!=w&&"n"!=w||(d[b]=w),w=_}for(var x=0;x<c;++x){var k=d[x];if(","==k)d[x]="N";else if("%"==k){var E=void 0;for(E=x+1;E<c&&"%"==d[E];++E);for(var C=x&&"!"==d[x-1]||E<c&&"1"==d[E]?"1":"N",S=x;S<E;++S)d[S]=C;x=E-1}}for(var A=0,T=u;A<c;++A){var O=d[A];"L"==T&&"1"==O?d[A]="L":n.test(O)&&(T=O)}for(var P=0;P<c;++P)if(t.test(d[P])){var D=void 0;for(D=P+1;D<c&&t.test(d[D]);++D);for(var R="L"==(P?d[P-1]:u),I=R==("L"==(D<c?d[D]:u))?R?"L":"R":u,M=P;M<D;++M)d[M]=I;P=D-1}for(var L,N=[],j=0;j<c;)if(r.test(d[j])){var F=j;for(++j;j<c&&r.test(d[j]);++j);N.push(new o(0,F,j))}else{var B=j,z=N.length,U="rtl"==s?1:0;for(++j;j<c&&"L"!=d[j];++j);for(var q=B;q<j;)if(i.test(d[q])){B<q&&(N.splice(z,0,new o(1,B,q)),z+=U);var $=q;for(++q;q<j&&i.test(d[q]);++q);N.splice(z,0,new o(2,$,q)),z+=U,B=q}else++q;B<j&&N.splice(z,0,new o(1,B,j))}return"ltr"==s&&(1==N[0].level&&(L=a.match(/^\s+/))&&(N[0].from=L[0].length,N.unshift(new o(0,0,L[0].length))),1==Q(N).level&&(L=a.match(/\s+$/))&&(Q(N).to-=L[0].length,N.push(new o(0,c-L[0].length,c)))),"rtl"==s?N.reverse():N}}();function fe(e,t){var n=e.order;return null==n&&(n=e.order=pe(e.text,t)),n}var he=[],me=function(e,t,n){if(e.addEventListener)e.addEventListener(t,n,!1);else if(e.attachEvent)e.attachEvent("on"+t,n);else{var r=e._handlers||(e._handlers={});r[t]=(r[t]||he).concat(n)}};function ge(e,t){return e._handlers&&e._handlers[t]||he}function ve(e,t,n){if(e.removeEventListener)e.removeEventListener(t,n,!1);else if(e.detachEvent)e.detachEvent("on"+t,n);else{var r=e._handlers,i=r&&r[t];if(i){var o=$(i,n);o>-1&&(r[t]=i.slice(0,o).concat(i.slice(o+1)))}}}function ye(e,t){var n=ge(e,t);if(n.length)for(var r=Array.prototype.slice.call(arguments,2),i=0;i<n.length;++i)n[i].apply(null,r)}function be(e,t,n){return"string"==typeof t&&(t={type:t,preventDefault:function(){this.defaultPrevented=!0}}),ye(e,n||t.type,e,t),Ce(t)||t.codemirrorIgnore}function we(e){var t=e._handlers&&e._handlers.cursorActivity;if(t)for(var n=e.curOp.cursorActivityHandlers||(e.curOp.cursorActivityHandlers=[]),r=0;r<t.length;++r)-1==$(n,t[r])&&n.push(t[r])}function _e(e,t){return ge(e,t).length>0}function xe(e){e.prototype.on=function(e,t){me(this,e,t)},e.prototype.off=function(e,t){ve(this,e,t)}}function ke(e){e.preventDefault?e.preventDefault():e.returnValue=!1}function Ee(e){e.stopPropagation?e.stopPropagation():e.cancelBubble=!0}function Ce(e){return null!=e.defaultPrevented?e.defaultPrevented:0==e.returnValue}function Se(e){ke(e),Ee(e)}function Ae(e){return e.target||e.srcElement}function Te(e){var t=e.which;return null==t&&(1&e.button?t=1:2&e.button?t=3:4&e.button&&(t=2)),b&&e.ctrlKey&&1==t&&(t=3),t}var Oe,Pe,De=function(){if(a&&s<9)return!1;var e=P("div");return"draggable"in e||"dragDrop"in e}();function Re(e){if(null==Oe){var t=P("span","");O(e,P("span",[t,document.createTextNode("x")])),0!=e.firstChild.offsetHeight&&(Oe=t.offsetWidth<=1&&t.offsetHeight>2&&!(a&&s<8))}var n=Oe?P("span",""):P("span"," ",null,"display: inline-block; width: 1px; margin-right: -1px");return n.setAttribute("cm-text",""),n}function Ie(e){if(null!=Pe)return Pe;var t=O(e,document.createTextNode("AخA")),n=S(t,0,1).getBoundingClientRect(),r=S(t,1,2).getBoundingClientRect();return T(e),!(!n||n.left==n.right)&&(Pe=r.right-n.right<3)}var Me,Le=3!="\n\nb".split(/\n/).length?function(e){for(var t=0,n=[],r=e.length;t<=r;){var i=e.indexOf("\n",t);-1==i&&(i=e.length);var o=e.slice(t,"\r"==e.charAt(i-1)?i-1:i),a=o.indexOf("\r");-1!=a?(n.push(o.slice(0,a)),t+=a+1):(n.push(o),t=i+1)}return n}:function(e){return e.split(/\r\n?|\n/)},Ne=window.getSelection?function(e){try{return e.selectionStart!=e.selectionEnd}catch(e){return!1}}:function(e){var t;try{t=e.ownerDocument.selection.createRange()}catch(e){}return!(!t||t.parentElement()!=e)&&0!=t.compareEndPoints("StartToEnd",t)},je="oncopy"in(Me=P("div"))||(Me.setAttribute("oncopy","return;"),"function"==typeof Me.oncopy),Fe=null;var Be={},ze={};function Ue(e,t){arguments.length>2&&(t.dependencies=Array.prototype.slice.call(arguments,2)),Be[e]=t}function qe(e){if("string"==typeof e&&ze.hasOwnProperty(e))e=ze[e];else if(e&&"string"==typeof e.name&&ze.hasOwnProperty(e.name)){var t=ze[e.name];"string"==typeof t&&(t={name:t}),(e=te(t,e)).name=t.name}else{if("string"==typeof e&&/^[\w\-]+\/[\w\-]+\+xml$/.test(e))return qe("application/xml");if("string"==typeof e&&/^[\w\-]+\/[\w\-]+\+json$/.test(e))return qe("application/json")}return"string"==typeof e?{name:e}:e||{name:"null"}}function $e(e,t){t=qe(t);var n=Be[t.name];if(!n)return $e(e,"text/plain");var r=n(e,t);if(We.hasOwnProperty(t.name)){var i=We[t.name];for(var o in i)i.hasOwnProperty(o)&&(r.hasOwnProperty(o)&&(r["_"+o]=r[o]),r[o]=i[o])}if(r.name=t.name,t.helperType&&(r.helperType=t.helperType),t.modeProps)for(var a in t.modeProps)r[a]=t.modeProps[a];return r}var We={};function He(e,t){z(t,We.hasOwnProperty(e)?We[e]:We[e]={})}function Ve(e,t){if(!0===t)return t;if(e.copyState)return e.copyState(t);var n={};for(var r in t){var i=t[r];i instanceof Array&&(i=i.concat([])),n[r]=i}return n}function Ge(e,t){for(var n;e.innerMode&&(n=e.innerMode(t))&&n.mode!=e;)t=n.state,e=n.mode;return n||{mode:e,state:t}}function Ke(e,t,n){return!e.startState||e.startState(t,n)}var Ye=function(e,t,n){this.pos=this.start=0,this.string=e,this.tabSize=t||8,this.lastColumnPos=this.lastColumnValue=0,this.lineStart=0,this.lineOracle=n};function Ze(e,t){if((t-=e.first)<0||t>=e.size)throw new Error("There is no line "+(t+e.first)+" in the document.");for(var n=e;!n.lines;)for(var r=0;;++r){var i=n.children[r],o=i.chunkSize();if(t<o){n=i;break}t-=o}return n.lines[t]}function Xe(e,t,n){var r=[],i=t.line;return e.iter(t.line,n.line+1,(function(e){var o=e.text;i==n.line&&(o=o.slice(0,n.ch)),i==t.line&&(o=o.slice(t.ch)),r.push(o),++i})),r}function Qe(e,t,n){var r=[];return e.iter(t,n,(function(e){r.push(e.text)})),r}function Je(e,t){var n=t-e.height;if(n)for(var r=e;r;r=r.parent)r.height+=n}function et(e){if(null==e.parent)return null;for(var t=e.parent,n=$(t.lines,e),r=t.parent;r;t=r,r=r.parent)for(var i=0;r.children[i]!=t;++i)n+=r.children[i].chunkSize();return n+t.first}function tt(e,t){var n=e.first;e:do{for(var r=0;r<e.children.length;++r){var i=e.children[r],o=i.height;if(t<o){e=i;continue e}t-=o,n+=i.chunkSize()}return n}while(!e.lines);for(var a=0;a<e.lines.length;++a){var s=e.lines[a].height;if(t<s)break;t-=s}return n+a}function nt(e,t){return t>=e.first&&t<e.first+e.size}function rt(e,t){return String(e.lineNumberFormatter(t+e.firstLineNumber))}function it(e,t,n){if(void 0===n&&(n=null),!(this instanceof it))return new it(e,t,n);this.line=e,this.ch=t,this.sticky=n}function ot(e,t){return e.line-t.line||e.ch-t.ch}function at(e,t){return e.sticky==t.sticky&&0==ot(e,t)}function st(e){return it(e.line,e.ch)}function lt(e,t){return ot(e,t)<0?t:e}function ut(e,t){return ot(e,t)<0?e:t}function ct(e,t){return Math.max(e.first,Math.min(t,e.first+e.size-1))}function dt(e,t){if(t.line<e.first)return it(e.first,0);var n=e.first+e.size-1;return t.line>n?it(n,Ze(e,n).text.length):function(e,t){var n=e.ch;return null==n||n>t?it(e.line,t):n<0?it(e.line,0):e}(t,Ze(e,t.line).text.length)}function pt(e,t){for(var n=[],r=0;r<t.length;r++)n[r]=dt(e,t[r]);return n}Ye.prototype.eol=function(){return this.pos>=this.string.length},Ye.prototype.sol=function(){return this.pos==this.lineStart},Ye.prototype.peek=function(){return this.string.charAt(this.pos)||void 0},Ye.prototype.next=function(){if(this.pos<this.string.length)return this.string.charAt(this.pos++)},Ye.prototype.eat=function(e){var t=this.string.charAt(this.pos);if("string"==typeof e?t==e:t&&(e.test?e.test(t):e(t)))return++this.pos,t},Ye.prototype.eatWhile=function(e){for(var t=this.pos;this.eat(e););return this.pos>t},Ye.prototype.eatSpace=function(){for(var e=this.pos;/[\s\u00a0]/.test(this.string.charAt(this.pos));)++this.pos;return this.pos>e},Ye.prototype.skipToEnd=function(){this.pos=this.string.length},Ye.prototype.skipTo=function(e){var t=this.string.indexOf(e,this.pos);if(t>-1)return this.pos=t,!0},Ye.prototype.backUp=function(e){this.pos-=e},Ye.prototype.column=function(){return this.lastColumnPos<this.start&&(this.lastColumnValue=U(this.string,this.start,this.tabSize,this.lastColumnPos,this.lastColumnValue),this.lastColumnPos=this.start),this.lastColumnValue-(this.lineStart?U(this.string,this.lineStart,this.tabSize):0)},Ye.prototype.indentation=function(){return U(this.string,null,this.tabSize)-(this.lineStart?U(this.string,this.lineStart,this.tabSize):0)},Ye.prototype.match=function(e,t,n){if("string"!=typeof e){var r=this.string.slice(this.pos).match(e);return r&&r.index>0?null:(r&&!1!==t&&(this.pos+=r[0].length),r)}var i=function(e){return n?e.toLowerCase():e};if(i(this.string.substr(this.pos,e.length))==i(e))return!1!==t&&(this.pos+=e.length),!0},Ye.prototype.current=function(){return this.string.slice(this.start,this.pos)},Ye.prototype.hideFirstChars=function(e,t){this.lineStart+=e;try{return t()}finally{this.lineStart-=e}},Ye.prototype.lookAhead=function(e){var t=this.lineOracle;return t&&t.lookAhead(e)},Ye.prototype.baseToken=function(){var e=this.lineOracle;return e&&e.baseToken(this.pos)};var ft=function(e,t){this.state=e,this.lookAhead=t},ht=function(e,t,n,r){this.state=t,this.doc=e,this.line=n,this.maxLookAhead=r||0,this.baseTokens=null,this.baseTokenPos=1};function mt(e,t,n,r){var i=[e.state.modeGen],o={};Et(e,t.text,e.doc.mode,n,(function(e,t){return i.push(e,t)}),o,r);for(var a=n.state,s=function(r){n.baseTokens=i;var s=e.state.overlays[r],l=1,u=0;n.state=!0,Et(e,t.text,s.mode,n,(function(e,t){for(var n=l;u<e;){var r=i[l];r>e&&i.splice(l,1,e,i[l+1],r),l+=2,u=Math.min(e,r)}if(t)if(s.opaque)i.splice(n,l-n,e,"overlay "+t),l=n+2;else for(;n<l;n+=2){var o=i[n+1];i[n+1]=(o?o+" ":"")+"overlay "+t}}),o),n.state=a,n.baseTokens=null,n.baseTokenPos=1},l=0;l<e.state.overlays.length;++l)s(l);return{styles:i,classes:o.bgClass||o.textClass?o:null}}function gt(e,t,n){if(!t.styles||t.styles[0]!=e.state.modeGen){var r=vt(e,et(t)),i=t.text.length>e.options.maxHighlightLength&&Ve(e.doc.mode,r.state),o=mt(e,t,r);i&&(r.state=i),t.stateAfter=r.save(!i),t.styles=o.styles,o.classes?t.styleClasses=o.classes:t.styleClasses&&(t.styleClasses=null),n===e.doc.highlightFrontier&&(e.doc.modeFrontier=Math.max(e.doc.modeFrontier,++e.doc.highlightFrontier))}return t.styles}function vt(e,t,n){var r=e.doc,i=e.display;if(!r.mode.startState)return new ht(r,!0,t);var o=function(e,t,n){for(var r,i,o=e.doc,a=n?-1:t-(e.doc.mode.innerMode?1e3:100),s=t;s>a;--s){if(s<=o.first)return o.first;var l=Ze(o,s-1),u=l.stateAfter;if(u&&(!n||s+(u instanceof ft?u.lookAhead:0)<=o.modeFrontier))return s;var c=U(l.text,null,e.options.tabSize);(null==i||r>c)&&(i=s-1,r=c)}return i}(e,t,n),a=o>r.first&&Ze(r,o-1).stateAfter,s=a?ht.fromSaved(r,a,o):new ht(r,Ke(r.mode),o);return r.iter(o,t,(function(n){yt(e,n.text,s);var r=s.line;n.stateAfter=r==t-1||r%5==0||r>=i.viewFrom&&r<i.viewTo?s.save():null,s.nextLine()})),n&&(r.modeFrontier=s.line),s}function yt(e,t,n,r){var i=e.doc.mode,o=new Ye(t,e.options.tabSize,n);for(o.start=o.pos=r||0,""==t&&bt(i,n.state);!o.eol();)wt(i,o,n.state),o.start=o.pos}function bt(e,t){if(e.blankLine)return e.blankLine(t);if(e.innerMode){var n=Ge(e,t);return n.mode.blankLine?n.mode.blankLine(n.state):void 0}}function wt(e,t,n,r){for(var i=0;i<10;i++){r&&(r[0]=Ge(e,n).mode);var o=e.token(t,n);if(t.pos>t.start)return o}throw new Error("Mode "+e.name+" failed to advance stream.")}ht.prototype.lookAhead=function(e){var t=this.doc.getLine(this.line+e);return null!=t&&e>this.maxLookAhead&&(this.maxLookAhead=e),t},ht.prototype.baseToken=function(e){if(!this.baseTokens)return null;for(;this.baseTokens[this.baseTokenPos]<=e;)this.baseTokenPos+=2;var t=this.baseTokens[this.baseTokenPos+1];return{type:t&&t.replace(/( |^)overlay .*/,""),size:this.baseTokens[this.baseTokenPos]-e}},ht.prototype.nextLine=function(){this.line++,this.maxLookAhead>0&&this.maxLookAhead--},ht.fromSaved=function(e,t,n){return t instanceof ft?new ht(e,Ve(e.mode,t.state),n,t.lookAhead):new ht(e,Ve(e.mode,t),n)},ht.prototype.save=function(e){var t=!1!==e?Ve(this.doc.mode,this.state):this.state;return this.maxLookAhead>0?new ft(t,this.maxLookAhead):t};var _t=function(e,t,n){this.start=e.start,this.end=e.pos,this.string=e.current(),this.type=t||null,this.state=n};function xt(e,t,n,r){var i,o,a=e.doc,s=a.mode,l=Ze(a,(t=dt(a,t)).line),u=vt(e,t.line,n),c=new Ye(l.text,e.options.tabSize,u);for(r&&(o=[]);(r||c.pos<t.ch)&&!c.eol();)c.start=c.pos,i=wt(s,c,u.state),r&&o.push(new _t(c,i,Ve(a.mode,u.state)));return r?o:new _t(c,i,u.state)}function kt(e,t){if(e)for(;;){var n=e.match(/(?:^|\s+)line-(background-)?(\S+)/);if(!n)break;e=e.slice(0,n.index)+e.slice(n.index+n[0].length);var r=n[1]?"bgClass":"textClass";null==t[r]?t[r]=n[2]:new RegExp("(?:^|\\s)"+n[2]+"(?:$|\\s)").test(t[r])||(t[r]+=" "+n[2])}return e}function Et(e,t,n,r,i,o,a){var s=n.flattenSpans;null==s&&(s=e.options.flattenSpans);var l,u=0,c=null,d=new Ye(t,e.options.tabSize,r),p=e.options.addModeClass&&[null];for(""==t&&kt(bt(n,r.state),o);!d.eol();){if(d.pos>e.options.maxHighlightLength?(s=!1,a&&yt(e,t,r,d.pos),d.pos=t.length,l=null):l=kt(wt(n,d,r.state,p),o),p){var f=p[0].name;f&&(l="m-"+(l?f+" "+l:f))}if(!s||c!=l){for(;u<d.start;)i(u=Math.min(d.start,u+5e3),c);c=l}d.start=d.pos}for(;u<d.pos;){var h=Math.min(d.pos,u+5e3);i(h,c),u=h}}var Ct=!1,St=!1;function At(e,t,n){this.marker=e,this.from=t,this.to=n}function Tt(e,t){if(e)for(var n=0;n<e.length;++n){var r=e[n];if(r.marker==t)return r}}function Ot(e,t){for(var n,r=0;r<e.length;++r)e[r]!=t&&(n||(n=[])).push(e[r]);return n}function Pt(e,t){if(t.full)return null;var n=nt(e,t.from.line)&&Ze(e,t.from.line).markedSpans,r=nt(e,t.to.line)&&Ze(e,t.to.line).markedSpans;if(!n&&!r)return null;var i=t.from.ch,o=t.to.ch,a=0==ot(t.from,t.to),s=function(e,t,n){var r;if(e)for(var i=0;i<e.length;++i){var o=e[i],a=o.marker;if(null==o.from||(a.inclusiveLeft?o.from<=t:o.from<t)||o.from==t&&"bookmark"==a.type&&(!n||!o.marker.insertLeft)){var s=null==o.to||(a.inclusiveRight?o.to>=t:o.to>t);(r||(r=[])).push(new At(a,o.from,s?null:o.to))}}return r}(n,i,a),l=function(e,t,n){var r;if(e)for(var i=0;i<e.length;++i){var o=e[i],a=o.marker;if(null==o.to||(a.inclusiveRight?o.to>=t:o.to>t)||o.from==t&&"bookmark"==a.type&&(!n||o.marker.insertLeft)){var s=null==o.from||(a.inclusiveLeft?o.from<=t:o.from<t);(r||(r=[])).push(new At(a,s?null:o.from-t,null==o.to?null:o.to-t))}}return r}(r,o,a),u=1==t.text.length,c=Q(t.text).length+(u?i:0);if(s)for(var d=0;d<s.length;++d){var p=s[d];if(null==p.to){var f=Tt(l,p.marker);f?u&&(p.to=null==f.to?null:f.to+c):p.to=i}}if(l)for(var h=0;h<l.length;++h){var m=l[h];null!=m.to&&(m.to+=c),null==m.from?Tt(s,m.marker)||(m.from=c,u&&(s||(s=[])).push(m)):(m.from+=c,u&&(s||(s=[])).push(m))}s&&(s=Dt(s)),l&&l!=s&&(l=Dt(l));var g=[s];if(!u){var v,y=t.text.length-2;if(y>0&&s)for(var b=0;b<s.length;++b)null==s[b].to&&(v||(v=[])).push(new At(s[b].marker,null,null));for(var w=0;w<y;++w)g.push(v);g.push(l)}return g}function Dt(e){for(var t=0;t<e.length;++t){var n=e[t];null!=n.from&&n.from==n.to&&!1!==n.marker.clearWhenEmpty&&e.splice(t--,1)}return e.length?e:null}function Rt(e){var t=e.markedSpans;if(t){for(var n=0;n<t.length;++n)t[n].marker.detachLine(e);e.markedSpans=null}}function It(e,t){if(t){for(var n=0;n<t.length;++n)t[n].marker.attachLine(e);e.markedSpans=t}}function Mt(e){return e.inclusiveLeft?-1:0}function Lt(e){return e.inclusiveRight?1:0}function Nt(e,t){var n=e.lines.length-t.lines.length;if(0!=n)return n;var r=e.find(),i=t.find(),o=ot(r.from,i.from)||Mt(e)-Mt(t);return o?-o:ot(r.to,i.to)||Lt(e)-Lt(t)||t.id-e.id}function jt(e,t){var n,r=St&&e.markedSpans;if(r)for(var i=void 0,o=0;o<r.length;++o)(i=r[o]).marker.collapsed&&null==(t?i.from:i.to)&&(!n||Nt(n,i.marker)<0)&&(n=i.marker);return n}function Ft(e){return jt(e,!0)}function Bt(e){return jt(e,!1)}function zt(e,t){var n,r=St&&e.markedSpans;if(r)for(var i=0;i<r.length;++i){var o=r[i];o.marker.collapsed&&(null==o.from||o.from<t)&&(null==o.to||o.to>t)&&(!n||Nt(n,o.marker)<0)&&(n=o.marker)}return n}function Ut(e,t,n,r,i){var o=Ze(e,t),a=St&&o.markedSpans;if(a)for(var s=0;s<a.length;++s){var l=a[s];if(l.marker.collapsed){var u=l.marker.find(0),c=ot(u.from,n)||Mt(l.marker)-Mt(i),d=ot(u.to,r)||Lt(l.marker)-Lt(i);if(!(c>=0&&d<=0||c<=0&&d>=0)&&(c<=0&&(l.marker.inclusiveRight&&i.inclusiveLeft?ot(u.to,n)>=0:ot(u.to,n)>0)||c>=0&&(l.marker.inclusiveRight&&i.inclusiveLeft?ot(u.from,r)<=0:ot(u.from,r)<0)))return!0}}}function qt(e){for(var t;t=Ft(e);)e=t.find(-1,!0).line;return e}function $t(e,t){var n=Ze(e,t),r=qt(n);return n==r?t:et(r)}function Wt(e,t){if(t>e.lastLine())return t;var n,r=Ze(e,t);if(!Ht(e,r))return t;for(;n=Bt(r);)r=n.find(1,!0).line;return et(r)+1}function Ht(e,t){var n=St&&t.markedSpans;if(n)for(var r=void 0,i=0;i<n.length;++i)if((r=n[i]).marker.collapsed){if(null==r.from)return!0;if(!r.marker.widgetNode&&0==r.from&&r.marker.inclusiveLeft&&Vt(e,t,r))return!0}}function Vt(e,t,n){if(null==n.to){var r=n.marker.find(1,!0);return Vt(e,r.line,Tt(r.line.markedSpans,n.marker))}if(n.marker.inclusiveRight&&n.to==t.text.length)return!0;for(var i=void 0,o=0;o<t.markedSpans.length;++o)if((i=t.markedSpans[o]).marker.collapsed&&!i.marker.widgetNode&&i.from==n.to&&(null==i.to||i.to!=n.from)&&(i.marker.inclusiveLeft||n.marker.inclusiveRight)&&Vt(e,t,i))return!0}function Gt(e){for(var t=0,n=(e=qt(e)).parent,r=0;r<n.lines.length;++r){var i=n.lines[r];if(i==e)break;t+=i.height}for(var o=n.parent;o;o=(n=o).parent)for(var a=0;a<o.children.length;++a){var s=o.children[a];if(s==n)break;t+=s.height}return t}function Kt(e){if(0==e.height)return 0;for(var t,n=e.text.length,r=e;t=Ft(r);){var i=t.find(0,!0);r=i.from.line,n+=i.from.ch-i.to.ch}for(r=e;t=Bt(r);){var o=t.find(0,!0);n-=r.text.length-o.from.ch,n+=(r=o.to.line).text.length-o.to.ch}return n}function Yt(e){var t=e.display,n=e.doc;t.maxLine=Ze(n,n.first),t.maxLineLength=Kt(t.maxLine),t.maxLineChanged=!0,n.iter((function(e){var n=Kt(e);n>t.maxLineLength&&(t.maxLineLength=n,t.maxLine=e)}))}var Zt=function(e,t,n){this.text=e,It(this,t),this.height=n?n(this):1};function Xt(e){e.parent=null,Rt(e)}Zt.prototype.lineNo=function(){return et(this)},xe(Zt);var Qt={},Jt={};function en(e,t){if(!e||/^\s*$/.test(e))return null;var n=t.addModeClass?Jt:Qt;return n[e]||(n[e]=e.replace(/\S+/g,"cm-$&"))}function tn(e,t){var n=D("span",null,null,l?"padding-right: .1px":null),r={pre:D("pre",[n],"CodeMirror-line"),content:n,col:0,pos:0,cm:e,trailingSpace:!1,splitSpaces:e.getOption("lineWrapping")};t.measure={};for(var i=0;i<=(t.rest?t.rest.length:0);i++){var o=i?t.rest[i-1]:t.line,a=void 0;r.pos=0,r.addToken=rn,Ie(e.display.measure)&&(a=fe(o,e.doc.direction))&&(r.addToken=on(r.addToken,a)),r.map=[],sn(o,r,gt(e,o,t!=e.display.externalMeasured&&et(o))),o.styleClasses&&(o.styleClasses.bgClass&&(r.bgClass=L(o.styleClasses.bgClass,r.bgClass||"")),o.styleClasses.textClass&&(r.textClass=L(o.styleClasses.textClass,r.textClass||""))),0==r.map.length&&r.map.push(0,0,r.content.appendChild(Re(e.display.measure))),0==i?(t.measure.map=r.map,t.measure.cache={}):((t.measure.maps||(t.measure.maps=[])).push(r.map),(t.measure.caches||(t.measure.caches=[])).push({}))}if(l){var s=r.content.lastChild;(/\bcm-tab\b/.test(s.className)||s.querySelector&&s.querySelector(".cm-tab"))&&(r.content.className="cm-tab-wrap-hack")}return ye(e,"renderLine",e,t.line,r.pre),r.pre.className&&(r.textClass=L(r.pre.className,r.textClass||"")),r}function nn(e){var t=P("span","•","cm-invalidchar");return t.title="\\u"+e.charCodeAt(0).toString(16),t.setAttribute("aria-label",t.title),t}function rn(e,t,n,r,i,o,l){if(t){var u,c=e.splitSpaces?function(e,t){if(e.length>1&&!/ /.test(e))return e;for(var n=t,r="",i=0;i<e.length;i++){var o=e.charAt(i);" "!=o||!n||i!=e.length-1&&32!=e.charCodeAt(i+1)||(o=" "),r+=o,n=" "==o}return r}(t,e.trailingSpace):t,d=e.cm.state.specialChars,p=!1;if(d.test(t)){u=document.createDocumentFragment();for(var f=0;;){d.lastIndex=f;var h=d.exec(t),m=h?h.index-f:t.length-f;if(m){var g=document.createTextNode(c.slice(f,f+m));a&&s<9?u.appendChild(P("span",[g])):u.appendChild(g),e.map.push(e.pos,e.pos+m,g),e.col+=m,e.pos+=m}if(!h)break;f+=m+1;var v=void 0;if("\t"==h[0]){var y=e.cm.options.tabSize,b=y-e.col%y;(v=u.appendChild(P("span",X(b),"cm-tab"))).setAttribute("role","presentation"),v.setAttribute("cm-text","\t"),e.col+=b}else"\r"==h[0]||"\n"==h[0]?((v=u.appendChild(P("span","\r"==h[0]?"␍":"␤","cm-invalidchar"))).setAttribute("cm-text",h[0]),e.col+=1):((v=e.cm.options.specialCharPlaceholder(h[0])).setAttribute("cm-text",h[0]),a&&s<9?u.appendChild(P("span",[v])):u.appendChild(v),e.col+=1);e.map.push(e.pos,e.pos+1,v),e.pos++}}else e.col+=t.length,u=document.createTextNode(c),e.map.push(e.pos,e.pos+t.length,u),a&&s<9&&(p=!0),e.pos+=t.length;if(e.trailingSpace=32==c.charCodeAt(t.length-1),n||r||i||p||o||l){var w=n||"";r&&(w+=r),i&&(w+=i);var _=P("span",[u],w,o);if(l)for(var x in l)l.hasOwnProperty(x)&&"style"!=x&&"class"!=x&&_.setAttribute(x,l[x]);return e.content.appendChild(_)}e.content.appendChild(u)}}function on(e,t){return function(n,r,i,o,a,s,l){i=i?i+" cm-force-border":"cm-force-border";for(var u=n.pos,c=u+r.length;;){for(var d=void 0,p=0;p<t.length&&!((d=t[p]).to>u&&d.from<=u);p++);if(d.to>=c)return e(n,r,i,o,a,s,l);e(n,r.slice(0,d.to-u),i,o,null,s,l),o=null,r=r.slice(d.to-u),u=d.to}}}function an(e,t,n,r){var i=!r&&n.widgetNode;i&&e.map.push(e.pos,e.pos+t,i),!r&&e.cm.display.input.needsContentAttribute&&(i||(i=e.content.appendChild(document.createElement("span"))),i.setAttribute("cm-marker",n.id)),i&&(e.cm.display.input.setUneditable(i),e.content.appendChild(i)),e.pos+=t,e.trailingSpace=!1}function sn(e,t,n){var r=e.markedSpans,i=e.text,o=0;if(r)for(var a,s,l,u,c,d,p,f=i.length,h=0,m=1,g="",v=0;;){if(v==h){l=u=c=s="",p=null,d=null,v=1/0;for(var y=[],b=void 0,w=0;w<r.length;++w){var _=r[w],x=_.marker;if("bookmark"==x.type&&_.from==h&&x.widgetNode)y.push(x);else if(_.from<=h&&(null==_.to||_.to>h||x.collapsed&&_.to==h&&_.from==h)){if(null!=_.to&&_.to!=h&&v>_.to&&(v=_.to,u=""),x.className&&(l+=" "+x.className),x.css&&(s=(s?s+";":"")+x.css),x.startStyle&&_.from==h&&(c+=" "+x.startStyle),x.endStyle&&_.to==v&&(b||(b=[])).push(x.endStyle,_.to),x.title&&((p||(p={})).title=x.title),x.attributes)for(var k in x.attributes)(p||(p={}))[k]=x.attributes[k];x.collapsed&&(!d||Nt(d.marker,x)<0)&&(d=_)}else _.from>h&&v>_.from&&(v=_.from)}if(b)for(var E=0;E<b.length;E+=2)b[E+1]==v&&(u+=" "+b[E]);if(!d||d.from==h)for(var C=0;C<y.length;++C)an(t,0,y[C]);if(d&&(d.from||0)==h){if(an(t,(null==d.to?f+1:d.to)-h,d.marker,null==d.from),null==d.to)return;d.to==h&&(d=!1)}}if(h>=f)break;for(var S=Math.min(f,v);;){if(g){var A=h+g.length;if(!d){var T=A>S?g.slice(0,S-h):g;t.addToken(t,T,a?a+l:l,c,h+T.length==v?u:"",s,p)}if(A>=S){g=g.slice(S-h),h=S;break}h=A,c=""}g=i.slice(o,o=n[m++]),a=en(n[m++],t.cm.options)}}else for(var O=1;O<n.length;O+=2)t.addToken(t,i.slice(o,o=n[O]),en(n[O+1],t.cm.options))}function ln(e,t,n){this.line=t,this.rest=function(e){for(var t,n;t=Bt(e);)e=t.find(1,!0).line,(n||(n=[])).push(e);return n}(t),this.size=this.rest?et(Q(this.rest))-n+1:1,this.node=this.text=null,this.hidden=Ht(e,t)}function un(e,t,n){for(var r,i=[],o=t;o<n;o=r){var a=new ln(e.doc,Ze(e.doc,o),o);r=o+a.size,i.push(a)}return i}var cn=null;var dn=null;function pn(e,t){var n=ge(e,t);if(n.length){var r,i=Array.prototype.slice.call(arguments,2);cn?r=cn.delayedCallbacks:dn?r=dn:(r=dn=[],setTimeout(fn,0));for(var o=function(e){r.push((function(){return n[e].apply(null,i)}))},a=0;a<n.length;++a)o(a)}}function fn(){var e=dn;dn=null;for(var t=0;t<e.length;++t)e[t]()}function hn(e,t,n,r){for(var i=0;i<t.changes.length;i++){var o=t.changes[i];"text"==o?vn(e,t):"gutter"==o?bn(e,t,n,r):"class"==o?yn(e,t):"widget"==o&&wn(e,t,r)}t.changes=null}function mn(e){return e.node==e.text&&(e.node=P("div",null,null,"position: relative"),e.text.parentNode&&e.text.parentNode.replaceChild(e.node,e.text),e.node.appendChild(e.text),a&&s<8&&(e.node.style.zIndex=2)),e.node}function gn(e,t){var n=e.display.externalMeasured;return n&&n.line==t.line?(e.display.externalMeasured=null,t.measure=n.measure,n.built):tn(e,t)}function vn(e,t){var n=t.text.className,r=gn(e,t);t.text==t.node&&(t.node=r.pre),t.text.parentNode.replaceChild(r.pre,t.text),t.text=r.pre,r.bgClass!=t.bgClass||r.textClass!=t.textClass?(t.bgClass=r.bgClass,t.textClass=r.textClass,yn(e,t)):n&&(t.text.className=n)}function yn(e,t){(function(e,t){var n=t.bgClass?t.bgClass+" "+(t.line.bgClass||""):t.line.bgClass;if(n&&(n+=" CodeMirror-linebackground"),t.background)n?t.background.className=n:(t.background.parentNode.removeChild(t.background),t.background=null);else if(n){var r=mn(t);t.background=r.insertBefore(P("div",null,n),r.firstChild),e.display.input.setUneditable(t.background)}})(e,t),t.line.wrapClass?mn(t).className=t.line.wrapClass:t.node!=t.text&&(t.node.className="");var n=t.textClass?t.textClass+" "+(t.line.textClass||""):t.line.textClass;t.text.className=n||""}function bn(e,t,n,r){if(t.gutter&&(t.node.removeChild(t.gutter),t.gutter=null),t.gutterBackground&&(t.node.removeChild(t.gutterBackground),t.gutterBackground=null),t.line.gutterClass){var i=mn(t);t.gutterBackground=P("div",null,"CodeMirror-gutter-background "+t.line.gutterClass,"left: "+(e.options.fixedGutter?r.fixedPos:-r.gutterTotalWidth)+"px; width: "+r.gutterTotalWidth+"px"),e.display.input.setUneditable(t.gutterBackground),i.insertBefore(t.gutterBackground,t.text)}var o=t.line.gutterMarkers;if(e.options.lineNumbers||o){var a=mn(t),s=t.gutter=P("div",null,"CodeMirror-gutter-wrapper","left: "+(e.options.fixedGutter?r.fixedPos:-r.gutterTotalWidth)+"px");if(s.setAttribute("aria-hidden","true"),e.display.input.setUneditable(s),a.insertBefore(s,t.text),t.line.gutterClass&&(s.className+=" "+t.line.gutterClass),!e.options.lineNumbers||o&&o["CodeMirror-linenumbers"]||(t.lineNumber=s.appendChild(P("div",rt(e.options,n),"CodeMirror-linenumber CodeMirror-gutter-elt","left: "+r.gutterLeft["CodeMirror-linenumbers"]+"px; width: "+e.display.lineNumInnerWidth+"px"))),o)for(var l=0;l<e.display.gutterSpecs.length;++l){var u=e.display.gutterSpecs[l].className,c=o.hasOwnProperty(u)&&o[u];c&&s.appendChild(P("div",[c],"CodeMirror-gutter-elt","left: "+r.gutterLeft[u]+"px; width: "+r.gutterWidth[u]+"px"))}}}function wn(e,t,n){t.alignable&&(t.alignable=null);for(var r=C("CodeMirror-linewidget"),i=t.node.firstChild,o=void 0;i;i=o)o=i.nextSibling,r.test(i.className)&&t.node.removeChild(i);xn(e,t,n)}function _n(e,t,n,r){var i=gn(e,t);return t.text=t.node=i.pre,i.bgClass&&(t.bgClass=i.bgClass),i.textClass&&(t.textClass=i.textClass),yn(e,t),bn(e,t,n,r),xn(e,t,r),t.node}function xn(e,t,n){if(kn(e,t.line,t,n,!0),t.rest)for(var r=0;r<t.rest.length;r++)kn(e,t.rest[r],t,n,!1)}function kn(e,t,n,r,i){if(t.widgets)for(var o=mn(n),a=0,s=t.widgets;a<s.length;++a){var l=s[a],u=P("div",[l.node],"CodeMirror-linewidget"+(l.className?" "+l.className:""));l.handleMouseEvents||u.setAttribute("cm-ignore-events","true"),En(l,u,n,r),e.display.input.setUneditable(u),i&&l.above?o.insertBefore(u,n.gutter||n.text):o.appendChild(u),pn(l,"redraw")}}function En(e,t,n,r){if(e.noHScroll){(n.alignable||(n.alignable=[])).push(t);var i=r.wrapperWidth;t.style.left=r.fixedPos+"px",e.coverGutter||(i-=r.gutterTotalWidth,t.style.paddingLeft=r.gutterTotalWidth+"px"),t.style.width=i+"px"}e.coverGutter&&(t.style.zIndex=5,t.style.position="relative",e.noHScroll||(t.style.marginLeft=-r.gutterTotalWidth+"px"))}function Cn(e){if(null!=e.height)return e.height;var t=e.doc.cm;if(!t)return 0;if(!R(document.body,e.node)){var n="position: relative;";e.coverGutter&&(n+="margin-left: -"+t.display.gutters.offsetWidth+"px;"),e.noHScroll&&(n+="width: "+t.display.wrapper.clientWidth+"px;"),O(t.display.measure,P("div",[e.node],null,n))}return e.height=e.node.parentNode.offsetHeight}function Sn(e,t){for(var n=Ae(t);n!=e.wrapper;n=n.parentNode)if(!n||1==n.nodeType&&"true"==n.getAttribute("cm-ignore-events")||n.parentNode==e.sizer&&n!=e.mover)return!0}function An(e){return e.lineSpace.offsetTop}function Tn(e){return e.mover.offsetHeight-e.lineSpace.offsetHeight}function On(e){if(e.cachedPaddingH)return e.cachedPaddingH;var t=O(e.measure,P("pre","x","CodeMirror-line-like")),n=window.getComputedStyle?window.getComputedStyle(t):t.currentStyle,r={left:parseInt(n.paddingLeft),right:parseInt(n.paddingRight)};return isNaN(r.left)||isNaN(r.right)||(e.cachedPaddingH=r),r}function Pn(e){return W-e.display.nativeBarWidth}function Dn(e){return e.display.scroller.clientWidth-Pn(e)-e.display.barWidth}function Rn(e){return e.display.scroller.clientHeight-Pn(e)-e.display.barHeight}function In(e,t,n){if(e.line==t)return{map:e.measure.map,cache:e.measure.cache};if(e.rest){for(var r=0;r<e.rest.length;r++)if(e.rest[r]==t)return{map:e.measure.maps[r],cache:e.measure.caches[r]};for(var i=0;i<e.rest.length;i++)if(et(e.rest[i])>n)return{map:e.measure.maps[i],cache:e.measure.caches[i],before:!0}}}function Mn(e,t,n,r){return jn(e,Nn(e,t),n,r)}function Ln(e,t){if(t>=e.display.viewFrom&&t<e.display.viewTo)return e.display.view[hr(e,t)];var n=e.display.externalMeasured;return n&&t>=n.lineN&&t<n.lineN+n.size?n:void 0}function Nn(e,t){var n=et(t),r=Ln(e,n);r&&!r.text?r=null:r&&r.changes&&(hn(e,r,n,ur(e)),e.curOp.forceUpdate=!0),r||(r=function(e,t){var n=et(t=qt(t)),r=e.display.externalMeasured=new ln(e.doc,t,n);r.lineN=n;var i=r.built=tn(e,r);return r.text=i.pre,O(e.display.lineMeasure,i.pre),r}(e,t));var i=In(r,t,n);return{line:t,view:r,rect:null,map:i.map,cache:i.cache,before:i.before,hasHeights:!1}}function jn(e,t,n,r,i){t.before&&(n=-1);var o,l=n+(r||"");return t.cache.hasOwnProperty(l)?o=t.cache[l]:(t.rect||(t.rect=t.view.text.getBoundingClientRect()),t.hasHeights||(function(e,t,n){var r=e.options.lineWrapping,i=r&&Dn(e);if(!t.measure.heights||r&&t.measure.width!=i){var o=t.measure.heights=[];if(r){t.measure.width=i;for(var a=t.text.firstChild.getClientRects(),s=0;s<a.length-1;s++){var l=a[s],u=a[s+1];Math.abs(l.bottom-u.bottom)>2&&o.push((l.bottom+u.top)/2-n.top)}}o.push(n.bottom-n.top)}}(e,t.view,t.rect),t.hasHeights=!0),(o=function(e,t,n,r){var i,o=zn(t.map,n,r),l=o.node,u=o.start,c=o.end,d=o.collapse;if(3==l.nodeType){for(var p=0;p<4;p++){for(;u&&se(t.line.text.charAt(o.coverStart+u));)--u;for(;o.coverStart+c<o.coverEnd&&se(t.line.text.charAt(o.coverStart+c));)++c;if((i=a&&s<9&&0==u&&c==o.coverEnd-o.coverStart?l.parentNode.getBoundingClientRect():Un(S(l,u,c).getClientRects(),r)).left||i.right||0==u)break;c=u,u-=1,d="right"}a&&s<11&&(i=function(e,t){if(!window.screen||null==screen.logicalXDPI||screen.logicalXDPI==screen.deviceXDPI||!function(e){if(null!=Fe)return Fe;var t=O(e,P("span","x")),n=t.getBoundingClientRect(),r=S(t,0,1).getBoundingClientRect();return Fe=Math.abs(n.left-r.left)>1}(e))return t;var n=screen.logicalXDPI/screen.deviceXDPI,r=screen.logicalYDPI/screen.deviceYDPI;return{left:t.left*n,right:t.right*n,top:t.top*r,bottom:t.bottom*r}}(e.display.measure,i))}else{var f;u>0&&(d=r="right"),i=e.options.lineWrapping&&(f=l.getClientRects()).length>1?f["right"==r?f.length-1:0]:l.getBoundingClientRect()}if(a&&s<9&&!u&&(!i||!i.left&&!i.right)){var h=l.parentNode.getClientRects()[0];i=h?{left:h.left,right:h.left+lr(e.display),top:h.top,bottom:h.bottom}:Bn}for(var m=i.top-t.rect.top,g=i.bottom-t.rect.top,v=(m+g)/2,y=t.view.measure.heights,b=0;b<y.length-1&&!(v<y[b]);b++);var w=b?y[b-1]:0,_=y[b],x={left:("right"==d?i.right:i.left)-t.rect.left,right:("left"==d?i.left:i.right)-t.rect.left,top:w,bottom:_};return i.left||i.right||(x.bogus=!0),e.options.singleCursorHeightPerLine||(x.rtop=m,x.rbottom=g),x}(e,t,n,r)).bogus||(t.cache[l]=o)),{left:o.left,right:o.right,top:i?o.rtop:o.top,bottom:i?o.rbottom:o.bottom}}var Fn,Bn={left:0,right:0,top:0,bottom:0};function zn(e,t,n){for(var r,i,o,a,s,l,u=0;u<e.length;u+=3)if(s=e[u],l=e[u+1],t<s?(i=0,o=1,a="left"):t<l?o=1+(i=t-s):(u==e.length-3||t==l&&e[u+3]>t)&&(i=(o=l-s)-1,t>=l&&(a="right")),null!=i){if(r=e[u+2],s==l&&n==(r.insertLeft?"left":"right")&&(a=n),"left"==n&&0==i)for(;u&&e[u-2]==e[u-3]&&e[u-1].insertLeft;)r=e[2+(u-=3)],a="left";if("right"==n&&i==l-s)for(;u<e.length-3&&e[u+3]==e[u+4]&&!e[u+5].insertLeft;)r=e[(u+=3)+2],a="right";break}return{node:r,start:i,end:o,collapse:a,coverStart:s,coverEnd:l}}function Un(e,t){var n=Bn;if("left"==t)for(var r=0;r<e.length&&(n=e[r]).left==n.right;r++);else for(var i=e.length-1;i>=0&&(n=e[i]).left==n.right;i--);return n}function qn(e){if(e.measure&&(e.measure.cache={},e.measure.heights=null,e.rest))for(var t=0;t<e.rest.length;t++)e.measure.caches[t]={}}function $n(e){e.display.externalMeasure=null,T(e.display.lineMeasure);for(var t=0;t<e.display.view.length;t++)qn(e.display.view[t])}function Wn(e){$n(e),e.display.cachedCharWidth=e.display.cachedTextHeight=e.display.cachedPaddingH=null,e.options.lineWrapping||(e.display.maxLineChanged=!0),e.display.lineNumChars=null}function Hn(e){return c&&v?-(e.body.getBoundingClientRect().left-parseInt(getComputedStyle(e.body).marginLeft)):e.defaultView.pageXOffset||(e.documentElement||e.body).scrollLeft}function Vn(e){return c&&v?-(e.body.getBoundingClientRect().top-parseInt(getComputedStyle(e.body).marginTop)):e.defaultView.pageYOffset||(e.documentElement||e.body).scrollTop}function Gn(e){var t=qt(e).widgets,n=0;if(t)for(var r=0;r<t.length;++r)t[r].above&&(n+=Cn(t[r]));return n}function Kn(e,t,n,r,i){if(!i){var o=Gn(t);n.top+=o,n.bottom+=o}if("line"==r)return n;r||(r="local");var a=Gt(t);if("local"==r?a+=An(e.display):a-=e.display.viewOffset,"page"==r||"window"==r){var s=e.display.lineSpace.getBoundingClientRect();a+=s.top+("window"==r?0:Vn(j(e)));var l=s.left+("window"==r?0:Hn(j(e)));n.left+=l,n.right+=l}return n.top+=a,n.bottom+=a,n}function Yn(e,t,n){if("div"==n)return t;var r=t.left,i=t.top;if("page"==n)r-=Hn(j(e)),i-=Vn(j(e));else if("local"==n||!n){var o=e.display.sizer.getBoundingClientRect();r+=o.left,i+=o.top}var a=e.display.lineSpace.getBoundingClientRect();return{left:r-a.left,top:i-a.top}}function Zn(e,t,n,r,i){return r||(r=Ze(e.doc,t.line)),Kn(e,r,Mn(e,r,t.ch,i),n)}function Xn(e,t,n,r,i,o){function a(t,a){var s=jn(e,i,t,a?"right":"left",o);return a?s.left=s.right:s.right=s.left,Kn(e,r,s,n)}r=r||Ze(e.doc,t.line),i||(i=Nn(e,r));var s=fe(r,e.doc.direction),l=t.ch,u=t.sticky;if(l>=r.text.length?(l=r.text.length,u="before"):l<=0&&(l=0,u="after"),!s)return a("before"==u?l-1:l,"before"==u);function c(e,t,n){return a(n?e-1:e,1==s[t].level!=n)}var d=de(s,l,u),p=ce,f=c(l,d,"before"==u);return null!=p&&(f.other=c(l,p,"before"!=u)),f}function Qn(e,t){var n=0;t=dt(e.doc,t),e.options.lineWrapping||(n=lr(e.display)*t.ch);var r=Ze(e.doc,t.line),i=Gt(r)+An(e.display);return{left:n,right:n,top:i,bottom:i+r.height}}function Jn(e,t,n,r,i){var o=it(e,t,n);return o.xRel=i,r&&(o.outside=r),o}function er(e,t,n){var r=e.doc;if((n+=e.display.viewOffset)<0)return Jn(r.first,0,null,-1,-1);var i=tt(r,n),o=r.first+r.size-1;if(i>o)return Jn(r.first+r.size-1,Ze(r,o).text.length,null,1,1);t<0&&(t=0);for(var a=Ze(r,i);;){var s=ir(e,a,i,t,n),l=zt(a,s.ch+(s.xRel>0||s.outside>0?1:0));if(!l)return s;var u=l.find(1);if(u.line==i)return u;a=Ze(r,i=u.line)}}function tr(e,t,n,r){r-=Gn(t);var i=t.text.length,o=ue((function(t){return jn(e,n,t-1).bottom<=r}),i,0);return{begin:o,end:i=ue((function(t){return jn(e,n,t).top>r}),o,i)}}function nr(e,t,n,r){return n||(n=Nn(e,t)),tr(e,t,n,Kn(e,t,jn(e,n,r),"line").top)}function rr(e,t,n,r){return!(e.bottom<=n)&&(e.top>n||(r?e.left:e.right)>t)}function ir(e,t,n,r,i){i-=Gt(t);var o=Nn(e,t),a=Gn(t),s=0,l=t.text.length,u=!0,c=fe(t,e.doc.direction);if(c){var d=(e.options.lineWrapping?ar:or)(e,t,n,o,c,r,i);s=(u=1!=d.level)?d.from:d.to-1,l=u?d.to:d.from-1}var p,f,h=null,m=null,g=ue((function(t){var n=jn(e,o,t);return n.top+=a,n.bottom+=a,!!rr(n,r,i,!1)&&(n.top<=i&&n.left<=r&&(h=t,m=n),!0)}),s,l),v=!1;if(m){var y=r-m.left<m.right-r,b=y==u;g=h+(b?0:1),f=b?"after":"before",p=y?m.left:m.right}else{u||g!=l&&g!=s||g++,f=0==g?"after":g==t.text.length?"before":jn(e,o,g-(u?1:0)).bottom+a<=i==u?"after":"before";var w=Xn(e,it(n,g,f),"line",t,o);p=w.left,v=i<w.top?-1:i>=w.bottom?1:0}return Jn(n,g=le(t.text,g,1),f,v,r-p)}function or(e,t,n,r,i,o,a){var s=ue((function(s){var l=i[s],u=1!=l.level;return rr(Xn(e,it(n,u?l.to:l.from,u?"before":"after"),"line",t,r),o,a,!0)}),0,i.length-1),l=i[s];if(s>0){var u=1!=l.level,c=Xn(e,it(n,u?l.from:l.to,u?"after":"before"),"line",t,r);rr(c,o,a,!0)&&c.top>a&&(l=i[s-1])}return l}function ar(e,t,n,r,i,o,a){var s=tr(e,t,r,a),l=s.begin,u=s.end;/\s/.test(t.text.charAt(u-1))&&u--;for(var c=null,d=null,p=0;p<i.length;p++){var f=i[p];if(!(f.from>=u||f.to<=l)){var h=jn(e,r,1!=f.level?Math.min(u,f.to)-1:Math.max(l,f.from)).right,m=h<o?o-h+1e9:h-o;(!c||d>m)&&(c=f,d=m)}}return c||(c=i[i.length-1]),c.from<l&&(c={from:l,to:c.to,level:c.level}),c.to>u&&(c={from:c.from,to:u,level:c.level}),c}function sr(e){if(null!=e.cachedTextHeight)return e.cachedTextHeight;if(null==Fn){Fn=P("pre",null,"CodeMirror-line-like");for(var t=0;t<49;++t)Fn.appendChild(document.createTextNode("x")),Fn.appendChild(P("br"));Fn.appendChild(document.createTextNode("x"))}O(e.measure,Fn);var n=Fn.offsetHeight/50;return n>3&&(e.cachedTextHeight=n),T(e.measure),n||1}function lr(e){if(null!=e.cachedCharWidth)return e.cachedCharWidth;var t=P("span","xxxxxxxxxx"),n=P("pre",[t],"CodeMirror-line-like");O(e.measure,n);var r=t.getBoundingClientRect(),i=(r.right-r.left)/10;return i>2&&(e.cachedCharWidth=i),i||10}function ur(e){for(var t=e.display,n={},r={},i=t.gutters.clientLeft,o=t.gutters.firstChild,a=0;o;o=o.nextSibling,++a){var s=e.display.gutterSpecs[a].className;n[s]=o.offsetLeft+o.clientLeft+i,r[s]=o.clientWidth}return{fixedPos:cr(t),gutterTotalWidth:t.gutters.offsetWidth,gutterLeft:n,gutterWidth:r,wrapperWidth:t.wrapper.clientWidth}}function cr(e){return e.scroller.getBoundingClientRect().left-e.sizer.getBoundingClientRect().left}function dr(e){var t=sr(e.display),n=e.options.lineWrapping,r=n&&Math.max(5,e.display.scroller.clientWidth/lr(e.display)-3);return function(i){if(Ht(e.doc,i))return 0;var o=0;if(i.widgets)for(var a=0;a<i.widgets.length;a++)i.widgets[a].height&&(o+=i.widgets[a].height);return n?o+(Math.ceil(i.text.length/r)||1)*t:o+t}}function pr(e){var t=e.doc,n=dr(e);t.iter((function(e){var t=n(e);t!=e.height&&Je(e,t)}))}function fr(e,t,n,r){var i=e.display;if(!n&&"true"==Ae(t).getAttribute("cm-not-content"))return null;var o,a,s=i.lineSpace.getBoundingClientRect();try{o=t.clientX-s.left,a=t.clientY-s.top}catch(e){return null}var l,u=er(e,o,a);if(r&&u.xRel>0&&(l=Ze(e.doc,u.line).text).length==u.ch){var c=U(l,l.length,e.options.tabSize)-l.length;u=it(u.line,Math.max(0,Math.round((o-On(e.display).left)/lr(e.display))-c))}return u}function hr(e,t){if(t>=e.display.viewTo)return null;if((t-=e.display.viewFrom)<0)return null;for(var n=e.display.view,r=0;r<n.length;r++)if((t-=n[r].size)<0)return r}function mr(e,t,n,r){null==t&&(t=e.doc.first),null==n&&(n=e.doc.first+e.doc.size),r||(r=0);var i=e.display;if(r&&n<i.viewTo&&(null==i.updateLineNumbers||i.updateLineNumbers>t)&&(i.updateLineNumbers=t),e.curOp.viewChanged=!0,t>=i.viewTo)St&&$t(e.doc,t)<i.viewTo&&vr(e);else if(n<=i.viewFrom)St&&Wt(e.doc,n+r)>i.viewFrom?vr(e):(i.viewFrom+=r,i.viewTo+=r);else if(t<=i.viewFrom&&n>=i.viewTo)vr(e);else if(t<=i.viewFrom){var o=yr(e,n,n+r,1);o?(i.view=i.view.slice(o.index),i.viewFrom=o.lineN,i.viewTo+=r):vr(e)}else if(n>=i.viewTo){var a=yr(e,t,t,-1);a?(i.view=i.view.slice(0,a.index),i.viewTo=a.lineN):vr(e)}else{var s=yr(e,t,t,-1),l=yr(e,n,n+r,1);s&&l?(i.view=i.view.slice(0,s.index).concat(un(e,s.lineN,l.lineN)).concat(i.view.slice(l.index)),i.viewTo+=r):vr(e)}var u=i.externalMeasured;u&&(n<u.lineN?u.lineN+=r:t<u.lineN+u.size&&(i.externalMeasured=null))}function gr(e,t,n){e.curOp.viewChanged=!0;var r=e.display,i=e.display.externalMeasured;if(i&&t>=i.lineN&&t<i.lineN+i.size&&(r.externalMeasured=null),!(t<r.viewFrom||t>=r.viewTo)){var o=r.view[hr(e,t)];if(null!=o.node){var a=o.changes||(o.changes=[]);-1==$(a,n)&&a.push(n)}}}function vr(e){e.display.viewFrom=e.display.viewTo=e.doc.first,e.display.view=[],e.display.viewOffset=0}function yr(e,t,n,r){var i,o=hr(e,t),a=e.display.view;if(!St||n==e.doc.first+e.doc.size)return{index:o,lineN:n};for(var s=e.display.viewFrom,l=0;l<o;l++)s+=a[l].size;if(s!=t){if(r>0){if(o==a.length-1)return null;i=s+a[o].size-t,o++}else i=s-t;t+=i,n+=i}for(;$t(e.doc,n)!=n;){if(o==(r<0?0:a.length-1))return null;n+=r*a[o-(r<0?1:0)].size,o+=r}return{index:o,lineN:n}}function br(e){for(var t=e.display.view,n=0,r=0;r<t.length;r++){var i=t[r];i.hidden||i.node&&!i.changes||++n}return n}function wr(e){e.display.input.showSelection(e.display.input.prepareSelection())}function _r(e,t){void 0===t&&(t=!0);var n=e.doc,r={},i=r.cursors=document.createDocumentFragment(),o=r.selection=document.createDocumentFragment(),a=e.options.$customCursor;a&&(t=!0);for(var s=0;s<n.sel.ranges.length;s++)if(t||s!=n.sel.primIndex){var l=n.sel.ranges[s];if(!(l.from().line>=e.display.viewTo||l.to().line<e.display.viewFrom)){var u=l.empty();if(a){var c=a(e,l);c&&xr(e,c,i)}else(u||e.options.showCursorWhenSelecting)&&xr(e,l.head,i);u||Er(e,l,o)}}return r}function xr(e,t,n){var r=Xn(e,t,"div",null,null,!e.options.singleCursorHeightPerLine),i=n.appendChild(P("div"," ","CodeMirror-cursor"));if(i.style.left=r.left+"px",i.style.top=r.top+"px",i.style.height=Math.max(0,r.bottom-r.top)*e.options.cursorHeight+"px",/\bcm-fat-cursor\b/.test(e.getWrapperElement().className)){var o=Zn(e,t,"div",null,null),a=o.right-o.left;i.style.width=(a>0?a:e.defaultCharWidth())+"px"}if(r.other){var s=n.appendChild(P("div"," ","CodeMirror-cursor CodeMirror-secondarycursor"));s.style.display="",s.style.left=r.other.left+"px",s.style.top=r.other.top+"px",s.style.height=.85*(r.other.bottom-r.other.top)+"px"}}function kr(e,t){return e.top-t.top||e.left-t.left}function Er(e,t,n){var r=e.display,i=e.doc,o=document.createDocumentFragment(),a=On(e.display),s=a.left,l=Math.max(r.sizerWidth,Dn(e)-r.sizer.offsetLeft)-a.right,u="ltr"==i.direction;function c(e,t,n,r){t<0&&(t=0),t=Math.round(t),r=Math.round(r),o.appendChild(P("div",null,"CodeMirror-selected","position: absolute; left: "+e+"px;\n top: "+t+"px; width: "+(null==n?l-e:n)+"px;\n height: "+(r-t)+"px"))}function d(t,n,r){var o,a,d=Ze(i,t),p=d.text.length;function f(n,r){return Zn(e,it(t,n),"div",d,r)}function h(t,n,r){var i=nr(e,d,null,t),o="ltr"==n==("after"==r)?"left":"right";return f("after"==r?i.begin:i.end-(/\s/.test(d.text.charAt(i.end-1))?2:1),o)[o]}var m=fe(d,i.direction);return function(e,t,n,r){if(!e)return r(t,n,"ltr",0);for(var i=!1,o=0;o<e.length;++o){var a=e[o];(a.from<n&&a.to>t||t==n&&a.to==t)&&(r(Math.max(a.from,t),Math.min(a.to,n),1==a.level?"rtl":"ltr",o),i=!0)}i||r(t,n,"ltr")}(m,n||0,null==r?p:r,(function(e,t,i,d){var g="ltr"==i,v=f(e,g?"left":"right"),y=f(t-1,g?"right":"left"),b=null==n&&0==e,w=null==r&&t==p,_=0==d,x=!m||d==m.length-1;if(y.top-v.top<=3){var k=(u?w:b)&&x,E=(u?b:w)&&_?s:(g?v:y).left,C=k?l:(g?y:v).right;c(E,v.top,C-E,v.bottom)}else{var S,A,T,O;g?(S=u&&b&&_?s:v.left,A=u?l:h(e,i,"before"),T=u?s:h(t,i,"after"),O=u&&w&&x?l:y.right):(S=u?h(e,i,"before"):s,A=!u&&b&&_?l:v.right,T=!u&&w&&x?s:y.left,O=u?h(t,i,"after"):l),c(S,v.top,A-S,v.bottom),v.bottom<y.top&&c(s,v.bottom,null,y.top),c(T,y.top,O-T,y.bottom)}(!o||kr(v,o)<0)&&(o=v),kr(y,o)<0&&(o=y),(!a||kr(v,a)<0)&&(a=v),kr(y,a)<0&&(a=y)})),{start:o,end:a}}var p=t.from(),f=t.to();if(p.line==f.line)d(p.line,p.ch,f.ch);else{var h=Ze(i,p.line),m=Ze(i,f.line),g=qt(h)==qt(m),v=d(p.line,p.ch,g?h.text.length+1:null).end,y=d(f.line,g?0:null,f.ch).start;g&&(v.top<y.top-2?(c(v.right,v.top,null,v.bottom),c(s,y.top,y.left,y.bottom)):c(v.right,v.top,y.left-v.right,v.bottom)),v.bottom<y.top&&c(s,v.bottom,null,y.top)}n.appendChild(o)}function Cr(e){if(e.state.focused){var t=e.display;clearInterval(t.blinker);var n=!0;t.cursorDiv.style.visibility="",e.options.cursorBlinkRate>0?t.blinker=setInterval((function(){e.hasFocus()||Or(e),t.cursorDiv.style.visibility=(n=!n)?"":"hidden"}),e.options.cursorBlinkRate):e.options.cursorBlinkRate<0&&(t.cursorDiv.style.visibility="hidden")}}function Sr(e){e.hasFocus()||(e.display.input.focus(),e.state.focused||Tr(e))}function Ar(e){e.state.delayingBlurEvent=!0,setTimeout((function(){e.state.delayingBlurEvent&&(e.state.delayingBlurEvent=!1,e.state.focused&&Or(e))}),100)}function Tr(e,t){e.state.delayingBlurEvent&&!e.state.draggingText&&(e.state.delayingBlurEvent=!1),"nocursor"!=e.options.readOnly&&(e.state.focused||(ye(e,"focus",e,t),e.state.focused=!0,M(e.display.wrapper,"CodeMirror-focused"),e.curOp||e.display.selForContextMenu==e.doc.sel||(e.display.input.reset(),l&&setTimeout((function(){return e.display.input.reset(!0)}),20)),e.display.input.receivedFocus()),Cr(e))}function Or(e,t){e.state.delayingBlurEvent||(e.state.focused&&(ye(e,"blur",e,t),e.state.focused=!1,A(e.display.wrapper,"CodeMirror-focused")),clearInterval(e.display.blinker),setTimeout((function(){e.state.focused||(e.display.shift=!1)}),150))}function Pr(e){for(var t=e.display,n=t.lineDiv.offsetTop,r=Math.max(0,t.scroller.getBoundingClientRect().top),i=t.lineDiv.getBoundingClientRect().top,o=0,l=0;l<t.view.length;l++){var u=t.view[l],c=e.options.lineWrapping,d=void 0,p=0;if(!u.hidden){if(i+=u.line.height,a&&s<8){var f=u.node.offsetTop+u.node.offsetHeight;d=f-n,n=f}else{var h=u.node.getBoundingClientRect();d=h.bottom-h.top,!c&&u.text.firstChild&&(p=u.text.firstChild.getBoundingClientRect().right-h.left-1)}var m=u.line.height-d;if((m>.005||m<-.005)&&(i<r&&(o-=m),Je(u.line,d),Dr(u.line),u.rest))for(var g=0;g<u.rest.length;g++)Dr(u.rest[g]);if(p>e.display.sizerWidth){var v=Math.ceil(p/lr(e.display));v>e.display.maxLineLength&&(e.display.maxLineLength=v,e.display.maxLine=u.line,e.display.maxLineChanged=!0)}}}Math.abs(o)>2&&(t.scroller.scrollTop+=o)}function Dr(e){if(e.widgets)for(var t=0;t<e.widgets.length;++t){var n=e.widgets[t],r=n.node.parentNode;r&&(n.height=r.offsetHeight)}}function Rr(e,t,n){var r=n&&null!=n.top?Math.max(0,n.top):e.scroller.scrollTop;r=Math.floor(r-An(e));var i=n&&null!=n.bottom?n.bottom:r+e.wrapper.clientHeight,o=tt(t,r),a=tt(t,i);if(n&&n.ensure){var s=n.ensure.from.line,l=n.ensure.to.line;s<o?(o=s,a=tt(t,Gt(Ze(t,s))+e.wrapper.clientHeight)):Math.min(l,t.lastLine())>=a&&(o=tt(t,Gt(Ze(t,l))-e.wrapper.clientHeight),a=l)}return{from:o,to:Math.max(a,o+1)}}function Ir(e,t){var n=e.display,r=sr(e.display);t.top<0&&(t.top=0);var i=e.curOp&&null!=e.curOp.scrollTop?e.curOp.scrollTop:n.scroller.scrollTop,o=Rn(e),a={};t.bottom-t.top>o&&(t.bottom=t.top+o);var s=e.doc.height+Tn(n),l=t.top<r,u=t.bottom>s-r;if(t.top<i)a.scrollTop=l?0:t.top;else if(t.bottom>i+o){var c=Math.min(t.top,(u?s:t.bottom)-o);c!=i&&(a.scrollTop=c)}var d=e.options.fixedGutter?0:n.gutters.offsetWidth,p=e.curOp&&null!=e.curOp.scrollLeft?e.curOp.scrollLeft:n.scroller.scrollLeft-d,f=Dn(e)-n.gutters.offsetWidth,h=t.right-t.left>f;return h&&(t.right=t.left+f),t.left<10?a.scrollLeft=0:t.left<p?a.scrollLeft=Math.max(0,t.left+d-(h?0:10)):t.right>f+p-3&&(a.scrollLeft=t.right+(h?0:10)-f),a}function Mr(e,t){null!=t&&(jr(e),e.curOp.scrollTop=(null==e.curOp.scrollTop?e.doc.scrollTop:e.curOp.scrollTop)+t)}function Lr(e){jr(e);var t=e.getCursor();e.curOp.scrollToPos={from:t,to:t,margin:e.options.cursorScrollMargin}}function Nr(e,t,n){null==t&&null==n||jr(e),null!=t&&(e.curOp.scrollLeft=t),null!=n&&(e.curOp.scrollTop=n)}function jr(e){var t=e.curOp.scrollToPos;t&&(e.curOp.scrollToPos=null,Fr(e,Qn(e,t.from),Qn(e,t.to),t.margin))}function Fr(e,t,n,r){var i=Ir(e,{left:Math.min(t.left,n.left),top:Math.min(t.top,n.top)-r,right:Math.max(t.right,n.right),bottom:Math.max(t.bottom,n.bottom)+r});Nr(e,i.scrollLeft,i.scrollTop)}function Br(e,t){Math.abs(e.doc.scrollTop-t)<2||(n||fi(e,{top:t}),zr(e,t,!0),n&&fi(e),si(e,100))}function zr(e,t,n){t=Math.max(0,Math.min(e.display.scroller.scrollHeight-e.display.scroller.clientHeight,t)),(e.display.scroller.scrollTop!=t||n)&&(e.doc.scrollTop=t,e.display.scrollbars.setScrollTop(t),e.display.scroller.scrollTop!=t&&(e.display.scroller.scrollTop=t))}function Ur(e,t,n,r){t=Math.max(0,Math.min(t,e.display.scroller.scrollWidth-e.display.scroller.clientWidth)),(n?t==e.doc.scrollLeft:Math.abs(e.doc.scrollLeft-t)<2)&&!r||(e.doc.scrollLeft=t,gi(e),e.display.scroller.scrollLeft!=t&&(e.display.scroller.scrollLeft=t),e.display.scrollbars.setScrollLeft(t))}function qr(e){var t=e.display,n=t.gutters.offsetWidth,r=Math.round(e.doc.height+Tn(e.display));return{clientHeight:t.scroller.clientHeight,viewHeight:t.wrapper.clientHeight,scrollWidth:t.scroller.scrollWidth,clientWidth:t.scroller.clientWidth,viewWidth:t.wrapper.clientWidth,barLeft:e.options.fixedGutter?n:0,docHeight:r,scrollHeight:r+Pn(e)+t.barHeight,nativeBarWidth:t.nativeBarWidth,gutterWidth:n}}var $r=function(e,t,n){this.cm=n;var r=this.vert=P("div",[P("div",null,null,"min-width: 1px")],"CodeMirror-vscrollbar"),i=this.horiz=P("div",[P("div",null,null,"height: 100%; min-height: 1px")],"CodeMirror-hscrollbar");r.tabIndex=i.tabIndex=-1,e(r),e(i),me(r,"scroll",(function(){r.clientHeight&&t(r.scrollTop,"vertical")})),me(i,"scroll",(function(){i.clientWidth&&t(i.scrollLeft,"horizontal")})),this.checkedZeroWidth=!1,a&&s<8&&(this.horiz.style.minHeight=this.vert.style.minWidth="18px")};$r.prototype.update=function(e){var t=e.scrollWidth>e.clientWidth+1,n=e.scrollHeight>e.clientHeight+1,r=e.nativeBarWidth;if(n){this.vert.style.display="block",this.vert.style.bottom=t?r+"px":"0";var i=e.viewHeight-(t?r:0);this.vert.firstChild.style.height=Math.max(0,e.scrollHeight-e.clientHeight+i)+"px"}else this.vert.scrollTop=0,this.vert.style.display="",this.vert.firstChild.style.height="0";if(t){this.horiz.style.display="block",this.horiz.style.right=n?r+"px":"0",this.horiz.style.left=e.barLeft+"px";var o=e.viewWidth-e.barLeft-(n?r:0);this.horiz.firstChild.style.width=Math.max(0,e.scrollWidth-e.clientWidth+o)+"px"}else this.horiz.style.display="",this.horiz.firstChild.style.width="0";return!this.checkedZeroWidth&&e.clientHeight>0&&(0==r&&this.zeroWidthHack(),this.checkedZeroWidth=!0),{right:n?r:0,bottom:t?r:0}},$r.prototype.setScrollLeft=function(e){this.horiz.scrollLeft!=e&&(this.horiz.scrollLeft=e),this.disableHoriz&&this.enableZeroWidthBar(this.horiz,this.disableHoriz,"horiz")},$r.prototype.setScrollTop=function(e){this.vert.scrollTop!=e&&(this.vert.scrollTop=e),this.disableVert&&this.enableZeroWidthBar(this.vert,this.disableVert,"vert")},$r.prototype.zeroWidthHack=function(){var e=b&&!h?"12px":"18px";this.horiz.style.height=this.vert.style.width=e,this.horiz.style.visibility=this.vert.style.visibility="hidden",this.disableHoriz=new q,this.disableVert=new q},$r.prototype.enableZeroWidthBar=function(e,t,n){e.style.visibility="",t.set(1e3,(function r(){var i=e.getBoundingClientRect();("vert"==n?document.elementFromPoint(i.right-1,(i.top+i.bottom)/2):document.elementFromPoint((i.right+i.left)/2,i.bottom-1))!=e?e.style.visibility="hidden":t.set(1e3,r)}))},$r.prototype.clear=function(){var e=this.horiz.parentNode;e.removeChild(this.horiz),e.removeChild(this.vert)};var Wr=function(){};function Hr(e,t){t||(t=qr(e));var n=e.display.barWidth,r=e.display.barHeight;Vr(e,t);for(var i=0;i<4&&n!=e.display.barWidth||r!=e.display.barHeight;i++)n!=e.display.barWidth&&e.options.lineWrapping&&Pr(e),Vr(e,qr(e)),n=e.display.barWidth,r=e.display.barHeight}function Vr(e,t){var n=e.display,r=n.scrollbars.update(t);n.sizer.style.paddingRight=(n.barWidth=r.right)+"px",n.sizer.style.paddingBottom=(n.barHeight=r.bottom)+"px",n.heightForcer.style.borderBottom=r.bottom+"px solid transparent",r.right&&r.bottom?(n.scrollbarFiller.style.display="block",n.scrollbarFiller.style.height=r.bottom+"px",n.scrollbarFiller.style.width=r.right+"px"):n.scrollbarFiller.style.display="",r.bottom&&e.options.coverGutterNextToScrollbar&&e.options.fixedGutter?(n.gutterFiller.style.display="block",n.gutterFiller.style.height=r.bottom+"px",n.gutterFiller.style.width=t.gutterWidth+"px"):n.gutterFiller.style.display=""}Wr.prototype.update=function(){return{bottom:0,right:0}},Wr.prototype.setScrollLeft=function(){},Wr.prototype.setScrollTop=function(){},Wr.prototype.clear=function(){};var Gr={native:$r,null:Wr};function Kr(e){e.display.scrollbars&&(e.display.scrollbars.clear(),e.display.scrollbars.addClass&&A(e.display.wrapper,e.display.scrollbars.addClass)),e.display.scrollbars=new Gr[e.options.scrollbarStyle]((function(t){e.display.wrapper.insertBefore(t,e.display.scrollbarFiller),me(t,"mousedown",(function(){e.state.focused&&setTimeout((function(){return e.display.input.focus()}),0)})),t.setAttribute("cm-not-content","true")}),(function(t,n){"horizontal"==n?Ur(e,t):Br(e,t)}),e),e.display.scrollbars.addClass&&M(e.display.wrapper,e.display.scrollbars.addClass)}var Yr=0;function Zr(e){var t;e.curOp={cm:e,viewChanged:!1,startHeight:e.doc.height,forceUpdate:!1,updateInput:0,typing:!1,changeObjs:null,cursorActivityHandlers:null,cursorActivityCalled:0,selectionChanged:!1,updateMaxLine:!1,scrollLeft:null,scrollTop:null,scrollToPos:null,focus:!1,id:++Yr,markArrays:null},t=e.curOp,cn?cn.ops.push(t):t.ownsGroup=cn={ops:[t],delayedCallbacks:[]}}function Xr(e){var t=e.curOp;t&&function(e,t){var n=e.ownsGroup;if(n)try{!function(e){var t=e.delayedCallbacks,n=0;do{for(;n<t.length;n++)t[n].call(null);for(var r=0;r<e.ops.length;r++){var i=e.ops[r];if(i.cursorActivityHandlers)for(;i.cursorActivityCalled<i.cursorActivityHandlers.length;)i.cursorActivityHandlers[i.cursorActivityCalled++].call(null,i.cm)}}while(n<t.length)}(n)}finally{cn=null,t(n)}}(t,(function(e){for(var t=0;t<e.ops.length;t++)e.ops[t].cm.curOp=null;!function(e){for(var t=e.ops,n=0;n<t.length;n++)Qr(t[n]);for(var r=0;r<t.length;r++)Jr(t[r]);for(var i=0;i<t.length;i++)ei(t[i]);for(var o=0;o<t.length;o++)ti(t[o]);for(var a=0;a<t.length;a++)ni(t[a])}(e)}))}function Qr(e){var t=e.cm,n=t.display;(function(e){var t=e.display;!t.scrollbarsClipped&&t.scroller.offsetWidth&&(t.nativeBarWidth=t.scroller.offsetWidth-t.scroller.clientWidth,t.heightForcer.style.height=Pn(e)+"px",t.sizer.style.marginBottom=-t.nativeBarWidth+"px",t.sizer.style.borderRightWidth=Pn(e)+"px",t.scrollbarsClipped=!0)})(t),e.updateMaxLine&&Yt(t),e.mustUpdate=e.viewChanged||e.forceUpdate||null!=e.scrollTop||e.scrollToPos&&(e.scrollToPos.from.line<n.viewFrom||e.scrollToPos.to.line>=n.viewTo)||n.maxLineChanged&&t.options.lineWrapping,e.update=e.mustUpdate&&new ui(t,e.mustUpdate&&{top:e.scrollTop,ensure:e.scrollToPos},e.forceUpdate)}function Jr(e){e.updatedDisplay=e.mustUpdate&&di(e.cm,e.update)}function ei(e){var t=e.cm,n=t.display;e.updatedDisplay&&Pr(t),e.barMeasure=qr(t),n.maxLineChanged&&!t.options.lineWrapping&&(e.adjustWidthTo=Mn(t,n.maxLine,n.maxLine.text.length).left+3,t.display.sizerWidth=e.adjustWidthTo,e.barMeasure.scrollWidth=Math.max(n.scroller.clientWidth,n.sizer.offsetLeft+e.adjustWidthTo+Pn(t)+t.display.barWidth),e.maxScrollLeft=Math.max(0,n.sizer.offsetLeft+e.adjustWidthTo-Dn(t))),(e.updatedDisplay||e.selectionChanged)&&(e.preparedSelection=n.input.prepareSelection())}function ti(e){var t=e.cm;null!=e.adjustWidthTo&&(t.display.sizer.style.minWidth=e.adjustWidthTo+"px",e.maxScrollLeft<t.doc.scrollLeft&&Ur(t,Math.min(t.display.scroller.scrollLeft,e.maxScrollLeft),!0),t.display.maxLineChanged=!1);var n=e.focus&&e.focus==I(j(t));e.preparedSelection&&t.display.input.showSelection(e.preparedSelection,n),(e.updatedDisplay||e.startHeight!=t.doc.height)&&Hr(t,e.barMeasure),e.updatedDisplay&&mi(t,e.barMeasure),e.selectionChanged&&Cr(t),t.state.focused&&e.updateInput&&t.display.input.reset(e.typing),n&&Sr(e.cm)}function ni(e){var t=e.cm,n=t.display,r=t.doc;e.updatedDisplay&&pi(t,e.update),null==n.wheelStartX||null==e.scrollTop&&null==e.scrollLeft&&!e.scrollToPos||(n.wheelStartX=n.wheelStartY=null),null!=e.scrollTop&&zr(t,e.scrollTop,e.forceScroll),null!=e.scrollLeft&&Ur(t,e.scrollLeft,!0,!0),e.scrollToPos&&function(e,t){if(!be(e,"scrollCursorIntoView")){var n=e.display,r=n.sizer.getBoundingClientRect(),i=null,o=n.wrapper.ownerDocument;if(t.top+r.top<0?i=!0:t.bottom+r.top>(o.defaultView.innerHeight||o.documentElement.clientHeight)&&(i=!1),null!=i&&!m){var a=P("div","",null,"position: absolute;\n top: "+(t.top-n.viewOffset-An(e.display))+"px;\n height: "+(t.bottom-t.top+Pn(e)+n.barHeight)+"px;\n left: "+t.left+"px; width: "+Math.max(2,t.right-t.left)+"px;");e.display.lineSpace.appendChild(a),a.scrollIntoView(i),e.display.lineSpace.removeChild(a)}}}(t,function(e,t,n,r){var i;null==r&&(r=0),e.options.lineWrapping||t!=n||(n="before"==t.sticky?it(t.line,t.ch+1,"before"):t,t=t.ch?it(t.line,"before"==t.sticky?t.ch-1:t.ch,"after"):t);for(var o=0;o<5;o++){var a=!1,s=Xn(e,t),l=n&&n!=t?Xn(e,n):s,u=Ir(e,i={left:Math.min(s.left,l.left),top:Math.min(s.top,l.top)-r,right:Math.max(s.left,l.left),bottom:Math.max(s.bottom,l.bottom)+r}),c=e.doc.scrollTop,d=e.doc.scrollLeft;if(null!=u.scrollTop&&(Br(e,u.scrollTop),Math.abs(e.doc.scrollTop-c)>1&&(a=!0)),null!=u.scrollLeft&&(Ur(e,u.scrollLeft),Math.abs(e.doc.scrollLeft-d)>1&&(a=!0)),!a)break}return i}(t,dt(r,e.scrollToPos.from),dt(r,e.scrollToPos.to),e.scrollToPos.margin));var i=e.maybeHiddenMarkers,o=e.maybeUnhiddenMarkers;if(i)for(var a=0;a<i.length;++a)i[a].lines.length||ye(i[a],"hide");if(o)for(var s=0;s<o.length;++s)o[s].lines.length&&ye(o[s],"unhide");n.wrapper.offsetHeight&&(r.scrollTop=t.display.scroller.scrollTop),e.changeObjs&&ye(t,"changes",t,e.changeObjs),e.update&&e.update.finish()}function ri(e,t){if(e.curOp)return t();Zr(e);try{return t()}finally{Xr(e)}}function ii(e,t){return function(){if(e.curOp)return t.apply(e,arguments);Zr(e);try{return t.apply(e,arguments)}finally{Xr(e)}}}function oi(e){return function(){if(this.curOp)return e.apply(this,arguments);Zr(this);try{return e.apply(this,arguments)}finally{Xr(this)}}}function ai(e){return function(){var t=this.cm;if(!t||t.curOp)return e.apply(this,arguments);Zr(t);try{return e.apply(this,arguments)}finally{Xr(t)}}}function si(e,t){e.doc.highlightFrontier<e.display.viewTo&&e.state.highlight.set(t,B(li,e))}function li(e){var t=e.doc;if(!(t.highlightFrontier>=e.display.viewTo)){var n=+new Date+e.options.workTime,r=vt(e,t.highlightFrontier),i=[];t.iter(r.line,Math.min(t.first+t.size,e.display.viewTo+500),(function(o){if(r.line>=e.display.viewFrom){var a=o.styles,s=o.text.length>e.options.maxHighlightLength?Ve(t.mode,r.state):null,l=mt(e,o,r,!0);s&&(r.state=s),o.styles=l.styles;var u=o.styleClasses,c=l.classes;c?o.styleClasses=c:u&&(o.styleClasses=null);for(var d=!a||a.length!=o.styles.length||u!=c&&(!u||!c||u.bgClass!=c.bgClass||u.textClass!=c.textClass),p=0;!d&&p<a.length;++p)d=a[p]!=o.styles[p];d&&i.push(r.line),o.stateAfter=r.save(),r.nextLine()}else o.text.length<=e.options.maxHighlightLength&&yt(e,o.text,r),o.stateAfter=r.line%5==0?r.save():null,r.nextLine();if(+new Date>n)return si(e,e.options.workDelay),!0})),t.highlightFrontier=r.line,t.modeFrontier=Math.max(t.modeFrontier,r.line),i.length&&ri(e,(function(){for(var t=0;t<i.length;t++)gr(e,i[t],"text")}))}}var ui=function(e,t,n){var r=e.display;this.viewport=t,this.visible=Rr(r,e.doc,t),this.editorIsHidden=!r.wrapper.offsetWidth,this.wrapperHeight=r.wrapper.clientHeight,this.wrapperWidth=r.wrapper.clientWidth,this.oldDisplayWidth=Dn(e),this.force=n,this.dims=ur(e),this.events=[]};function ci(e){if(e.hasFocus())return null;var t=I(j(e));if(!t||!R(e.display.lineDiv,t))return null;var n={activeElt:t};if(window.getSelection){var r=F(e).getSelection();r.anchorNode&&r.extend&&R(e.display.lineDiv,r.anchorNode)&&(n.anchorNode=r.anchorNode,n.anchorOffset=r.anchorOffset,n.focusNode=r.focusNode,n.focusOffset=r.focusOffset)}return n}function di(e,t){var n=e.display,r=e.doc;if(t.editorIsHidden)return vr(e),!1;if(!t.force&&t.visible.from>=n.viewFrom&&t.visible.to<=n.viewTo&&(null==n.updateLineNumbers||n.updateLineNumbers>=n.viewTo)&&n.renderedView==n.view&&0==br(e))return!1;vi(e)&&(vr(e),t.dims=ur(e));var i=r.first+r.size,o=Math.max(t.visible.from-e.options.viewportMargin,r.first),a=Math.min(i,t.visible.to+e.options.viewportMargin);n.viewFrom<o&&o-n.viewFrom<20&&(o=Math.max(r.first,n.viewFrom)),n.viewTo>a&&n.viewTo-a<20&&(a=Math.min(i,n.viewTo)),St&&(o=$t(e.doc,o),a=Wt(e.doc,a));var s=o!=n.viewFrom||a!=n.viewTo||n.lastWrapHeight!=t.wrapperHeight||n.lastWrapWidth!=t.wrapperWidth;(function(e,t,n){var r=e.display;0==r.view.length||t>=r.viewTo||n<=r.viewFrom?(r.view=un(e,t,n),r.viewFrom=t):(r.viewFrom>t?r.view=un(e,t,r.viewFrom).concat(r.view):r.viewFrom<t&&(r.view=r.view.slice(hr(e,t))),r.viewFrom=t,r.viewTo<n?r.view=r.view.concat(un(e,r.viewTo,n)):r.viewTo>n&&(r.view=r.view.slice(0,hr(e,n)))),r.viewTo=n})(e,o,a),n.viewOffset=Gt(Ze(e.doc,n.viewFrom)),e.display.mover.style.top=n.viewOffset+"px";var u=br(e);if(!s&&0==u&&!t.force&&n.renderedView==n.view&&(null==n.updateLineNumbers||n.updateLineNumbers>=n.viewTo))return!1;var c=ci(e);return u>4&&(n.lineDiv.style.display="none"),function(e,t,n){var r=e.display,i=e.options.lineNumbers,o=r.lineDiv,a=o.firstChild;function s(t){var n=t.nextSibling;return l&&b&&e.display.currentWheelTarget==t?t.style.display="none":t.parentNode.removeChild(t),n}for(var u=r.view,c=r.viewFrom,d=0;d<u.length;d++){var p=u[d];if(p.hidden);else if(p.node&&p.node.parentNode==o){for(;a!=p.node;)a=s(a);var f=i&&null!=t&&t<=c&&p.lineNumber;p.changes&&($(p.changes,"gutter")>-1&&(f=!1),hn(e,p,c,n)),f&&(T(p.lineNumber),p.lineNumber.appendChild(document.createTextNode(rt(e.options,c)))),a=p.node.nextSibling}else{var h=_n(e,p,c,n);o.insertBefore(h,a)}c+=p.size}for(;a;)a=s(a)}(e,n.updateLineNumbers,t.dims),u>4&&(n.lineDiv.style.display=""),n.renderedView=n.view,function(e){if(e&&e.activeElt&&e.activeElt!=I(e.activeElt.ownerDocument)&&(e.activeElt.focus(),!/^(INPUT|TEXTAREA)$/.test(e.activeElt.nodeName)&&e.anchorNode&&R(document.body,e.anchorNode)&&R(document.body,e.focusNode))){var t=e.activeElt.ownerDocument,n=t.defaultView.getSelection(),r=t.createRange();r.setEnd(e.anchorNode,e.anchorOffset),r.collapse(!1),n.removeAllRanges(),n.addRange(r),n.extend(e.focusNode,e.focusOffset)}}(c),T(n.cursorDiv),T(n.selectionDiv),n.gutters.style.height=n.sizer.style.minHeight=0,s&&(n.lastWrapHeight=t.wrapperHeight,n.lastWrapWidth=t.wrapperWidth,si(e,400)),n.updateLineNumbers=null,!0}function pi(e,t){for(var n=t.viewport,r=!0;;r=!1){if(r&&e.options.lineWrapping&&t.oldDisplayWidth!=Dn(e))r&&(t.visible=Rr(e.display,e.doc,n));else if(n&&null!=n.top&&(n={top:Math.min(e.doc.height+Tn(e.display)-Rn(e),n.top)}),t.visible=Rr(e.display,e.doc,n),t.visible.from>=e.display.viewFrom&&t.visible.to<=e.display.viewTo)break;if(!di(e,t))break;Pr(e);var i=qr(e);wr(e),Hr(e,i),mi(e,i),t.force=!1}t.signal(e,"update",e),e.display.viewFrom==e.display.reportedViewFrom&&e.display.viewTo==e.display.reportedViewTo||(t.signal(e,"viewportChange",e,e.display.viewFrom,e.display.viewTo),e.display.reportedViewFrom=e.display.viewFrom,e.display.reportedViewTo=e.display.viewTo)}function fi(e,t){var n=new ui(e,t);if(di(e,n)){Pr(e),pi(e,n);var r=qr(e);wr(e),Hr(e,r),mi(e,r),n.finish()}}function hi(e){var t=e.gutters.offsetWidth;e.sizer.style.marginLeft=t+"px",pn(e,"gutterChanged",e)}function mi(e,t){e.display.sizer.style.minHeight=t.docHeight+"px",e.display.heightForcer.style.top=t.docHeight+"px",e.display.gutters.style.height=t.docHeight+e.display.barHeight+Pn(e)+"px"}function gi(e){var t=e.display,n=t.view;if(t.alignWidgets||t.gutters.firstChild&&e.options.fixedGutter){for(var r=cr(t)-t.scroller.scrollLeft+e.doc.scrollLeft,i=t.gutters.offsetWidth,o=r+"px",a=0;a<n.length;a++)if(!n[a].hidden){e.options.fixedGutter&&(n[a].gutter&&(n[a].gutter.style.left=o),n[a].gutterBackground&&(n[a].gutterBackground.style.left=o));var s=n[a].alignable;if(s)for(var l=0;l<s.length;l++)s[l].style.left=o}e.options.fixedGutter&&(t.gutters.style.left=r+i+"px")}}function vi(e){if(!e.options.lineNumbers)return!1;var t=e.doc,n=rt(e.options,t.first+t.size-1),r=e.display;if(n.length!=r.lineNumChars){var i=r.measure.appendChild(P("div",[P("div",n)],"CodeMirror-linenumber CodeMirror-gutter-elt")),o=i.firstChild.offsetWidth,a=i.offsetWidth-o;return r.lineGutter.style.width="",r.lineNumInnerWidth=Math.max(o,r.lineGutter.offsetWidth-a)+1,r.lineNumWidth=r.lineNumInnerWidth+a,r.lineNumChars=r.lineNumInnerWidth?n.length:-1,r.lineGutter.style.width=r.lineNumWidth+"px",hi(e.display),!0}return!1}function yi(e,t){for(var n=[],r=!1,i=0;i<e.length;i++){var o=e[i],a=null;if("string"!=typeof o&&(a=o.style,o=o.className),"CodeMirror-linenumbers"==o){if(!t)continue;r=!0}n.push({className:o,style:a})}return t&&!r&&n.push({className:"CodeMirror-linenumbers",style:null}),n}function bi(e){var t=e.gutters,n=e.gutterSpecs;T(t),e.lineGutter=null;for(var r=0;r<n.length;++r){var i=n[r],o=i.className,a=i.style,s=t.appendChild(P("div",null,"CodeMirror-gutter "+o));a&&(s.style.cssText=a),"CodeMirror-linenumbers"==o&&(e.lineGutter=s,s.style.width=(e.lineNumWidth||1)+"px")}t.style.display=n.length?"":"none",hi(e)}function wi(e){bi(e.display),mr(e),gi(e)}function _i(e,t,r,i){var o=this;this.input=r,o.scrollbarFiller=P("div",null,"CodeMirror-scrollbar-filler"),o.scrollbarFiller.setAttribute("cm-not-content","true"),o.gutterFiller=P("div",null,"CodeMirror-gutter-filler"),o.gutterFiller.setAttribute("cm-not-content","true"),o.lineDiv=D("div",null,"CodeMirror-code"),o.selectionDiv=P("div",null,null,"position: relative; z-index: 1"),o.cursorDiv=P("div",null,"CodeMirror-cursors"),o.measure=P("div",null,"CodeMirror-measure"),o.lineMeasure=P("div",null,"CodeMirror-measure"),o.lineSpace=D("div",[o.measure,o.lineMeasure,o.selectionDiv,o.cursorDiv,o.lineDiv],null,"position: relative; outline: none");var u=D("div",[o.lineSpace],"CodeMirror-lines");o.mover=P("div",[u],null,"position: relative"),o.sizer=P("div",[o.mover],"CodeMirror-sizer"),o.sizerWidth=null,o.heightForcer=P("div",null,null,"position: absolute; height: "+W+"px; width: 1px;"),o.gutters=P("div",null,"CodeMirror-gutters"),o.lineGutter=null,o.scroller=P("div",[o.sizer,o.heightForcer,o.gutters],"CodeMirror-scroll"),o.scroller.setAttribute("tabIndex","-1"),o.wrapper=P("div",[o.scrollbarFiller,o.gutterFiller,o.scroller],"CodeMirror"),c&&d>=105&&(o.wrapper.style.clipPath="inset(0px)"),o.wrapper.setAttribute("translate","no"),a&&s<8&&(o.gutters.style.zIndex=-1,o.scroller.style.paddingRight=0),l||n&&y||(o.scroller.draggable=!0),e&&(e.appendChild?e.appendChild(o.wrapper):e(o.wrapper)),o.viewFrom=o.viewTo=t.first,o.reportedViewFrom=o.reportedViewTo=t.first,o.view=[],o.renderedView=null,o.externalMeasured=null,o.viewOffset=0,o.lastWrapHeight=o.lastWrapWidth=0,o.updateLineNumbers=null,o.nativeBarWidth=o.barHeight=o.barWidth=0,o.scrollbarsClipped=!1,o.lineNumWidth=o.lineNumInnerWidth=o.lineNumChars=null,o.alignWidgets=!1,o.cachedCharWidth=o.cachedTextHeight=o.cachedPaddingH=null,o.maxLine=null,o.maxLineLength=0,o.maxLineChanged=!1,o.wheelDX=o.wheelDY=o.wheelStartX=o.wheelStartY=null,o.shift=!1,o.selForContextMenu=null,o.activeTouch=null,o.gutterSpecs=yi(i.gutters,i.lineNumbers),bi(o),r.init(o)}ui.prototype.signal=function(e,t){_e(e,t)&&this.events.push(arguments)},ui.prototype.finish=function(){for(var e=0;e<this.events.length;e++)ye.apply(null,this.events[e])};var xi=0,ki=null;function Ei(e){var t=e.wheelDeltaX,n=e.wheelDeltaY;return null==t&&e.detail&&e.axis==e.HORIZONTAL_AXIS&&(t=e.detail),null==n&&e.detail&&e.axis==e.VERTICAL_AXIS?n=e.detail:null==n&&(n=e.wheelDelta),{x:t,y:n}}function Ci(e){var t=Ei(e);return t.x*=ki,t.y*=ki,t}function Si(e,t){c&&102==d&&(null==e.display.chromeScrollHack?e.display.sizer.style.pointerEvents="none":clearTimeout(e.display.chromeScrollHack),e.display.chromeScrollHack=setTimeout((function(){e.display.chromeScrollHack=null,e.display.sizer.style.pointerEvents=""}),100));var r=Ei(t),i=r.x,o=r.y,a=ki;0===t.deltaMode&&(i=t.deltaX,o=t.deltaY,a=1);var s=e.display,u=s.scroller,f=u.scrollWidth>u.clientWidth,h=u.scrollHeight>u.clientHeight;if(i&&f||o&&h){if(o&&b&&l)e:for(var m=t.target,g=s.view;m!=u;m=m.parentNode)for(var v=0;v<g.length;v++)if(g[v].node==m){e.display.currentWheelTarget=m;break e}if(i&&!n&&!p&&null!=a)return o&&h&&Br(e,Math.max(0,u.scrollTop+o*a)),Ur(e,Math.max(0,u.scrollLeft+i*a)),(!o||o&&h)&&ke(t),void(s.wheelStartX=null);if(o&&null!=a){var y=o*a,w=e.doc.scrollTop,_=w+s.wrapper.clientHeight;y<0?w=Math.max(0,w+y-50):_=Math.min(e.doc.height,_+y+50),fi(e,{top:w,bottom:_})}xi<20&&0!==t.deltaMode&&(null==s.wheelStartX?(s.wheelStartX=u.scrollLeft,s.wheelStartY=u.scrollTop,s.wheelDX=i,s.wheelDY=o,setTimeout((function(){if(null!=s.wheelStartX){var e=u.scrollLeft-s.wheelStartX,t=u.scrollTop-s.wheelStartY,n=t&&s.wheelDY&&t/s.wheelDY||e&&s.wheelDX&&e/s.wheelDX;s.wheelStartX=s.wheelStartY=null,n&&(ki=(ki*xi+n)/(xi+1),++xi)}}),200)):(s.wheelDX+=i,s.wheelDY+=o))}}a?ki=-.53:n?ki=15:c?ki=-.7:f&&(ki=-1/3);var Ai=function(e,t){this.ranges=e,this.primIndex=t};Ai.prototype.primary=function(){return this.ranges[this.primIndex]},Ai.prototype.equals=function(e){if(e==this)return!0;if(e.primIndex!=this.primIndex||e.ranges.length!=this.ranges.length)return!1;for(var t=0;t<this.ranges.length;t++){var n=this.ranges[t],r=e.ranges[t];if(!at(n.anchor,r.anchor)||!at(n.head,r.head))return!1}return!0},Ai.prototype.deepCopy=function(){for(var e=[],t=0;t<this.ranges.length;t++)e[t]=new Ti(st(this.ranges[t].anchor),st(this.ranges[t].head));return new Ai(e,this.primIndex)},Ai.prototype.somethingSelected=function(){for(var e=0;e<this.ranges.length;e++)if(!this.ranges[e].empty())return!0;return!1},Ai.prototype.contains=function(e,t){t||(t=e);for(var n=0;n<this.ranges.length;n++){var r=this.ranges[n];if(ot(t,r.from())>=0&&ot(e,r.to())<=0)return n}return-1};var Ti=function(e,t){this.anchor=e,this.head=t};function Oi(e,t,n){var r=e&&e.options.selectionsMayTouch,i=t[n];t.sort((function(e,t){return ot(e.from(),t.from())})),n=$(t,i);for(var o=1;o<t.length;o++){var a=t[o],s=t[o-1],l=ot(s.to(),a.from());if(r&&!a.empty()?l>0:l>=0){var u=ut(s.from(),a.from()),c=lt(s.to(),a.to()),d=s.empty()?a.from()==a.head:s.from()==s.head;o<=n&&--n,t.splice(--o,2,new Ti(d?c:u,d?u:c))}}return new Ai(t,n)}function Pi(e,t){return new Ai([new Ti(e,t||e)],0)}function Di(e){return e.text?it(e.from.line+e.text.length-1,Q(e.text).length+(1==e.text.length?e.from.ch:0)):e.to}function Ri(e,t){if(ot(e,t.from)<0)return e;if(ot(e,t.to)<=0)return Di(t);var n=e.line+t.text.length-(t.to.line-t.from.line)-1,r=e.ch;return e.line==t.to.line&&(r+=Di(t).ch-t.to.ch),it(n,r)}function Ii(e,t){for(var n=[],r=0;r<e.sel.ranges.length;r++){var i=e.sel.ranges[r];n.push(new Ti(Ri(i.anchor,t),Ri(i.head,t)))}return Oi(e.cm,n,e.sel.primIndex)}function Mi(e,t,n){return e.line==t.line?it(n.line,e.ch-t.ch+n.ch):it(n.line+(e.line-t.line),e.ch)}function Li(e){e.doc.mode=$e(e.options,e.doc.modeOption),Ni(e)}function Ni(e){e.doc.iter((function(e){e.stateAfter&&(e.stateAfter=null),e.styles&&(e.styles=null)})),e.doc.modeFrontier=e.doc.highlightFrontier=e.doc.first,si(e,100),e.state.modeGen++,e.curOp&&mr(e)}function ji(e,t){return 0==t.from.ch&&0==t.to.ch&&""==Q(t.text)&&(!e.cm||e.cm.options.wholeLineUpdateBefore)}function Fi(e,t,n,r){function i(e){return n?n[e]:null}function o(e,n,i){(function(e,t,n,r){e.text=t,e.stateAfter&&(e.stateAfter=null),e.styles&&(e.styles=null),null!=e.order&&(e.order=null),Rt(e),It(e,n);var i=r?r(e):1;i!=e.height&&Je(e,i)})(e,n,i,r),pn(e,"change",e,t)}function a(e,t){for(var n=[],o=e;o<t;++o)n.push(new Zt(u[o],i(o),r));return n}var s=t.from,l=t.to,u=t.text,c=Ze(e,s.line),d=Ze(e,l.line),p=Q(u),f=i(u.length-1),h=l.line-s.line;if(t.full)e.insert(0,a(0,u.length)),e.remove(u.length,e.size-u.length);else if(ji(e,t)){var m=a(0,u.length-1);o(d,d.text,f),h&&e.remove(s.line,h),m.length&&e.insert(s.line,m)}else if(c==d)if(1==u.length)o(c,c.text.slice(0,s.ch)+p+c.text.slice(l.ch),f);else{var g=a(1,u.length-1);g.push(new Zt(p+c.text.slice(l.ch),f,r)),o(c,c.text.slice(0,s.ch)+u[0],i(0)),e.insert(s.line+1,g)}else if(1==u.length)o(c,c.text.slice(0,s.ch)+u[0]+d.text.slice(l.ch),i(0)),e.remove(s.line+1,h);else{o(c,c.text.slice(0,s.ch)+u[0],i(0)),o(d,p+d.text.slice(l.ch),f);var v=a(1,u.length-1);h>1&&e.remove(s.line+1,h-1),e.insert(s.line+1,v)}pn(e,"change",e,t)}function Bi(e,t,n){!function e(r,i,o){if(r.linked)for(var a=0;a<r.linked.length;++a){var s=r.linked[a];if(s.doc!=i){var l=o&&s.sharedHist;n&&!l||(t(s.doc,l),e(s.doc,r,l))}}}(e,null,!0)}function zi(e,t){if(t.cm)throw new Error("This document is already in use.");e.doc=t,t.cm=e,pr(e),Li(e),Ui(e),e.options.direction=t.direction,e.options.lineWrapping||Yt(e),e.options.mode=t.modeOption,mr(e)}function Ui(e){("rtl"==e.doc.direction?M:A)(e.display.lineDiv,"CodeMirror-rtl")}function qi(e){this.done=[],this.undone=[],this.undoDepth=e?e.undoDepth:1/0,this.lastModTime=this.lastSelTime=0,this.lastOp=this.lastSelOp=null,this.lastOrigin=this.lastSelOrigin=null,this.generation=this.maxGeneration=e?e.maxGeneration:1}function $i(e,t){var n={from:st(t.from),to:Di(t),text:Xe(e,t.from,t.to)};return Ki(e,n,t.from.line,t.to.line+1),Bi(e,(function(e){return Ki(e,n,t.from.line,t.to.line+1)}),!0),n}function Wi(e){for(;e.length&&Q(e).ranges;)e.pop()}function Hi(e,t,n,r){var i=e.history;i.undone.length=0;var o,a,s=+new Date;if((i.lastOp==r||i.lastOrigin==t.origin&&t.origin&&("+"==t.origin.charAt(0)&&i.lastModTime>s-(e.cm?e.cm.options.historyEventDelay:500)||"*"==t.origin.charAt(0)))&&(o=function(e,t){return t?(Wi(e.done),Q(e.done)):e.done.length&&!Q(e.done).ranges?Q(e.done):e.done.length>1&&!e.done[e.done.length-2].ranges?(e.done.pop(),Q(e.done)):void 0}(i,i.lastOp==r)))a=Q(o.changes),0==ot(t.from,t.to)&&0==ot(t.from,a.to)?a.to=Di(t):o.changes.push($i(e,t));else{var l=Q(i.done);for(l&&l.ranges||Gi(e.sel,i.done),o={changes:[$i(e,t)],generation:i.generation},i.done.push(o);i.done.length>i.undoDepth;)i.done.shift(),i.done[0].ranges||i.done.shift()}i.done.push(n),i.generation=++i.maxGeneration,i.lastModTime=i.lastSelTime=s,i.lastOp=i.lastSelOp=r,i.lastOrigin=i.lastSelOrigin=t.origin,a||ye(e,"historyAdded")}function Vi(e,t,n,r){var i=e.history,o=r&&r.origin;n==i.lastSelOp||o&&i.lastSelOrigin==o&&(i.lastModTime==i.lastSelTime&&i.lastOrigin==o||function(e,t,n,r){var i=t.charAt(0);return"*"==i||"+"==i&&n.ranges.length==r.ranges.length&&n.somethingSelected()==r.somethingSelected()&&new Date-e.history.lastSelTime<=(e.cm?e.cm.options.historyEventDelay:500)}(e,o,Q(i.done),t))?i.done[i.done.length-1]=t:Gi(t,i.done),i.lastSelTime=+new Date,i.lastSelOrigin=o,i.lastSelOp=n,r&&!1!==r.clearRedo&&Wi(i.undone)}function Gi(e,t){var n=Q(t);n&&n.ranges&&n.equals(e)||t.push(e)}function Ki(e,t,n,r){var i=t["spans_"+e.id],o=0;e.iter(Math.max(e.first,n),Math.min(e.first+e.size,r),(function(n){n.markedSpans&&((i||(i=t["spans_"+e.id]={}))[o]=n.markedSpans),++o}))}function Yi(e){if(!e)return null;for(var t,n=0;n<e.length;++n)e[n].marker.explicitlyCleared?t||(t=e.slice(0,n)):t&&t.push(e[n]);return t?t.length?t:null:e}function Zi(e,t){var n=function(e,t){var n=t["spans_"+e.id];if(!n)return null;for(var r=[],i=0;i<t.text.length;++i)r.push(Yi(n[i]));return r}(e,t),r=Pt(e,t);if(!n)return r;if(!r)return n;for(var i=0;i<n.length;++i){var o=n[i],a=r[i];if(o&&a)e:for(var s=0;s<a.length;++s){for(var l=a[s],u=0;u<o.length;++u)if(o[u].marker==l.marker)continue e;o.push(l)}else a&&(n[i]=a)}return n}function Xi(e,t,n){for(var r=[],i=0;i<e.length;++i){var o=e[i];if(o.ranges)r.push(n?Ai.prototype.deepCopy.call(o):o);else{var a=o.changes,s=[];r.push({changes:s});for(var l=0;l<a.length;++l){var u=a[l],c=void 0;if(s.push({from:u.from,to:u.to,text:u.text}),t)for(var d in u)(c=d.match(/^spans_(\d+)$/))&&$(t,Number(c[1]))>-1&&(Q(s)[d]=u[d],delete u[d])}}}return r}function Qi(e,t,n,r){if(r){var i=e.anchor;if(n){var o=ot(t,i)<0;o!=ot(n,i)<0?(i=t,t=n):o!=ot(t,n)<0&&(t=n)}return new Ti(i,t)}return new Ti(n||t,t)}function Ji(e,t,n,r,i){null==i&&(i=e.cm&&(e.cm.display.shift||e.extend)),io(e,new Ai([Qi(e.sel.primary(),t,n,i)],0),r)}function eo(e,t,n){for(var r=[],i=e.cm&&(e.cm.display.shift||e.extend),o=0;o<e.sel.ranges.length;o++)r[o]=Qi(e.sel.ranges[o],t[o],null,i);io(e,Oi(e.cm,r,e.sel.primIndex),n)}function to(e,t,n,r){var i=e.sel.ranges.slice(0);i[t]=n,io(e,Oi(e.cm,i,e.sel.primIndex),r)}function no(e,t,n,r){io(e,Pi(t,n),r)}function ro(e,t,n){var r=e.history.done,i=Q(r);i&&i.ranges?(r[r.length-1]=t,oo(e,t,n)):io(e,t,n)}function io(e,t,n){oo(e,t,n),Vi(e,e.sel,e.cm?e.cm.curOp.id:NaN,n)}function oo(e,t,n){(_e(e,"beforeSelectionChange")||e.cm&&_e(e.cm,"beforeSelectionChange"))&&(t=function(e,t,n){var r={ranges:t.ranges,update:function(t){this.ranges=[];for(var n=0;n<t.length;n++)this.ranges[n]=new Ti(dt(e,t[n].anchor),dt(e,t[n].head))},origin:n&&n.origin};return ye(e,"beforeSelectionChange",e,r),e.cm&&ye(e.cm,"beforeSelectionChange",e.cm,r),r.ranges!=t.ranges?Oi(e.cm,r.ranges,r.ranges.length-1):t}(e,t,n));var r=n&&n.bias||(ot(t.primary().head,e.sel.primary().head)<0?-1:1);ao(e,lo(e,t,r,!0)),n&&!1===n.scroll||!e.cm||"nocursor"==e.cm.getOption("readOnly")||Lr(e.cm)}function ao(e,t){t.equals(e.sel)||(e.sel=t,e.cm&&(e.cm.curOp.updateInput=1,e.cm.curOp.selectionChanged=!0,we(e.cm)),pn(e,"cursorActivity",e))}function so(e){ao(e,lo(e,e.sel,null,!1))}function lo(e,t,n,r){for(var i,o=0;o<t.ranges.length;o++){var a=t.ranges[o],s=t.ranges.length==e.sel.ranges.length&&e.sel.ranges[o],l=co(e,a.anchor,s&&s.anchor,n,r),u=a.head==a.anchor?l:co(e,a.head,s&&s.head,n,r);(i||l!=a.anchor||u!=a.head)&&(i||(i=t.ranges.slice(0,o)),i[o]=new Ti(l,u))}return i?Oi(e.cm,i,t.primIndex):t}function uo(e,t,n,r,i){var o=Ze(e,t.line);if(o.markedSpans)for(var a=0;a<o.markedSpans.length;++a){var s=o.markedSpans[a],l=s.marker,u="selectLeft"in l?!l.selectLeft:l.inclusiveLeft,c="selectRight"in l?!l.selectRight:l.inclusiveRight;if((null==s.from||(u?s.from<=t.ch:s.from<t.ch))&&(null==s.to||(c?s.to>=t.ch:s.to>t.ch))){if(i&&(ye(l,"beforeCursorEnter"),l.explicitlyCleared)){if(o.markedSpans){--a;continue}break}if(!l.atomic)continue;if(n){var d=l.find(r<0?1:-1),p=void 0;if((r<0?c:u)&&(d=po(e,d,-r,d&&d.line==t.line?o:null)),d&&d.line==t.line&&(p=ot(d,n))&&(r<0?p<0:p>0))return uo(e,d,t,r,i)}var f=l.find(r<0?-1:1);return(r<0?u:c)&&(f=po(e,f,r,f.line==t.line?o:null)),f?uo(e,f,t,r,i):null}}return t}function co(e,t,n,r,i){var o=r||1;return uo(e,t,n,o,i)||!i&&uo(e,t,n,o,!0)||uo(e,t,n,-o,i)||!i&&uo(e,t,n,-o,!0)||(e.cantEdit=!0,it(e.first,0))}function po(e,t,n,r){return n<0&&0==t.ch?t.line>e.first?dt(e,it(t.line-1)):null:n>0&&t.ch==(r||Ze(e,t.line)).text.length?t.line<e.first+e.size-1?it(t.line+1,0):null:new it(t.line,t.ch+n)}function fo(e){e.setSelection(it(e.firstLine(),0),it(e.lastLine()),V)}function ho(e,t,n){var r={canceled:!1,from:t.from,to:t.to,text:t.text,origin:t.origin,cancel:function(){return r.canceled=!0}};return n&&(r.update=function(t,n,i,o){t&&(r.from=dt(e,t)),n&&(r.to=dt(e,n)),i&&(r.text=i),void 0!==o&&(r.origin=o)}),ye(e,"beforeChange",e,r),e.cm&&ye(e.cm,"beforeChange",e.cm,r),r.canceled?(e.cm&&(e.cm.curOp.updateInput=2),null):{from:r.from,to:r.to,text:r.text,origin:r.origin}}function mo(e,t,n){if(e.cm){if(!e.cm.curOp)return ii(e.cm,mo)(e,t,n);if(e.cm.state.suppressEdits)return}if(!(_e(e,"beforeChange")||e.cm&&_e(e.cm,"beforeChange"))||(t=ho(e,t,!0))){var r=Ct&&!n&&function(e,t,n){var r=null;if(e.iter(t.line,n.line+1,(function(e){if(e.markedSpans)for(var t=0;t<e.markedSpans.length;++t){var n=e.markedSpans[t].marker;!n.readOnly||r&&-1!=$(r,n)||(r||(r=[])).push(n)}})),!r)return null;for(var i=[{from:t,to:n}],o=0;o<r.length;++o)for(var a=r[o],s=a.find(0),l=0;l<i.length;++l){var u=i[l];if(!(ot(u.to,s.from)<0||ot(u.from,s.to)>0)){var c=[l,1],d=ot(u.from,s.from),p=ot(u.to,s.to);(d<0||!a.inclusiveLeft&&!d)&&c.push({from:u.from,to:s.from}),(p>0||!a.inclusiveRight&&!p)&&c.push({from:s.to,to:u.to}),i.splice.apply(i,c),l+=c.length-3}}return i}(e,t.from,t.to);if(r)for(var i=r.length-1;i>=0;--i)go(e,{from:r[i].from,to:r[i].to,text:i?[""]:t.text,origin:t.origin});else go(e,t)}}function go(e,t){if(1!=t.text.length||""!=t.text[0]||0!=ot(t.from,t.to)){var n=Ii(e,t);Hi(e,t,n,e.cm?e.cm.curOp.id:NaN),bo(e,t,n,Pt(e,t));var r=[];Bi(e,(function(e,n){n||-1!=$(r,e.history)||(ko(e.history,t),r.push(e.history)),bo(e,t,null,Pt(e,t))}))}}function vo(e,t,n){var r=e.cm&&e.cm.state.suppressEdits;if(!r||n){for(var i,o=e.history,a=e.sel,s="undo"==t?o.done:o.undone,l="undo"==t?o.undone:o.done,u=0;u<s.length&&(i=s[u],n?!i.ranges||i.equals(e.sel):i.ranges);u++);if(u!=s.length){for(o.lastOrigin=o.lastSelOrigin=null;;){if(!(i=s.pop()).ranges){if(r)return void s.push(i);break}if(Gi(i,l),n&&!i.equals(e.sel))return void io(e,i,{clearRedo:!1});a=i}var c=[];Gi(a,l),l.push({changes:c,generation:o.generation}),o.generation=i.generation||++o.maxGeneration;for(var d=_e(e,"beforeChange")||e.cm&&_e(e.cm,"beforeChange"),p=function(n){var r=i.changes[n];if(r.origin=t,d&&!ho(e,r,!1))return s.length=0,{};c.push($i(e,r));var o=n?Ii(e,r):Q(s);bo(e,r,o,Zi(e,r)),!n&&e.cm&&e.cm.scrollIntoView({from:r.from,to:Di(r)});var a=[];Bi(e,(function(e,t){t||-1!=$(a,e.history)||(ko(e.history,r),a.push(e.history)),bo(e,r,null,Zi(e,r))}))},f=i.changes.length-1;f>=0;--f){var h=p(f);if(h)return h.v}}}}function yo(e,t){if(0!=t&&(e.first+=t,e.sel=new Ai(J(e.sel.ranges,(function(e){return new Ti(it(e.anchor.line+t,e.anchor.ch),it(e.head.line+t,e.head.ch))})),e.sel.primIndex),e.cm)){mr(e.cm,e.first,e.first-t,t);for(var n=e.cm.display,r=n.viewFrom;r<n.viewTo;r++)gr(e.cm,r,"gutter")}}function bo(e,t,n,r){if(e.cm&&!e.cm.curOp)return ii(e.cm,bo)(e,t,n,r);if(t.to.line<e.first)yo(e,t.text.length-1-(t.to.line-t.from.line));else if(!(t.from.line>e.lastLine())){if(t.from.line<e.first){var i=t.text.length-1-(e.first-t.from.line);yo(e,i),t={from:it(e.first,0),to:it(t.to.line+i,t.to.ch),text:[Q(t.text)],origin:t.origin}}var o=e.lastLine();t.to.line>o&&(t={from:t.from,to:it(o,Ze(e,o).text.length),text:[t.text[0]],origin:t.origin}),t.removed=Xe(e,t.from,t.to),n||(n=Ii(e,t)),e.cm?function(e,t,n){var r=e.doc,i=e.display,o=t.from,a=t.to,s=!1,l=o.line;e.options.lineWrapping||(l=et(qt(Ze(r,o.line))),r.iter(l,a.line+1,(function(e){if(e==i.maxLine)return s=!0,!0}))),r.sel.contains(t.from,t.to)>-1&&we(e),Fi(r,t,n,dr(e)),e.options.lineWrapping||(r.iter(l,o.line+t.text.length,(function(e){var t=Kt(e);t>i.maxLineLength&&(i.maxLine=e,i.maxLineLength=t,i.maxLineChanged=!0,s=!1)})),s&&(e.curOp.updateMaxLine=!0)),function(e,t){if(e.modeFrontier=Math.min(e.modeFrontier,t),!(e.highlightFrontier<t-10)){for(var n=e.first,r=t-1;r>n;r--){var i=Ze(e,r).stateAfter;if(i&&(!(i instanceof ft)||r+i.lookAhead<t)){n=r+1;break}}e.highlightFrontier=Math.min(e.highlightFrontier,n)}}(r,o.line),si(e,400);var u=t.text.length-(a.line-o.line)-1;t.full?mr(e):o.line!=a.line||1!=t.text.length||ji(e.doc,t)?mr(e,o.line,a.line+1,u):gr(e,o.line,"text");var c=_e(e,"changes"),d=_e(e,"change");if(d||c){var p={from:o,to:a,text:t.text,removed:t.removed,origin:t.origin};d&&pn(e,"change",e,p),c&&(e.curOp.changeObjs||(e.curOp.changeObjs=[])).push(p)}e.display.selForContextMenu=null}(e.cm,t,r):Fi(e,t,r),oo(e,n,V),e.cantEdit&&co(e,it(e.firstLine(),0))&&(e.cantEdit=!1)}}function wo(e,t,n,r,i){var o;r||(r=n),ot(r,n)<0&&(n=(o=[r,n])[0],r=o[1]),"string"==typeof t&&(t=e.splitLines(t)),mo(e,{from:n,to:r,text:t,origin:i})}function _o(e,t,n,r){n<e.line?e.line+=r:t<e.line&&(e.line=t,e.ch=0)}function xo(e,t,n,r){for(var i=0;i<e.length;++i){var o=e[i],a=!0;if(o.ranges){o.copied||((o=e[i]=o.deepCopy()).copied=!0);for(var s=0;s<o.ranges.length;s++)_o(o.ranges[s].anchor,t,n,r),_o(o.ranges[s].head,t,n,r)}else{for(var l=0;l<o.changes.length;++l){var u=o.changes[l];if(n<u.from.line)u.from=it(u.from.line+r,u.from.ch),u.to=it(u.to.line+r,u.to.ch);else if(t<=u.to.line){a=!1;break}}a||(e.splice(0,i+1),i=0)}}}function ko(e,t){var n=t.from.line,r=t.to.line,i=t.text.length-(r-n)-1;xo(e.done,n,r,i),xo(e.undone,n,r,i)}function Eo(e,t,n,r){var i=t,o=t;return"number"==typeof t?o=Ze(e,ct(e,t)):i=et(t),null==i?null:(r(o,i)&&e.cm&&gr(e.cm,i,n),o)}function Co(e){this.lines=e,this.parent=null;for(var t=0,n=0;n<e.length;++n)e[n].parent=this,t+=e[n].height;this.height=t}function So(e){this.children=e;for(var t=0,n=0,r=0;r<e.length;++r){var i=e[r];t+=i.chunkSize(),n+=i.height,i.parent=this}this.size=t,this.height=n,this.parent=null}Ti.prototype.from=function(){return ut(this.anchor,this.head)},Ti.prototype.to=function(){return lt(this.anchor,this.head)},Ti.prototype.empty=function(){return this.head.line==this.anchor.line&&this.head.ch==this.anchor.ch},Co.prototype={chunkSize:function(){return this.lines.length},removeInner:function(e,t){for(var n=e,r=e+t;n<r;++n){var i=this.lines[n];this.height-=i.height,Xt(i),pn(i,"delete")}this.lines.splice(e,t)},collapse:function(e){e.push.apply(e,this.lines)},insertInner:function(e,t,n){this.height+=n,this.lines=this.lines.slice(0,e).concat(t).concat(this.lines.slice(e));for(var r=0;r<t.length;++r)t[r].parent=this},iterN:function(e,t,n){for(var r=e+t;e<r;++e)if(n(this.lines[e]))return!0}},So.prototype={chunkSize:function(){return this.size},removeInner:function(e,t){this.size-=t;for(var n=0;n<this.children.length;++n){var r=this.children[n],i=r.chunkSize();if(e<i){var o=Math.min(t,i-e),a=r.height;if(r.removeInner(e,o),this.height-=a-r.height,i==o&&(this.children.splice(n--,1),r.parent=null),0==(t-=o))break;e=0}else e-=i}if(this.size-t<25&&(this.children.length>1||!(this.children[0]instanceof Co))){var s=[];this.collapse(s),this.children=[new Co(s)],this.children[0].parent=this}},collapse:function(e){for(var t=0;t<this.children.length;++t)this.children[t].collapse(e)},insertInner:function(e,t,n){this.size+=t.length,this.height+=n;for(var r=0;r<this.children.length;++r){var i=this.children[r],o=i.chunkSize();if(e<=o){if(i.insertInner(e,t,n),i.lines&&i.lines.length>50){for(var a=i.lines.length%25+25,s=a;s<i.lines.length;){var l=new Co(i.lines.slice(s,s+=25));i.height-=l.height,this.children.splice(++r,0,l),l.parent=this}i.lines=i.lines.slice(0,a),this.maybeSpill()}break}e-=o}},maybeSpill:function(){if(!(this.children.length<=10)){var e=this;do{var t=new So(e.children.splice(e.children.length-5,5));if(e.parent){e.size-=t.size,e.height-=t.height;var n=$(e.parent.children,e);e.parent.children.splice(n+1,0,t)}else{var r=new So(e.children);r.parent=e,e.children=[r,t],e=r}t.parent=e.parent}while(e.children.length>10);e.parent.maybeSpill()}},iterN:function(e,t,n){for(var r=0;r<this.children.length;++r){var i=this.children[r],o=i.chunkSize();if(e<o){var a=Math.min(t,o-e);if(i.iterN(e,a,n))return!0;if(0==(t-=a))break;e=0}else e-=o}}};var Ao=function(e,t,n){if(n)for(var r in n)n.hasOwnProperty(r)&&(this[r]=n[r]);this.doc=e,this.node=t};function To(e,t,n){Gt(t)<(e.curOp&&e.curOp.scrollTop||e.doc.scrollTop)&&Mr(e,n)}Ao.prototype.clear=function(){var e=this.doc.cm,t=this.line.widgets,n=this.line,r=et(n);if(null!=r&&t){for(var i=0;i<t.length;++i)t[i]==this&&t.splice(i--,1);t.length||(n.widgets=null);var o=Cn(this);Je(n,Math.max(0,n.height-o)),e&&(ri(e,(function(){To(e,n,-o),gr(e,r,"widget")})),pn(e,"lineWidgetCleared",e,this,r))}},Ao.prototype.changed=function(){var e=this,t=this.height,n=this.doc.cm,r=this.line;this.height=null;var i=Cn(this)-t;i&&(Ht(this.doc,r)||Je(r,r.height+i),n&&ri(n,(function(){n.curOp.forceUpdate=!0,To(n,r,i),pn(n,"lineWidgetChanged",n,e,et(r))})))},xe(Ao);var Oo=0,Po=function(e,t){this.lines=[],this.type=t,this.doc=e,this.id=++Oo};function Do(e,t,n,r,i){if(r&&r.shared)return function(e,t,n,r,i){(r=z(r)).shared=!1;var o=[Do(e,t,n,r,i)],a=o[0],s=r.widgetNode;return Bi(e,(function(e){s&&(r.widgetNode=s.cloneNode(!0)),o.push(Do(e,dt(e,t),dt(e,n),r,i));for(var l=0;l<e.linked.length;++l)if(e.linked[l].isParent)return;a=Q(o)})),new Ro(o,a)}(e,t,n,r,i);if(e.cm&&!e.cm.curOp)return ii(e.cm,Do)(e,t,n,r,i);var o=new Po(e,i),a=ot(t,n);if(r&&z(r,o,!1),a>0||0==a&&!1!==o.clearWhenEmpty)return o;if(o.replacedWith&&(o.collapsed=!0,o.widgetNode=D("span",[o.replacedWith],"CodeMirror-widget"),r.handleMouseEvents||o.widgetNode.setAttribute("cm-ignore-events","true"),r.insertLeft&&(o.widgetNode.insertLeft=!0)),o.collapsed){if(Ut(e,t.line,t,n,o)||t.line!=n.line&&Ut(e,n.line,t,n,o))throw new Error("Inserting collapsed marker partially overlapping an existing one");St=!0}o.addToHistory&&Hi(e,{from:t,to:n,origin:"markText"},e.sel,NaN);var s,l=t.line,u=e.cm;if(e.iter(l,n.line+1,(function(r){u&&o.collapsed&&!u.options.lineWrapping&&qt(r)==u.display.maxLine&&(s=!0),o.collapsed&&l!=t.line&&Je(r,0),function(e,t,n){var r=n&&window.WeakSet&&(n.markedSpans||(n.markedSpans=new WeakSet));r&&e.markedSpans&&r.has(e.markedSpans)?e.markedSpans.push(t):(e.markedSpans=e.markedSpans?e.markedSpans.concat([t]):[t],r&&r.add(e.markedSpans)),t.marker.attachLine(e)}(r,new At(o,l==t.line?t.ch:null,l==n.line?n.ch:null),e.cm&&e.cm.curOp),++l})),o.collapsed&&e.iter(t.line,n.line+1,(function(t){Ht(e,t)&&Je(t,0)})),o.clearOnEnter&&me(o,"beforeCursorEnter",(function(){return o.clear()})),o.readOnly&&(Ct=!0,(e.history.done.length||e.history.undone.length)&&e.clearHistory()),o.collapsed&&(o.id=++Oo,o.atomic=!0),u){if(s&&(u.curOp.updateMaxLine=!0),o.collapsed)mr(u,t.line,n.line+1);else if(o.className||o.startStyle||o.endStyle||o.css||o.attributes||o.title)for(var c=t.line;c<=n.line;c++)gr(u,c,"text");o.atomic&&so(u.doc),pn(u,"markerAdded",u,o)}return o}Po.prototype.clear=function(){if(!this.explicitlyCleared){var e=this.doc.cm,t=e&&!e.curOp;if(t&&Zr(e),_e(this,"clear")){var n=this.find();n&&pn(this,"clear",n.from,n.to)}for(var r=null,i=null,o=0;o<this.lines.length;++o){var a=this.lines[o],s=Tt(a.markedSpans,this);e&&!this.collapsed?gr(e,et(a),"text"):e&&(null!=s.to&&(i=et(a)),null!=s.from&&(r=et(a))),a.markedSpans=Ot(a.markedSpans,s),null==s.from&&this.collapsed&&!Ht(this.doc,a)&&e&&Je(a,sr(e.display))}if(e&&this.collapsed&&!e.options.lineWrapping)for(var l=0;l<this.lines.length;++l){var u=qt(this.lines[l]),c=Kt(u);c>e.display.maxLineLength&&(e.display.maxLine=u,e.display.maxLineLength=c,e.display.maxLineChanged=!0)}null!=r&&e&&this.collapsed&&mr(e,r,i+1),this.lines.length=0,this.explicitlyCleared=!0,this.atomic&&this.doc.cantEdit&&(this.doc.cantEdit=!1,e&&so(e.doc)),e&&pn(e,"markerCleared",e,this,r,i),t&&Xr(e),this.parent&&this.parent.clear()}},Po.prototype.find=function(e,t){var n,r;null==e&&"bookmark"==this.type&&(e=1);for(var i=0;i<this.lines.length;++i){var o=this.lines[i],a=Tt(o.markedSpans,this);if(null!=a.from&&(n=it(t?o:et(o),a.from),-1==e))return n;if(null!=a.to&&(r=it(t?o:et(o),a.to),1==e))return r}return n&&{from:n,to:r}},Po.prototype.changed=function(){var e=this,t=this.find(-1,!0),n=this,r=this.doc.cm;t&&r&&ri(r,(function(){var i=t.line,o=et(t.line),a=Ln(r,o);if(a&&(qn(a),r.curOp.selectionChanged=r.curOp.forceUpdate=!0),r.curOp.updateMaxLine=!0,!Ht(n.doc,i)&&null!=n.height){var s=n.height;n.height=null;var l=Cn(n)-s;l&&Je(i,i.height+l)}pn(r,"markerChanged",r,e)}))},Po.prototype.attachLine=function(e){if(!this.lines.length&&this.doc.cm){var t=this.doc.cm.curOp;t.maybeHiddenMarkers&&-1!=$(t.maybeHiddenMarkers,this)||(t.maybeUnhiddenMarkers||(t.maybeUnhiddenMarkers=[])).push(this)}this.lines.push(e)},Po.prototype.detachLine=function(e){if(this.lines.splice($(this.lines,e),1),!this.lines.length&&this.doc.cm){var t=this.doc.cm.curOp;(t.maybeHiddenMarkers||(t.maybeHiddenMarkers=[])).push(this)}},xe(Po);var Ro=function(e,t){this.markers=e,this.primary=t;for(var n=0;n<e.length;++n)e[n].parent=this};function Io(e){return e.findMarks(it(e.first,0),e.clipPos(it(e.lastLine())),(function(e){return e.parent}))}function Mo(e){for(var t=function(t){var n=e[t],r=[n.primary.doc];Bi(n.primary.doc,(function(e){return r.push(e)}));for(var i=0;i<n.markers.length;i++){var o=n.markers[i];-1==$(r,o.doc)&&(o.parent=null,n.markers.splice(i--,1))}},n=0;n<e.length;n++)t(n)}Ro.prototype.clear=function(){if(!this.explicitlyCleared){this.explicitlyCleared=!0;for(var e=0;e<this.markers.length;++e)this.markers[e].clear();pn(this,"clear")}},Ro.prototype.find=function(e,t){return this.primary.find(e,t)},xe(Ro);var Lo=0,No=function(e,t,n,r,i){if(!(this instanceof No))return new No(e,t,n,r,i);null==n&&(n=0),So.call(this,[new Co([new Zt("",null)])]),this.first=n,this.scrollTop=this.scrollLeft=0,this.cantEdit=!1,this.cleanGeneration=1,this.modeFrontier=this.highlightFrontier=n;var o=it(n,0);this.sel=Pi(o),this.history=new qi(null),this.id=++Lo,this.modeOption=t,this.lineSep=r,this.direction="rtl"==i?"rtl":"ltr",this.extend=!1,"string"==typeof e&&(e=this.splitLines(e)),Fi(this,{from:o,to:o,text:e}),io(this,Pi(o),V)};No.prototype=te(So.prototype,{constructor:No,iter:function(e,t,n){n?this.iterN(e-this.first,t-e,n):this.iterN(this.first,this.first+this.size,e)},insert:function(e,t){for(var n=0,r=0;r<t.length;++r)n+=t[r].height;this.insertInner(e-this.first,t,n)},remove:function(e,t){this.removeInner(e-this.first,t)},getValue:function(e){var t=Qe(this,this.first,this.first+this.size);return!1===e?t:t.join(e||this.lineSeparator())},setValue:ai((function(e){var t=it(this.first,0),n=this.first+this.size-1;mo(this,{from:t,to:it(n,Ze(this,n).text.length),text:this.splitLines(e),origin:"setValue",full:!0},!0),this.cm&&Nr(this.cm,0,0),io(this,Pi(t),V)})),replaceRange:function(e,t,n,r){wo(this,e,t=dt(this,t),n=n?dt(this,n):t,r)},getRange:function(e,t,n){var r=Xe(this,dt(this,e),dt(this,t));return!1===n?r:""===n?r.join(""):r.join(n||this.lineSeparator())},getLine:function(e){var t=this.getLineHandle(e);return t&&t.text},getLineHandle:function(e){if(nt(this,e))return Ze(this,e)},getLineNumber:function(e){return et(e)},getLineHandleVisualStart:function(e){return"number"==typeof e&&(e=Ze(this,e)),qt(e)},lineCount:function(){return this.size},firstLine:function(){return this.first},lastLine:function(){return this.first+this.size-1},clipPos:function(e){return dt(this,e)},getCursor:function(e){var t=this.sel.primary();return null==e||"head"==e?t.head:"anchor"==e?t.anchor:"end"==e||"to"==e||!1===e?t.to():t.from()},listSelections:function(){return this.sel.ranges},somethingSelected:function(){return this.sel.somethingSelected()},setCursor:ai((function(e,t,n){no(this,dt(this,"number"==typeof e?it(e,t||0):e),null,n)})),setSelection:ai((function(e,t,n){no(this,dt(this,e),dt(this,t||e),n)})),extendSelection:ai((function(e,t,n){Ji(this,dt(this,e),t&&dt(this,t),n)})),extendSelections:ai((function(e,t){eo(this,pt(this,e),t)})),extendSelectionsBy:ai((function(e,t){eo(this,pt(this,J(this.sel.ranges,e)),t)})),setSelections:ai((function(e,t,n){if(e.length){for(var r=[],i=0;i<e.length;i++)r[i]=new Ti(dt(this,e[i].anchor),dt(this,e[i].head||e[i].anchor));null==t&&(t=Math.min(e.length-1,this.sel.primIndex)),io(this,Oi(this.cm,r,t),n)}})),addSelection:ai((function(e,t,n){var r=this.sel.ranges.slice(0);r.push(new Ti(dt(this,e),dt(this,t||e))),io(this,Oi(this.cm,r,r.length-1),n)})),getSelection:function(e){for(var t,n=this.sel.ranges,r=0;r<n.length;r++){var i=Xe(this,n[r].from(),n[r].to());t=t?t.concat(i):i}return!1===e?t:t.join(e||this.lineSeparator())},getSelections:function(e){for(var t=[],n=this.sel.ranges,r=0;r<n.length;r++){var i=Xe(this,n[r].from(),n[r].to());!1!==e&&(i=i.join(e||this.lineSeparator())),t[r]=i}return t},replaceSelection:function(e,t,n){for(var r=[],i=0;i<this.sel.ranges.length;i++)r[i]=e;this.replaceSelections(r,t,n||"+input")},replaceSelections:ai((function(e,t,n){for(var r=[],i=this.sel,o=0;o<i.ranges.length;o++){var a=i.ranges[o];r[o]={from:a.from(),to:a.to(),text:this.splitLines(e[o]),origin:n}}for(var s=t&&"end"!=t&&function(e,t,n){for(var r=[],i=it(e.first,0),o=i,a=0;a<t.length;a++){var s=t[a],l=Mi(s.from,i,o),u=Mi(Di(s),i,o);if(i=s.to,o=u,"around"==n){var c=e.sel.ranges[a],d=ot(c.head,c.anchor)<0;r[a]=new Ti(d?u:l,d?l:u)}else r[a]=new Ti(l,l)}return new Ai(r,e.sel.primIndex)}(this,r,t),l=r.length-1;l>=0;l--)mo(this,r[l]);s?ro(this,s):this.cm&&Lr(this.cm)})),undo:ai((function(){vo(this,"undo")})),redo:ai((function(){vo(this,"redo")})),undoSelection:ai((function(){vo(this,"undo",!0)})),redoSelection:ai((function(){vo(this,"redo",!0)})),setExtending:function(e){this.extend=e},getExtending:function(){return this.extend},historySize:function(){for(var e=this.history,t=0,n=0,r=0;r<e.done.length;r++)e.done[r].ranges||++t;for(var i=0;i<e.undone.length;i++)e.undone[i].ranges||++n;return{undo:t,redo:n}},clearHistory:function(){var e=this;this.history=new qi(this.history),Bi(this,(function(t){return t.history=e.history}),!0)},markClean:function(){this.cleanGeneration=this.changeGeneration(!0)},changeGeneration:function(e){return e&&(this.history.lastOp=this.history.lastSelOp=this.history.lastOrigin=null),this.history.generation},isClean:function(e){return this.history.generation==(e||this.cleanGeneration)},getHistory:function(){return{done:Xi(this.history.done),undone:Xi(this.history.undone)}},setHistory:function(e){var t=this.history=new qi(this.history);t.done=Xi(e.done.slice(0),null,!0),t.undone=Xi(e.undone.slice(0),null,!0)},setGutterMarker:ai((function(e,t,n){return Eo(this,e,"gutter",(function(e){var r=e.gutterMarkers||(e.gutterMarkers={});return r[t]=n,!n&&oe(r)&&(e.gutterMarkers=null),!0}))})),clearGutter:ai((function(e){var t=this;this.iter((function(n){n.gutterMarkers&&n.gutterMarkers[e]&&Eo(t,n,"gutter",(function(){return n.gutterMarkers[e]=null,oe(n.gutterMarkers)&&(n.gutterMarkers=null),!0}))}))})),lineInfo:function(e){var t;if("number"==typeof e){if(!nt(this,e))return null;if(t=e,!(e=Ze(this,e)))return null}else if(null==(t=et(e)))return null;return{line:t,handle:e,text:e.text,gutterMarkers:e.gutterMarkers,textClass:e.textClass,bgClass:e.bgClass,wrapClass:e.wrapClass,widgets:e.widgets}},addLineClass:ai((function(e,t,n){return Eo(this,e,"gutter"==t?"gutter":"class",(function(e){var r="text"==t?"textClass":"background"==t?"bgClass":"gutter"==t?"gutterClass":"wrapClass";if(e[r]){if(C(n).test(e[r]))return!1;e[r]+=" "+n}else e[r]=n;return!0}))})),removeLineClass:ai((function(e,t,n){return Eo(this,e,"gutter"==t?"gutter":"class",(function(e){var r="text"==t?"textClass":"background"==t?"bgClass":"gutter"==t?"gutterClass":"wrapClass",i=e[r];if(!i)return!1;if(null==n)e[r]=null;else{var o=i.match(C(n));if(!o)return!1;var a=o.index+o[0].length;e[r]=i.slice(0,o.index)+(o.index&&a!=i.length?" ":"")+i.slice(a)||null}return!0}))})),addLineWidget:ai((function(e,t,n){return function(e,t,n,r){var i=new Ao(e,n,r),o=e.cm;return o&&i.noHScroll&&(o.display.alignWidgets=!0),Eo(e,t,"widget",(function(t){var n=t.widgets||(t.widgets=[]);if(null==i.insertAt?n.push(i):n.splice(Math.min(n.length,Math.max(0,i.insertAt)),0,i),i.line=t,o&&!Ht(e,t)){var r=Gt(t)<e.scrollTop;Je(t,t.height+Cn(i)),r&&Mr(o,i.height),o.curOp.forceUpdate=!0}return!0})),o&&pn(o,"lineWidgetAdded",o,i,"number"==typeof t?t:et(t)),i}(this,e,t,n)})),removeLineWidget:function(e){e.clear()},markText:function(e,t,n){return Do(this,dt(this,e),dt(this,t),n,n&&n.type||"range")},setBookmark:function(e,t){var n={replacedWith:t&&(null==t.nodeType?t.widget:t),insertLeft:t&&t.insertLeft,clearWhenEmpty:!1,shared:t&&t.shared,handleMouseEvents:t&&t.handleMouseEvents};return Do(this,e=dt(this,e),e,n,"bookmark")},findMarksAt:function(e){var t=[],n=Ze(this,(e=dt(this,e)).line).markedSpans;if(n)for(var r=0;r<n.length;++r){var i=n[r];(null==i.from||i.from<=e.ch)&&(null==i.to||i.to>=e.ch)&&t.push(i.marker.parent||i.marker)}return t},findMarks:function(e,t,n){e=dt(this,e),t=dt(this,t);var r=[],i=e.line;return this.iter(e.line,t.line+1,(function(o){var a=o.markedSpans;if(a)for(var s=0;s<a.length;s++){var l=a[s];null!=l.to&&i==e.line&&e.ch>=l.to||null==l.from&&i!=e.line||null!=l.from&&i==t.line&&l.from>=t.ch||n&&!n(l.marker)||r.push(l.marker.parent||l.marker)}++i})),r},getAllMarks:function(){var e=[];return this.iter((function(t){var n=t.markedSpans;if(n)for(var r=0;r<n.length;++r)null!=n[r].from&&e.push(n[r].marker)})),e},posFromIndex:function(e){var t,n=this.first,r=this.lineSeparator().length;return this.iter((function(i){var o=i.text.length+r;if(o>e)return t=e,!0;e-=o,++n})),dt(this,it(n,t))},indexFromPos:function(e){var t=(e=dt(this,e)).ch;if(e.line<this.first||e.ch<0)return 0;var n=this.lineSeparator().length;return this.iter(this.first,e.line,(function(e){t+=e.text.length+n})),t},copy:function(e){var t=new No(Qe(this,this.first,this.first+this.size),this.modeOption,this.first,this.lineSep,this.direction);return t.scrollTop=this.scrollTop,t.scrollLeft=this.scrollLeft,t.sel=this.sel,t.extend=!1,e&&(t.history.undoDepth=this.history.undoDepth,t.setHistory(this.getHistory())),t},linkedDoc:function(e){e||(e={});var t=this.first,n=this.first+this.size;null!=e.from&&e.from>t&&(t=e.from),null!=e.to&&e.to<n&&(n=e.to);var r=new No(Qe(this,t,n),e.mode||this.modeOption,t,this.lineSep,this.direction);return e.sharedHist&&(r.history=this.history),(this.linked||(this.linked=[])).push({doc:r,sharedHist:e.sharedHist}),r.linked=[{doc:this,isParent:!0,sharedHist:e.sharedHist}],function(e,t){for(var n=0;n<t.length;n++){var r=t[n],i=r.find(),o=e.clipPos(i.from),a=e.clipPos(i.to);if(ot(o,a)){var s=Do(e,o,a,r.primary,r.primary.type);r.markers.push(s),s.parent=r}}}(r,Io(this)),r},unlinkDoc:function(e){if(e instanceof Ra&&(e=e.doc),this.linked)for(var t=0;t<this.linked.length;++t)if(this.linked[t].doc==e){this.linked.splice(t,1),e.unlinkDoc(this),Mo(Io(this));break}if(e.history==this.history){var n=[e.id];Bi(e,(function(e){return n.push(e.id)}),!0),e.history=new qi(null),e.history.done=Xi(this.history.done,n),e.history.undone=Xi(this.history.undone,n)}},iterLinkedDocs:function(e){Bi(this,e)},getMode:function(){return this.mode},getEditor:function(){return this.cm},splitLines:function(e){return this.lineSep?e.split(this.lineSep):Le(e)},lineSeparator:function(){return this.lineSep||"\n"},setDirection:ai((function(e){var t;"rtl"!=e&&(e="ltr"),e!=this.direction&&(this.direction=e,this.iter((function(e){return e.order=null})),this.cm&&ri(t=this.cm,(function(){Ui(t),mr(t)})))}))}),No.prototype.eachLine=No.prototype.iter;var jo=0;function Fo(e){var t=this;if(Bo(t),!be(t,e)&&!Sn(t.display,e)){ke(e),a&&(jo=+new Date);var n=fr(t,e,!0),r=e.dataTransfer.files;if(n&&!t.isReadOnly())if(r&&r.length&&window.FileReader&&window.File)for(var i=r.length,o=Array(i),s=0,l=function(){++s==i&&ii(t,(function(){var e={from:n=dt(t.doc,n),to:n,text:t.doc.splitLines(o.filter((function(e){return null!=e})).join(t.doc.lineSeparator())),origin:"paste"};mo(t.doc,e),ro(t.doc,Pi(dt(t.doc,n),dt(t.doc,Di(e))))}))()},u=function(e,n){if(t.options.allowDropFileTypes&&-1==$(t.options.allowDropFileTypes,e.type))l();else{var r=new FileReader;r.onerror=function(){return l()},r.onload=function(){var e=r.result;/[\x00-\x08\x0e-\x1f]{2}/.test(e)||(o[n]=e),l()},r.readAsText(e)}},c=0;c<r.length;c++)u(r[c],c);else{if(t.state.draggingText&&t.doc.sel.contains(n)>-1)return t.state.draggingText(e),void setTimeout((function(){return t.display.input.focus()}),20);try{var d=e.dataTransfer.getData("Text");if(d){var p;if(t.state.draggingText&&!t.state.draggingText.copy&&(p=t.listSelections()),oo(t.doc,Pi(n,n)),p)for(var f=0;f<p.length;++f)wo(t.doc,"",p[f].anchor,p[f].head,"drag");t.replaceSelection(d,"around","paste"),t.display.input.focus()}}catch(e){}}}}function Bo(e){e.display.dragCursor&&(e.display.lineSpace.removeChild(e.display.dragCursor),e.display.dragCursor=null)}function zo(e){if(document.getElementsByClassName){for(var t=document.getElementsByClassName("CodeMirror"),n=[],r=0;r<t.length;r++){var i=t[r].CodeMirror;i&&n.push(i)}n.length&&n[0].operation((function(){for(var t=0;t<n.length;t++)e(n[t])}))}}var Uo=!1;function qo(){var e;Uo||(me(window,"resize",(function(){null==e&&(e=setTimeout((function(){e=null,zo($o)}),100))})),me(window,"blur",(function(){return zo(Or)})),Uo=!0)}function $o(e){var t=e.display;t.cachedCharWidth=t.cachedTextHeight=t.cachedPaddingH=null,t.scrollbarsClipped=!1,e.setSize()}for(var Wo={3:"Pause",8:"Backspace",9:"Tab",13:"Enter",16:"Shift",17:"Ctrl",18:"Alt",19:"Pause",20:"CapsLock",27:"Esc",32:"Space",33:"PageUp",34:"PageDown",35:"End",36:"Home",37:"Left",38:"Up",39:"Right",40:"Down",44:"PrintScrn",45:"Insert",46:"Delete",59:";",61:"=",91:"Mod",92:"Mod",93:"Mod",106:"*",107:"=",109:"-",110:".",111:"/",145:"ScrollLock",173:"-",186:";",187:"=",188:",",189:"-",190:".",191:"/",192:"`",219:"[",220:"\\",221:"]",222:"'",224:"Mod",63232:"Up",63233:"Down",63234:"Left",63235:"Right",63272:"Delete",63273:"Home",63275:"End",63276:"PageUp",63277:"PageDown",63302:"Insert"},Ho=0;Ho<10;Ho++)Wo[Ho+48]=Wo[Ho+96]=String(Ho);for(var Vo=65;Vo<=90;Vo++)Wo[Vo]=String.fromCharCode(Vo);for(var Go=1;Go<=12;Go++)Wo[Go+111]=Wo[Go+63235]="F"+Go;var Ko={};function Yo(e){var t,n,r,i,o=e.split(/-(?!$)/);e=o[o.length-1];for(var a=0;a<o.length-1;a++){var s=o[a];if(/^(cmd|meta|m)$/i.test(s))i=!0;else if(/^a(lt)?$/i.test(s))t=!0;else if(/^(c|ctrl|control)$/i.test(s))n=!0;else{if(!/^s(hift)?$/i.test(s))throw new Error("Unrecognized modifier name: "+s);r=!0}}return t&&(e="Alt-"+e),n&&(e="Ctrl-"+e),i&&(e="Cmd-"+e),r&&(e="Shift-"+e),e}function Zo(e){var t={};for(var n in e)if(e.hasOwnProperty(n)){var r=e[n];if(/^(name|fallthrough|(de|at)tach)$/.test(n))continue;if("..."==r){delete e[n];continue}for(var i=J(n.split(" "),Yo),o=0;o<i.length;o++){var a=void 0,s=void 0;o==i.length-1?(s=i.join(" "),a=r):(s=i.slice(0,o+1).join(" "),a="...");var l=t[s];if(l){if(l!=a)throw new Error("Inconsistent bindings for "+s)}else t[s]=a}delete e[n]}for(var u in t)e[u]=t[u];return e}function Xo(e,t,n,r){var i=(t=ta(t)).call?t.call(e,r):t[e];if(!1===i)return"nothing";if("..."===i)return"multi";if(null!=i&&n(i))return"handled";if(t.fallthrough){if("[object Array]"!=Object.prototype.toString.call(t.fallthrough))return Xo(e,t.fallthrough,n,r);for(var o=0;o<t.fallthrough.length;o++){var a=Xo(e,t.fallthrough[o],n,r);if(a)return a}}}function Qo(e){var t="string"==typeof e?e:Wo[e.keyCode];return"Ctrl"==t||"Alt"==t||"Shift"==t||"Mod"==t}function Jo(e,t,n){var r=e;return t.altKey&&"Alt"!=r&&(e="Alt-"+e),(k?t.metaKey:t.ctrlKey)&&"Ctrl"!=r&&(e="Ctrl-"+e),(k?t.ctrlKey:t.metaKey)&&"Mod"!=r&&(e="Cmd-"+e),!n&&t.shiftKey&&"Shift"!=r&&(e="Shift-"+e),e}function ea(e,t){if(p&&34==e.keyCode&&e.char)return!1;var n=Wo[e.keyCode];return null!=n&&!e.altGraphKey&&(3==e.keyCode&&e.code&&(n=e.code),Jo(n,e,t))}function ta(e){return"string"==typeof e?Ko[e]:e}function na(e,t){for(var n=e.doc.sel.ranges,r=[],i=0;i<n.length;i++){for(var o=t(n[i]);r.length&&ot(o.from,Q(r).to)<=0;){var a=r.pop();if(ot(a.from,o.from)<0){o.from=a.from;break}}r.push(o)}ri(e,(function(){for(var t=r.length-1;t>=0;t--)wo(e.doc,"",r[t].from,r[t].to,"+delete");Lr(e)}))}function ra(e,t,n){var r=le(e.text,t+n,n);return r<0||r>e.text.length?null:r}function ia(e,t,n){var r=ra(e,t.ch,n);return null==r?null:new it(t.line,r,n<0?"after":"before")}function oa(e,t,n,r,i){if(e){"rtl"==t.doc.direction&&(i=-i);var o=fe(n,t.doc.direction);if(o){var a,s=i<0?Q(o):o[0],l=i<0==(1==s.level)?"after":"before";if(s.level>0||"rtl"==t.doc.direction){var u=Nn(t,n);a=i<0?n.text.length-1:0;var c=jn(t,u,a).top;a=ue((function(e){return jn(t,u,e).top==c}),i<0==(1==s.level)?s.from:s.to-1,a),"before"==l&&(a=ra(n,a,1))}else a=i<0?s.to:s.from;return new it(r,a,l)}}return new it(r,i<0?n.text.length:0,i<0?"before":"after")}Ko.basic={Left:"goCharLeft",Right:"goCharRight",Up:"goLineUp",Down:"goLineDown",End:"goLineEnd",Home:"goLineStartSmart",PageUp:"goPageUp",PageDown:"goPageDown",Delete:"delCharAfter",Backspace:"delCharBefore","Shift-Backspace":"delCharBefore",Tab:"defaultTab","Shift-Tab":"indentAuto",Enter:"newlineAndIndent",Insert:"toggleOverwrite",Esc:"singleSelection"},Ko.pcDefault={"Ctrl-A":"selectAll","Ctrl-D":"deleteLine","Ctrl-Z":"undo","Shift-Ctrl-Z":"redo","Ctrl-Y":"redo","Ctrl-Home":"goDocStart","Ctrl-End":"goDocEnd","Ctrl-Up":"goLineUp","Ctrl-Down":"goLineDown","Ctrl-Left":"goGroupLeft","Ctrl-Right":"goGroupRight","Alt-Left":"goLineStart","Alt-Right":"goLineEnd","Ctrl-Backspace":"delGroupBefore","Ctrl-Delete":"delGroupAfter","Ctrl-S":"save","Ctrl-F":"find","Ctrl-G":"findNext","Shift-Ctrl-G":"findPrev","Shift-Ctrl-F":"replace","Shift-Ctrl-R":"replaceAll","Ctrl-[":"indentLess","Ctrl-]":"indentMore","Ctrl-U":"undoSelection","Shift-Ctrl-U":"redoSelection","Alt-U":"redoSelection",fallthrough:"basic"},Ko.emacsy={"Ctrl-F":"goCharRight","Ctrl-B":"goCharLeft","Ctrl-P":"goLineUp","Ctrl-N":"goLineDown","Ctrl-A":"goLineStart","Ctrl-E":"goLineEnd","Ctrl-V":"goPageDown","Shift-Ctrl-V":"goPageUp","Ctrl-D":"delCharAfter","Ctrl-H":"delCharBefore","Alt-Backspace":"delWordBefore","Ctrl-K":"killLine","Ctrl-T":"transposeChars","Ctrl-O":"openLine"},Ko.macDefault={"Cmd-A":"selectAll","Cmd-D":"deleteLine","Cmd-Z":"undo","Shift-Cmd-Z":"redo","Cmd-Y":"redo","Cmd-Home":"goDocStart","Cmd-Up":"goDocStart","Cmd-End":"goDocEnd","Cmd-Down":"goDocEnd","Alt-Left":"goGroupLeft","Alt-Right":"goGroupRight","Cmd-Left":"goLineLeft","Cmd-Right":"goLineRight","Alt-Backspace":"delGroupBefore","Ctrl-Alt-Backspace":"delGroupAfter","Alt-Delete":"delGroupAfter","Cmd-S":"save","Cmd-F":"find","Cmd-G":"findNext","Shift-Cmd-G":"findPrev","Cmd-Alt-F":"replace","Shift-Cmd-Alt-F":"replaceAll","Cmd-[":"indentLess","Cmd-]":"indentMore","Cmd-Backspace":"delWrappedLineLeft","Cmd-Delete":"delWrappedLineRight","Cmd-U":"undoSelection","Shift-Cmd-U":"redoSelection","Ctrl-Up":"goDocStart","Ctrl-Down":"goDocEnd",fallthrough:["basic","emacsy"]},Ko.default=b?Ko.macDefault:Ko.pcDefault;var aa={selectAll:fo,singleSelection:function(e){return e.setSelection(e.getCursor("anchor"),e.getCursor("head"),V)},killLine:function(e){return na(e,(function(t){if(t.empty()){var n=Ze(e.doc,t.head.line).text.length;return t.head.ch==n&&t.head.line<e.lastLine()?{from:t.head,to:it(t.head.line+1,0)}:{from:t.head,to:it(t.head.line,n)}}return{from:t.from(),to:t.to()}}))},deleteLine:function(e){return na(e,(function(t){return{from:it(t.from().line,0),to:dt(e.doc,it(t.to().line+1,0))}}))},delLineLeft:function(e){return na(e,(function(e){return{from:it(e.from().line,0),to:e.from()}}))},delWrappedLineLeft:function(e){return na(e,(function(t){var n=e.charCoords(t.head,"div").top+5;return{from:e.coordsChar({left:0,top:n},"div"),to:t.from()}}))},delWrappedLineRight:function(e){return na(e,(function(t){var n=e.charCoords(t.head,"div").top+5,r=e.coordsChar({left:e.display.lineDiv.offsetWidth+100,top:n},"div");return{from:t.from(),to:r}}))},undo:function(e){return e.undo()},redo:function(e){return e.redo()},undoSelection:function(e){return e.undoSelection()},redoSelection:function(e){return e.redoSelection()},goDocStart:function(e){return e.extendSelection(it(e.firstLine(),0))},goDocEnd:function(e){return e.extendSelection(it(e.lastLine()))},goLineStart:function(e){return e.extendSelectionsBy((function(t){return sa(e,t.head.line)}),{origin:"+move",bias:1})},goLineStartSmart:function(e){return e.extendSelectionsBy((function(t){return la(e,t.head)}),{origin:"+move",bias:1})},goLineEnd:function(e){return e.extendSelectionsBy((function(t){return function(e,t){var n=Ze(e.doc,t),r=function(e){for(var t;t=Bt(e);)e=t.find(1,!0).line;return e}(n);return r!=n&&(t=et(r)),oa(!0,e,n,t,-1)}(e,t.head.line)}),{origin:"+move",bias:-1})},goLineRight:function(e){return e.extendSelectionsBy((function(t){var n=e.cursorCoords(t.head,"div").top+5;return e.coordsChar({left:e.display.lineDiv.offsetWidth+100,top:n},"div")}),K)},goLineLeft:function(e){return e.extendSelectionsBy((function(t){var n=e.cursorCoords(t.head,"div").top+5;return e.coordsChar({left:0,top:n},"div")}),K)},goLineLeftSmart:function(e){return e.extendSelectionsBy((function(t){var n=e.cursorCoords(t.head,"div").top+5,r=e.coordsChar({left:0,top:n},"div");return r.ch<e.getLine(r.line).search(/\S/)?la(e,t.head):r}),K)},goLineUp:function(e){return e.moveV(-1,"line")},goLineDown:function(e){return e.moveV(1,"line")},goPageUp:function(e){return e.moveV(-1,"page")},goPageDown:function(e){return e.moveV(1,"page")},goCharLeft:function(e){return e.moveH(-1,"char")},goCharRight:function(e){return e.moveH(1,"char")},goColumnLeft:function(e){return e.moveH(-1,"column")},goColumnRight:function(e){return e.moveH(1,"column")},goWordLeft:function(e){return e.moveH(-1,"word")},goGroupRight:function(e){return e.moveH(1,"group")},goGroupLeft:function(e){return e.moveH(-1,"group")},goWordRight:function(e){return e.moveH(1,"word")},delCharBefore:function(e){return e.deleteH(-1,"codepoint")},delCharAfter:function(e){return e.deleteH(1,"char")},delWordBefore:function(e){return e.deleteH(-1,"word")},delWordAfter:function(e){return e.deleteH(1,"word")},delGroupBefore:function(e){return e.deleteH(-1,"group")},delGroupAfter:function(e){return e.deleteH(1,"group")},indentAuto:function(e){return e.indentSelection("smart")},indentMore:function(e){return e.indentSelection("add")},indentLess:function(e){return e.indentSelection("subtract")},insertTab:function(e){return e.replaceSelection("\t")},insertSoftTab:function(e){for(var t=[],n=e.listSelections(),r=e.options.tabSize,i=0;i<n.length;i++){var o=n[i].from(),a=U(e.getLine(o.line),o.ch,r);t.push(X(r-a%r))}e.replaceSelections(t)},defaultTab:function(e){e.somethingSelected()?e.indentSelection("add"):e.execCommand("insertTab")},transposeChars:function(e){return ri(e,(function(){for(var t=e.listSelections(),n=[],r=0;r<t.length;r++)if(t[r].empty()){var i=t[r].head,o=Ze(e.doc,i.line).text;if(o)if(i.ch==o.length&&(i=new it(i.line,i.ch-1)),i.ch>0)i=new it(i.line,i.ch+1),e.replaceRange(o.charAt(i.ch-1)+o.charAt(i.ch-2),it(i.line,i.ch-2),i,"+transpose");else if(i.line>e.doc.first){var a=Ze(e.doc,i.line-1).text;a&&(i=new it(i.line,1),e.replaceRange(o.charAt(0)+e.doc.lineSeparator()+a.charAt(a.length-1),it(i.line-1,a.length-1),i,"+transpose"))}n.push(new Ti(i,i))}e.setSelections(n)}))},newlineAndIndent:function(e){return ri(e,(function(){for(var t=e.listSelections(),n=t.length-1;n>=0;n--)e.replaceRange(e.doc.lineSeparator(),t[n].anchor,t[n].head,"+input");t=e.listSelections();for(var r=0;r<t.length;r++)e.indentLine(t[r].from().line,null,!0);Lr(e)}))},openLine:function(e){return e.replaceSelection("\n","start")},toggleOverwrite:function(e){return e.toggleOverwrite()}};function sa(e,t){var n=Ze(e.doc,t),r=qt(n);return r!=n&&(t=et(r)),oa(!0,e,r,t,1)}function la(e,t){var n=sa(e,t.line),r=Ze(e.doc,n.line),i=fe(r,e.doc.direction);if(!i||0==i[0].level){var o=Math.max(n.ch,r.text.search(/\S/)),a=t.line==n.line&&t.ch<=o&&t.ch;return it(n.line,a?0:o,n.sticky)}return n}function ua(e,t,n){if("string"==typeof t&&!(t=aa[t]))return!1;e.display.input.ensurePolled();var r=e.display.shift,i=!1;try{e.isReadOnly()&&(e.state.suppressEdits=!0),n&&(e.display.shift=!1),i=t(e)!=H}finally{e.display.shift=r,e.state.suppressEdits=!1}return i}var ca=new q;function da(e,t,n,r){var i=e.state.keySeq;if(i){if(Qo(t))return"handled";if(/\'$/.test(t)?e.state.keySeq=null:ca.set(50,(function(){e.state.keySeq==i&&(e.state.keySeq=null,e.display.input.reset())})),pa(e,i+" "+t,n,r))return!0}return pa(e,t,n,r)}function pa(e,t,n,r){var i=function(e,t,n){for(var r=0;r<e.state.keyMaps.length;r++){var i=Xo(t,e.state.keyMaps[r],n,e);if(i)return i}return e.options.extraKeys&&Xo(t,e.options.extraKeys,n,e)||Xo(t,e.options.keyMap,n,e)}(e,t,r);return"multi"==i&&(e.state.keySeq=t),"handled"==i&&pn(e,"keyHandled",e,t,n),"handled"!=i&&"multi"!=i||(ke(n),Cr(e)),!!i}function fa(e,t){var n=ea(t,!0);return!!n&&(t.shiftKey&&!e.state.keySeq?da(e,"Shift-"+n,t,(function(t){return ua(e,t,!0)}))||da(e,n,t,(function(t){if("string"==typeof t?/^go[A-Z]/.test(t):t.motion)return ua(e,t)})):da(e,n,t,(function(t){return ua(e,t)})))}var ha=null;function ma(e){var t=this;if(!(e.target&&e.target!=t.display.input.getField()||(t.curOp.focus=I(j(t)),be(t,e)))){a&&s<11&&27==e.keyCode&&(e.returnValue=!1);var r=e.keyCode;t.display.shift=16==r||e.shiftKey;var i=fa(t,e);p&&(ha=i?r:null,i||88!=r||je||!(b?e.metaKey:e.ctrlKey)||t.replaceSelection("",null,"cut")),n&&!b&&!i&&46==r&&e.shiftKey&&!e.ctrlKey&&document.execCommand&&document.execCommand("cut"),18!=r||/\bCodeMirror-crosshair\b/.test(t.display.lineDiv.className)||function(e){var t=e.display.lineDiv;function n(e){18!=e.keyCode&&e.altKey||(A(t,"CodeMirror-crosshair"),ve(document,"keyup",n),ve(document,"mouseover",n))}M(t,"CodeMirror-crosshair"),me(document,"keyup",n),me(document,"mouseover",n)}(t)}}function ga(e){16==e.keyCode&&(this.doc.sel.shift=!1),be(this,e)}function va(e){var t=this;if(!(e.target&&e.target!=t.display.input.getField()||Sn(t.display,e)||be(t,e)||e.ctrlKey&&!e.altKey||b&&e.metaKey)){var n=e.keyCode,r=e.charCode;if(p&&n==ha)return ha=null,void ke(e);if(!p||e.which&&!(e.which<10)||!fa(t,e)){var i=String.fromCharCode(null==r?n:r);"\b"!=i&&(function(e,t,n){return da(e,"'"+n+"'",t,(function(t){return ua(e,t,!0)}))}(t,e,i)||t.display.input.onKeyPress(e))}}}var ya,ba,wa=function(e,t,n){this.time=e,this.pos=t,this.button=n};function _a(e){var t=this,n=t.display;if(!(be(t,e)||n.activeTouch&&n.input.supportsTouch()))if(n.input.ensurePolled(),n.shift=e.shiftKey,Sn(n,e))l||(n.scroller.draggable=!1,setTimeout((function(){return n.scroller.draggable=!0}),100));else if(!Ea(t,e)){var r=fr(t,e),i=Te(e),o=r?function(e,t){var n=+new Date;return ba&&ba.compare(n,e,t)?(ya=ba=null,"triple"):ya&&ya.compare(n,e,t)?(ba=new wa(n,e,t),ya=null,"double"):(ya=new wa(n,e,t),ba=null,"single")}(r,i):"single";F(t).focus(),1==i&&t.state.selectingText&&t.state.selectingText(e),r&&function(e,t,n,r,i){var o="Click";return"double"==r?o="Double"+o:"triple"==r&&(o="Triple"+o),da(e,Jo(o=(1==t?"Left":2==t?"Middle":"Right")+o,i),i,(function(t){if("string"==typeof t&&(t=aa[t]),!t)return!1;var r=!1;try{e.isReadOnly()&&(e.state.suppressEdits=!0),r=t(e,n)!=H}finally{e.state.suppressEdits=!1}return r}))}(t,i,r,o,e)||(1==i?r?function(e,t,n,r){a?setTimeout(B(Sr,e),0):e.curOp.focus=I(j(e));var i,o=function(e,t,n){var r=e.getOption("configureMouse"),i=r?r(e,t,n):{};if(null==i.unit){var o=w?n.shiftKey&&n.metaKey:n.altKey;i.unit=o?"rectangle":"single"==t?"char":"double"==t?"word":"line"}return(null==i.extend||e.doc.extend)&&(i.extend=e.doc.extend||n.shiftKey),null==i.addNew&&(i.addNew=b?n.metaKey:n.ctrlKey),null==i.moveOnDrag&&(i.moveOnDrag=!(b?n.altKey:n.ctrlKey)),i}(e,n,r),u=e.doc.sel;e.options.dragDrop&&De&&!e.isReadOnly()&&"single"==n&&(i=u.contains(t))>-1&&(ot((i=u.ranges[i]).from(),t)<0||t.xRel>0)&&(ot(i.to(),t)>0||t.xRel<0)?function(e,t,n,r){var i=e.display,o=!1,u=ii(e,(function(t){l&&(i.scroller.draggable=!1),e.state.draggingText=!1,e.state.delayingBlurEvent&&(e.hasFocus()?e.state.delayingBlurEvent=!1:Ar(e)),ve(i.wrapper.ownerDocument,"mouseup",u),ve(i.wrapper.ownerDocument,"mousemove",c),ve(i.scroller,"dragstart",d),ve(i.scroller,"drop",u),o||(ke(t),r.addNew||Ji(e.doc,n,null,null,r.extend),l&&!f||a&&9==s?setTimeout((function(){i.wrapper.ownerDocument.body.focus({preventScroll:!0}),i.input.focus()}),20):i.input.focus())})),c=function(e){o=o||Math.abs(t.clientX-e.clientX)+Math.abs(t.clientY-e.clientY)>=10},d=function(){return o=!0};l&&(i.scroller.draggable=!0),e.state.draggingText=u,u.copy=!r.moveOnDrag,me(i.wrapper.ownerDocument,"mouseup",u),me(i.wrapper.ownerDocument,"mousemove",c),me(i.scroller,"dragstart",d),me(i.scroller,"drop",u),e.state.delayingBlurEvent=!0,setTimeout((function(){return i.input.focus()}),20),i.scroller.dragDrop&&i.scroller.dragDrop()}(e,r,t,o):function(e,t,n,r){a&&Ar(e);var i=e.display,o=e.doc;ke(t);var s,l,u=o.sel,c=u.ranges;if(r.addNew&&!r.extend?(l=o.sel.contains(n),s=l>-1?c[l]:new Ti(n,n)):(s=o.sel.primary(),l=o.sel.primIndex),"rectangle"==r.unit)r.addNew||(s=new Ti(n,n)),n=fr(e,t,!0,!0),l=-1;else{var d=xa(e,n,r.unit);s=r.extend?Qi(s,d.anchor,d.head,r.extend):d}r.addNew?-1==l?(l=c.length,io(o,Oi(e,c.concat([s]),l),{scroll:!1,origin:"*mouse"})):c.length>1&&c[l].empty()&&"char"==r.unit&&!r.extend?(io(o,Oi(e,c.slice(0,l).concat(c.slice(l+1)),0),{scroll:!1,origin:"*mouse"}),u=o.sel):to(o,l,s,G):(l=0,io(o,new Ai([s],0),G),u=o.sel);var p=n;function f(t){if(0!=ot(p,t))if(p=t,"rectangle"==r.unit){for(var i=[],a=e.options.tabSize,c=U(Ze(o,n.line).text,n.ch,a),d=U(Ze(o,t.line).text,t.ch,a),f=Math.min(c,d),h=Math.max(c,d),m=Math.min(n.line,t.line),g=Math.min(e.lastLine(),Math.max(n.line,t.line));m<=g;m++){var v=Ze(o,m).text,y=Y(v,f,a);f==h?i.push(new Ti(it(m,y),it(m,y))):v.length>y&&i.push(new Ti(it(m,y),it(m,Y(v,h,a))))}i.length||i.push(new Ti(n,n)),io(o,Oi(e,u.ranges.slice(0,l).concat(i),l),{origin:"*mouse",scroll:!1}),e.scrollIntoView(t)}else{var b,w=s,_=xa(e,t,r.unit),x=w.anchor;ot(_.anchor,x)>0?(b=_.head,x=ut(w.from(),_.anchor)):(b=_.anchor,x=lt(w.to(),_.head));var k=u.ranges.slice(0);k[l]=function(e,t){var n=t.anchor,r=t.head,i=Ze(e.doc,n.line);if(0==ot(n,r)&&n.sticky==r.sticky)return t;var o=fe(i);if(!o)return t;var a=de(o,n.ch,n.sticky),s=o[a];if(s.from!=n.ch&&s.to!=n.ch)return t;var l,u=a+(s.from==n.ch==(1!=s.level)?0:1);if(0==u||u==o.length)return t;if(r.line!=n.line)l=(r.line-n.line)*("ltr"==e.doc.direction?1:-1)>0;else{var c=de(o,r.ch,r.sticky),d=c-a||(r.ch-n.ch)*(1==s.level?-1:1);l=c==u-1||c==u?d<0:d>0}var p=o[u+(l?-1:0)],f=l==(1==p.level),h=f?p.from:p.to,m=f?"after":"before";return n.ch==h&&n.sticky==m?t:new Ti(new it(n.line,h,m),r)}(e,new Ti(dt(o,x),b)),io(o,Oi(e,k,l),G)}}var h=i.wrapper.getBoundingClientRect(),m=0;function g(t){var n=++m,a=fr(e,t,!0,"rectangle"==r.unit);if(a)if(0!=ot(a,p)){e.curOp.focus=I(j(e)),f(a);var s=Rr(i,o);(a.line>=s.to||a.line<s.from)&&setTimeout(ii(e,(function(){m==n&&g(t)})),150)}else{var l=t.clientY<h.top?-20:t.clientY>h.bottom?20:0;l&&setTimeout(ii(e,(function(){m==n&&(i.scroller.scrollTop+=l,g(t))})),50)}}function v(t){e.state.selectingText=!1,m=1/0,t&&(ke(t),i.input.focus()),ve(i.wrapper.ownerDocument,"mousemove",y),ve(i.wrapper.ownerDocument,"mouseup",b),o.history.lastSelOrigin=null}var y=ii(e,(function(e){0!==e.buttons&&Te(e)?g(e):v(e)})),b=ii(e,v);e.state.selectingText=b,me(i.wrapper.ownerDocument,"mousemove",y),me(i.wrapper.ownerDocument,"mouseup",b)}(e,r,t,o)}(t,r,o,e):Ae(e)==n.scroller&&ke(e):2==i?(r&&Ji(t.doc,r),setTimeout((function(){return n.input.focus()}),20)):3==i&&(E?t.display.input.onContextMenu(e):Ar(t)))}}function xa(e,t,n){if("char"==n)return new Ti(t,t);if("word"==n)return e.findWordAt(t);if("line"==n)return new Ti(it(t.line,0),dt(e.doc,it(t.line+1,0)));var r=n(e,t);return new Ti(r.from,r.to)}function ka(e,t,n,r){var i,o;if(t.touches)i=t.touches[0].clientX,o=t.touches[0].clientY;else try{i=t.clientX,o=t.clientY}catch(e){return!1}if(i>=Math.floor(e.display.gutters.getBoundingClientRect().right))return!1;r&&ke(t);var a=e.display,s=a.lineDiv.getBoundingClientRect();if(o>s.bottom||!_e(e,n))return Ce(t);o-=s.top-a.viewOffset;for(var l=0;l<e.display.gutterSpecs.length;++l){var u=a.gutters.childNodes[l];if(u&&u.getBoundingClientRect().right>=i)return ye(e,n,e,tt(e.doc,o),e.display.gutterSpecs[l].className,t),Ce(t)}}function Ea(e,t){return ka(e,t,"gutterClick",!0)}function Ca(e,t){Sn(e.display,t)||function(e,t){return!!_e(e,"gutterContextMenu")&&ka(e,t,"gutterContextMenu",!1)}(e,t)||be(e,t,"contextmenu")||E||e.display.input.onContextMenu(t)}function Sa(e){e.display.wrapper.className=e.display.wrapper.className.replace(/\s*cm-s-\S+/g,"")+e.options.theme.replace(/(^|\s)\s*/g," cm-s-"),Wn(e)}wa.prototype.compare=function(e,t,n){return this.time+400>e&&0==ot(t,this.pos)&&n==this.button};var Aa={toString:function(){return"CodeMirror.Init"}},Ta={},Oa={};function Pa(e,t,n){if(!t!=!(n&&n!=Aa)){var r=e.display.dragFunctions,i=t?me:ve;i(e.display.scroller,"dragstart",r.start),i(e.display.scroller,"dragenter",r.enter),i(e.display.scroller,"dragover",r.over),i(e.display.scroller,"dragleave",r.leave),i(e.display.scroller,"drop",r.drop)}}function Da(e){e.options.lineWrapping?(M(e.display.wrapper,"CodeMirror-wrap"),e.display.sizer.style.minWidth="",e.display.sizerWidth=null):(A(e.display.wrapper,"CodeMirror-wrap"),Yt(e)),pr(e),mr(e),Wn(e),setTimeout((function(){return Hr(e)}),100)}function Ra(e,t){var n=this;if(!(this instanceof Ra))return new Ra(e,t);this.options=t=t?z(t):{},z(Ta,t,!1);var r=t.value;"string"==typeof r?r=new No(r,t.mode,null,t.lineSeparator,t.direction):t.mode&&(r.modeOption=t.mode),this.doc=r;var i=new Ra.inputStyles[t.inputStyle](this),o=this.display=new _i(e,r,i,t);for(var u in o.wrapper.CodeMirror=this,Sa(this),t.lineWrapping&&(this.display.wrapper.className+=" CodeMirror-wrap"),Kr(this),this.state={keyMaps:[],overlays:[],modeGen:0,overwrite:!1,delayingBlurEvent:!1,focused:!1,suppressEdits:!1,pasteIncoming:-1,cutIncoming:-1,selectingText:!1,draggingText:!1,highlight:new q,keySeq:null,specialChars:null},t.autofocus&&!y&&o.input.focus(),a&&s<11&&setTimeout((function(){return n.display.input.reset(!0)}),20),function(e){var t=e.display;me(t.scroller,"mousedown",ii(e,_a)),me(t.scroller,"dblclick",a&&s<11?ii(e,(function(t){if(!be(e,t)){var n=fr(e,t);if(n&&!Ea(e,t)&&!Sn(e.display,t)){ke(t);var r=e.findWordAt(n);Ji(e.doc,r.anchor,r.head)}}})):function(t){return be(e,t)||ke(t)}),me(t.scroller,"contextmenu",(function(t){return Ca(e,t)})),me(t.input.getField(),"contextmenu",(function(n){t.scroller.contains(n.target)||Ca(e,n)}));var n,r={end:0};function i(){t.activeTouch&&(n=setTimeout((function(){return t.activeTouch=null}),1e3),(r=t.activeTouch).end=+new Date)}function o(e){if(1!=e.touches.length)return!1;var t=e.touches[0];return t.radiusX<=1&&t.radiusY<=1}function l(e,t){if(null==t.left)return!0;var n=t.left-e.left,r=t.top-e.top;return n*n+r*r>400}me(t.scroller,"touchstart",(function(i){if(!be(e,i)&&!o(i)&&!Ea(e,i)){t.input.ensurePolled(),clearTimeout(n);var a=+new Date;t.activeTouch={start:a,moved:!1,prev:a-r.end<=300?r:null},1==i.touches.length&&(t.activeTouch.left=i.touches[0].pageX,t.activeTouch.top=i.touches[0].pageY)}})),me(t.scroller,"touchmove",(function(){t.activeTouch&&(t.activeTouch.moved=!0)})),me(t.scroller,"touchend",(function(n){var r=t.activeTouch;if(r&&!Sn(t,n)&&null!=r.left&&!r.moved&&new Date-r.start<300){var o,a=e.coordsChar(t.activeTouch,"page");o=!r.prev||l(r,r.prev)?new Ti(a,a):!r.prev.prev||l(r,r.prev.prev)?e.findWordAt(a):new Ti(it(a.line,0),dt(e.doc,it(a.line+1,0))),e.setSelection(o.anchor,o.head),e.focus(),ke(n)}i()})),me(t.scroller,"touchcancel",i),me(t.scroller,"scroll",(function(){t.scroller.clientHeight&&(Br(e,t.scroller.scrollTop),Ur(e,t.scroller.scrollLeft,!0),ye(e,"scroll",e))})),me(t.scroller,"mousewheel",(function(t){return Si(e,t)})),me(t.scroller,"DOMMouseScroll",(function(t){return Si(e,t)})),me(t.wrapper,"scroll",(function(){return t.wrapper.scrollTop=t.wrapper.scrollLeft=0})),t.dragFunctions={enter:function(t){be(e,t)||Se(t)},over:function(t){be(e,t)||(function(e,t){var n=fr(e,t);if(n){var r=document.createDocumentFragment();xr(e,n,r),e.display.dragCursor||(e.display.dragCursor=P("div",null,"CodeMirror-cursors CodeMirror-dragcursors"),e.display.lineSpace.insertBefore(e.display.dragCursor,e.display.cursorDiv)),O(e.display.dragCursor,r)}}(e,t),Se(t))},start:function(t){return function(e,t){if(a&&(!e.state.draggingText||+new Date-jo<100))Se(t);else if(!be(e,t)&&!Sn(e.display,t)&&(t.dataTransfer.setData("Text",e.getSelection()),t.dataTransfer.effectAllowed="copyMove",t.dataTransfer.setDragImage&&!f)){var n=P("img",null,null,"position: fixed; left: 0; top: 0;");n.src="data:image/gif;base64,R0lGODlhAQABAAAAACH5BAEKAAEALAAAAAABAAEAAAICTAEAOw==",p&&(n.width=n.height=1,e.display.wrapper.appendChild(n),n._top=n.offsetTop),t.dataTransfer.setDragImage(n,0,0),p&&n.parentNode.removeChild(n)}}(e,t)},drop:ii(e,Fo),leave:function(t){be(e,t)||Bo(e)}};var u=t.input.getField();me(u,"keyup",(function(t){return ga.call(e,t)})),me(u,"keydown",ii(e,ma)),me(u,"keypress",ii(e,va)),me(u,"focus",(function(t){return Tr(e,t)})),me(u,"blur",(function(t){return Or(e,t)}))}(this),qo(),Zr(this),this.curOp.forceUpdate=!0,zi(this,r),t.autofocus&&!y||this.hasFocus()?setTimeout((function(){n.hasFocus()&&!n.state.focused&&Tr(n)}),20):Or(this),Oa)Oa.hasOwnProperty(u)&&Oa[u](this,t[u],Aa);vi(this),t.finishInit&&t.finishInit(this);for(var c=0;c<Ia.length;++c)Ia[c](this);Xr(this),l&&t.lineWrapping&&"optimizelegibility"==getComputedStyle(o.lineDiv).textRendering&&(o.lineDiv.style.textRendering="auto")}Ra.defaults=Ta,Ra.optionHandlers=Oa;var Ia=[];function Ma(e,t,n,r){var i,o=e.doc;null==n&&(n="add"),"smart"==n&&(o.mode.indent?i=vt(e,t).state:n="prev");var a=e.options.tabSize,s=Ze(o,t),l=U(s.text,null,a);s.stateAfter&&(s.stateAfter=null);var u,c=s.text.match(/^\s*/)[0];if(r||/\S/.test(s.text)){if("smart"==n&&((u=o.mode.indent(i,s.text.slice(c.length),s.text))==H||u>150)){if(!r)return;n="prev"}}else u=0,n="not";"prev"==n?u=t>o.first?U(Ze(o,t-1).text,null,a):0:"add"==n?u=l+e.options.indentUnit:"subtract"==n?u=l-e.options.indentUnit:"number"==typeof n&&(u=l+n),u=Math.max(0,u);var d="",p=0;if(e.options.indentWithTabs)for(var f=Math.floor(u/a);f;--f)p+=a,d+="\t";if(p<u&&(d+=X(u-p)),d!=c)return wo(o,d,it(t,0),it(t,c.length),"+input"),s.stateAfter=null,!0;for(var h=0;h<o.sel.ranges.length;h++){var m=o.sel.ranges[h];if(m.head.line==t&&m.head.ch<c.length){var g=it(t,c.length);to(o,h,new Ti(g,g));break}}}Ra.defineInitHook=function(e){return Ia.push(e)};var La=null;function Na(e){La=e}function ja(e,t,n,r,i){var o=e.doc;e.display.shift=!1,r||(r=o.sel);var a=+new Date-200,s="paste"==i||e.state.pasteIncoming>a,l=Le(t),u=null;if(s&&r.ranges.length>1)if(La&&La.text.join("\n")==t){if(r.ranges.length%La.text.length==0){u=[];for(var c=0;c<La.text.length;c++)u.push(o.splitLines(La.text[c]))}}else l.length==r.ranges.length&&e.options.pasteLinesPerSelection&&(u=J(l,(function(e){return[e]})));for(var d=e.curOp.updateInput,p=r.ranges.length-1;p>=0;p--){var f=r.ranges[p],h=f.from(),m=f.to();f.empty()&&(n&&n>0?h=it(h.line,h.ch-n):e.state.overwrite&&!s?m=it(m.line,Math.min(Ze(o,m.line).text.length,m.ch+Q(l).length)):s&&La&&La.lineWise&&La.text.join("\n")==l.join("\n")&&(h=m=it(h.line,0)));var g={from:h,to:m,text:u?u[p%u.length]:l,origin:i||(s?"paste":e.state.cutIncoming>a?"cut":"+input")};mo(e.doc,g),pn(e,"inputRead",e,g)}t&&!s&&Ba(e,t),Lr(e),e.curOp.updateInput<2&&(e.curOp.updateInput=d),e.curOp.typing=!0,e.state.pasteIncoming=e.state.cutIncoming=-1}function Fa(e,t){var n=e.clipboardData&&e.clipboardData.getData("Text");if(n)return e.preventDefault(),t.isReadOnly()||t.options.disableInput||!t.hasFocus()||ri(t,(function(){return ja(t,n,0,null,"paste")})),!0}function Ba(e,t){if(e.options.electricChars&&e.options.smartIndent)for(var n=e.doc.sel,r=n.ranges.length-1;r>=0;r--){var i=n.ranges[r];if(!(i.head.ch>100||r&&n.ranges[r-1].head.line==i.head.line)){var o=e.getModeAt(i.head),a=!1;if(o.electricChars){for(var s=0;s<o.electricChars.length;s++)if(t.indexOf(o.electricChars.charAt(s))>-1){a=Ma(e,i.head.line,"smart");break}}else o.electricInput&&o.electricInput.test(Ze(e.doc,i.head.line).text.slice(0,i.head.ch))&&(a=Ma(e,i.head.line,"smart"));a&&pn(e,"electricInput",e,i.head.line)}}}function za(e){for(var t=[],n=[],r=0;r<e.doc.sel.ranges.length;r++){var i=e.doc.sel.ranges[r].head.line,o={anchor:it(i,0),head:it(i+1,0)};n.push(o),t.push(e.getRange(o.anchor,o.head))}return{text:t,ranges:n}}function Ua(e,t,n,r){e.setAttribute("autocorrect",n?"on":"off"),e.setAttribute("autocapitalize",r?"on":"off"),e.setAttribute("spellcheck",!!t)}function qa(){var e=P("textarea",null,null,"position: absolute; bottom: -1em; padding: 0; width: 1px; height: 1em; min-height: 1em; outline: none"),t=P("div",[e],null,"overflow: hidden; position: relative; width: 3px; height: 0px;");return l?e.style.width="1000px":e.setAttribute("wrap","off"),g&&(e.style.border="1px solid black"),t}function $a(e,t,n,r,i){var o=t,a=n,s=Ze(e,t.line),l=i&&"rtl"==e.direction?-n:n;function u(o){var a;if("codepoint"==r){var u=s.text.charCodeAt(t.ch+(n>0?0:-1));if(isNaN(u))a=null;else{var c=n>0?u>=55296&&u<56320:u>=56320&&u<57343;a=new it(t.line,Math.max(0,Math.min(s.text.length,t.ch+n*(c?2:1))),-n)}}else a=i?function(e,t,n,r){var i=fe(t,e.doc.direction);if(!i)return ia(t,n,r);n.ch>=t.text.length?(n.ch=t.text.length,n.sticky="before"):n.ch<=0&&(n.ch=0,n.sticky="after");var o=de(i,n.ch,n.sticky),a=i[o];if("ltr"==e.doc.direction&&a.level%2==0&&(r>0?a.to>n.ch:a.from<n.ch))return ia(t,n,r);var s,l=function(e,n){return ra(t,e instanceof it?e.ch:e,n)},u=function(n){return e.options.lineWrapping?(s=s||Nn(e,t),nr(e,t,s,n)):{begin:0,end:t.text.length}},c=u("before"==n.sticky?l(n,-1):n.ch);if("rtl"==e.doc.direction||1==a.level){var d=1==a.level==r<0,p=l(n,d?1:-1);if(null!=p&&(d?p<=a.to&&p<=c.end:p>=a.from&&p>=c.begin)){var f=d?"before":"after";return new it(n.line,p,f)}}var h=function(e,t,r){for(var o=function(e,t){return t?new it(n.line,l(e,1),"before"):new it(n.line,e,"after")};e>=0&&e<i.length;e+=t){var a=i[e],s=t>0==(1!=a.level),u=s?r.begin:l(r.end,-1);if(a.from<=u&&u<a.to)return o(u,s);if(u=s?a.from:l(a.to,-1),r.begin<=u&&u<r.end)return o(u,s)}},m=h(o+r,r,c);if(m)return m;var g=r>0?c.end:l(c.begin,-1);return null==g||r>0&&g==t.text.length||!(m=h(r>0?0:i.length-1,r,u(g)))?null:m}(e.cm,s,t,n):ia(s,t,n);if(null==a){if(o||!function(){var n=t.line+l;return!(n<e.first||n>=e.first+e.size)&&(t=new it(n,t.ch,t.sticky),s=Ze(e,n))}())return!1;t=oa(i,e.cm,s,t.line,l)}else t=a;return!0}if("char"==r||"codepoint"==r)u();else if("column"==r)u(!0);else if("word"==r||"group"==r)for(var c=null,d="group"==r,p=e.cm&&e.cm.getHelper(t,"wordChars"),f=!0;!(n<0)||u(!f);f=!1){var h=s.text.charAt(t.ch)||"\n",m=ie(h,p)?"w":d&&"\n"==h?"n":!d||/\s/.test(h)?null:"p";if(!d||f||m||(m="s"),c&&c!=m){n<0&&(n=1,u(),t.sticky="after");break}if(m&&(c=m),n>0&&!u(!f))break}var g=co(e,t,o,a,!0);return at(o,g)&&(g.hitSide=!0),g}function Wa(e,t,n,r){var i,o,a=e.doc,s=t.left;if("page"==r){var l=Math.min(e.display.wrapper.clientHeight,F(e).innerHeight||a(e).documentElement.clientHeight),u=Math.max(l-.5*sr(e.display),3);i=(n>0?t.bottom:t.top)+n*u}else"line"==r&&(i=n>0?t.bottom+3:t.top-3);for(;(o=er(e,s,i)).outside;){if(n<0?i<=0:i>=a.height){o.hitSide=!0;break}i+=5*n}return o}var Ha=function(e){this.cm=e,this.lastAnchorNode=this.lastAnchorOffset=this.lastFocusNode=this.lastFocusOffset=null,this.polling=new q,this.composing=null,this.gracePeriod=!1,this.readDOMTimeout=null};function Va(e,t){var n=Ln(e,t.line);if(!n||n.hidden)return null;var r=Ze(e.doc,t.line),i=In(n,r,t.line),o=fe(r,e.doc.direction),a="left";o&&(a=de(o,t.ch)%2?"right":"left");var s=zn(i.map,t.ch,a);return s.offset="right"==s.collapse?s.end:s.start,s}function Ga(e,t){return t&&(e.bad=!0),e}function Ka(e,t,n){var r;if(t==e.display.lineDiv){if(!(r=e.display.lineDiv.childNodes[n]))return Ga(e.clipPos(it(e.display.viewTo-1)),!0);t=null,n=0}else for(r=t;;r=r.parentNode){if(!r||r==e.display.lineDiv)return null;if(r.parentNode&&r.parentNode==e.display.lineDiv)break}for(var i=0;i<e.display.view.length;i++){var o=e.display.view[i];if(o.node==r)return Ya(o,t,n)}}function Ya(e,t,n){var r=e.text.firstChild,i=!1;if(!t||!R(r,t))return Ga(it(et(e.line),0),!0);if(t==r&&(i=!0,t=r.childNodes[n],n=0,!t)){var o=e.rest?Q(e.rest):e.line;return Ga(it(et(o),o.text.length),i)}var a=3==t.nodeType?t:null,s=t;for(a||1!=t.childNodes.length||3!=t.firstChild.nodeType||(a=t.firstChild,n&&(n=a.nodeValue.length));s.parentNode!=r;)s=s.parentNode;var l=e.measure,u=l.maps;function c(t,n,r){for(var i=-1;i<(u?u.length:0);i++)for(var o=i<0?l.map:u[i],a=0;a<o.length;a+=3){var s=o[a+2];if(s==t||s==n){var c=et(i<0?e.line:e.rest[i]),d=o[a]+r;return(r<0||s!=t)&&(d=o[a+(r?1:0)]),it(c,d)}}}var d=c(a,s,n);if(d)return Ga(d,i);for(var p=s.nextSibling,f=a?a.nodeValue.length-n:0;p;p=p.nextSibling){if(d=c(p,p.firstChild,0))return Ga(it(d.line,d.ch-f),i);f+=p.textContent.length}for(var h=s.previousSibling,m=n;h;h=h.previousSibling){if(d=c(h,h.firstChild,-1))return Ga(it(d.line,d.ch+m),i);m+=h.textContent.length}}Ha.prototype.init=function(e){var t=this,n=this,r=n.cm,i=n.div=e.lineDiv;function o(e){for(var t=e.target;t;t=t.parentNode){if(t==i)return!0;if(/\bCodeMirror-(?:line)?widget\b/.test(t.className))break}return!1}function a(e){if(o(e)&&!be(r,e)){if(r.somethingSelected())Na({lineWise:!1,text:r.getSelections()}),"cut"==e.type&&r.replaceSelection("",null,"cut");else{if(!r.options.lineWiseCopyCut)return;var t=za(r);Na({lineWise:!0,text:t.text}),"cut"==e.type&&r.operation((function(){r.setSelections(t.ranges,0,V),r.replaceSelection("",null,"cut")}))}if(e.clipboardData){e.clipboardData.clearData();var a=La.text.join("\n");if(e.clipboardData.setData("Text",a),e.clipboardData.getData("Text")==a)return void e.preventDefault()}var s=qa(),l=s.firstChild;Ua(l),r.display.lineSpace.insertBefore(s,r.display.lineSpace.firstChild),l.value=La.text.join("\n");var u=I(i.ownerDocument);N(l),setTimeout((function(){r.display.lineSpace.removeChild(s),u.focus(),u==i&&n.showPrimarySelection()}),50)}}i.contentEditable=!0,Ua(i,r.options.spellcheck,r.options.autocorrect,r.options.autocapitalize),me(i,"paste",(function(e){!o(e)||be(r,e)||Fa(e,r)||s<=11&&setTimeout(ii(r,(function(){return t.updateFromDOM()})),20)})),me(i,"compositionstart",(function(e){t.composing={data:e.data,done:!1}})),me(i,"compositionupdate",(function(e){t.composing||(t.composing={data:e.data,done:!1})})),me(i,"compositionend",(function(e){t.composing&&(e.data!=t.composing.data&&t.readFromDOMSoon(),t.composing.done=!0)})),me(i,"touchstart",(function(){return n.forceCompositionEnd()})),me(i,"input",(function(){t.composing||t.readFromDOMSoon()})),me(i,"copy",a),me(i,"cut",a)},Ha.prototype.screenReaderLabelChanged=function(e){e?this.div.setAttribute("aria-label",e):this.div.removeAttribute("aria-label")},Ha.prototype.prepareSelection=function(){var e=_r(this.cm,!1);return e.focus=I(this.div.ownerDocument)==this.div,e},Ha.prototype.showSelection=function(e,t){e&&this.cm.display.view.length&&((e.focus||t)&&this.showPrimarySelection(),this.showMultipleSelections(e))},Ha.prototype.getSelection=function(){return this.cm.display.wrapper.ownerDocument.getSelection()},Ha.prototype.showPrimarySelection=function(){var e=this.getSelection(),t=this.cm,r=t.doc.sel.primary(),i=r.from(),o=r.to();if(t.display.viewTo==t.display.viewFrom||i.line>=t.display.viewTo||o.line<t.display.viewFrom)e.removeAllRanges();else{var a=Ka(t,e.anchorNode,e.anchorOffset),s=Ka(t,e.focusNode,e.focusOffset);if(!a||a.bad||!s||s.bad||0!=ot(ut(a,s),i)||0!=ot(lt(a,s),o)){var l=t.display.view,u=i.line>=t.display.viewFrom&&Va(t,i)||{node:l[0].measure.map[2],offset:0},c=o.line<t.display.viewTo&&Va(t,o);if(!c){var d=l[l.length-1].measure,p=d.maps?d.maps[d.maps.length-1]:d.map;c={node:p[p.length-1],offset:p[p.length-2]-p[p.length-3]}}if(u&&c){var f,h=e.rangeCount&&e.getRangeAt(0);try{f=S(u.node,u.offset,c.offset,c.node)}catch(e){}f&&(!n&&t.state.focused?(e.collapse(u.node,u.offset),f.collapsed||(e.removeAllRanges(),e.addRange(f))):(e.removeAllRanges(),e.addRange(f)),h&&null==e.anchorNode?e.addRange(h):n&&this.startGracePeriod()),this.rememberSelection()}else e.removeAllRanges()}}},Ha.prototype.startGracePeriod=function(){var e=this;clearTimeout(this.gracePeriod),this.gracePeriod=setTimeout((function(){e.gracePeriod=!1,e.selectionChanged()&&e.cm.operation((function(){return e.cm.curOp.selectionChanged=!0}))}),20)},Ha.prototype.showMultipleSelections=function(e){O(this.cm.display.cursorDiv,e.cursors),O(this.cm.display.selectionDiv,e.selection)},Ha.prototype.rememberSelection=function(){var e=this.getSelection();this.lastAnchorNode=e.anchorNode,this.lastAnchorOffset=e.anchorOffset,this.lastFocusNode=e.focusNode,this.lastFocusOffset=e.focusOffset},Ha.prototype.selectionInEditor=function(){var e=this.getSelection();if(!e.rangeCount)return!1;var t=e.getRangeAt(0).commonAncestorContainer;return R(this.div,t)},Ha.prototype.focus=function(){"nocursor"!=this.cm.options.readOnly&&(this.selectionInEditor()&&I(this.div.ownerDocument)==this.div||this.showSelection(this.prepareSelection(),!0),this.div.focus())},Ha.prototype.blur=function(){this.div.blur()},Ha.prototype.getField=function(){return this.div},Ha.prototype.supportsTouch=function(){return!0},Ha.prototype.receivedFocus=function(){var e=this,t=this;this.selectionInEditor()?setTimeout((function(){return e.pollSelection()}),20):ri(this.cm,(function(){return t.cm.curOp.selectionChanged=!0})),this.polling.set(this.cm.options.pollInterval,(function e(){t.cm.state.focused&&(t.pollSelection(),t.polling.set(t.cm.options.pollInterval,e))}))},Ha.prototype.selectionChanged=function(){var e=this.getSelection();return e.anchorNode!=this.lastAnchorNode||e.anchorOffset!=this.lastAnchorOffset||e.focusNode!=this.lastFocusNode||e.focusOffset!=this.lastFocusOffset},Ha.prototype.pollSelection=function(){if(null==this.readDOMTimeout&&!this.gracePeriod&&this.selectionChanged()){var e=this.getSelection(),t=this.cm;if(v&&c&&this.cm.display.gutterSpecs.length&&function(e){for(var t=e;t;t=t.parentNode)if(/CodeMirror-gutter-wrapper/.test(t.className))return!0;return!1}(e.anchorNode))return this.cm.triggerOnKeyDown({type:"keydown",keyCode:8,preventDefault:Math.abs}),this.blur(),void this.focus();if(!this.composing){this.rememberSelection();var n=Ka(t,e.anchorNode,e.anchorOffset),r=Ka(t,e.focusNode,e.focusOffset);n&&r&&ri(t,(function(){io(t.doc,Pi(n,r),V),(n.bad||r.bad)&&(t.curOp.selectionChanged=!0)}))}}},Ha.prototype.pollContent=function(){null!=this.readDOMTimeout&&(clearTimeout(this.readDOMTimeout),this.readDOMTimeout=null);var e,t,n,r=this.cm,i=r.display,o=r.doc.sel.primary(),a=o.from(),s=o.to();if(0==a.ch&&a.line>r.firstLine()&&(a=it(a.line-1,Ze(r.doc,a.line-1).length)),s.ch==Ze(r.doc,s.line).text.length&&s.line<r.lastLine()&&(s=it(s.line+1,0)),a.line<i.viewFrom||s.line>i.viewTo-1)return!1;a.line==i.viewFrom||0==(e=hr(r,a.line))?(t=et(i.view[0].line),n=i.view[0].node):(t=et(i.view[e].line),n=i.view[e-1].node.nextSibling);var l,u,c=hr(r,s.line);if(c==i.view.length-1?(l=i.viewTo-1,u=i.lineDiv.lastChild):(l=et(i.view[c+1].line)-1,u=i.view[c+1].node.previousSibling),!n)return!1;for(var d=r.doc.splitLines(function(e,t,n,r,i){var o="",a=!1,s=e.doc.lineSeparator(),l=!1;function u(){a&&(o+=s,l&&(o+=s),a=l=!1)}function c(e){e&&(u(),o+=e)}function d(t){if(1==t.nodeType){var n=t.getAttribute("cm-text");if(n)return void c(n);var o,p=t.getAttribute("cm-marker");if(p){var f=e.findMarks(it(r,0),it(i+1,0),(g=+p,function(e){return e.id==g}));return void(f.length&&(o=f[0].find(0))&&c(Xe(e.doc,o.from,o.to).join(s)))}if("false"==t.getAttribute("contenteditable"))return;var h=/^(pre|div|p|li|table|br)$/i.test(t.nodeName);if(!/^br$/i.test(t.nodeName)&&0==t.textContent.length)return;h&&u();for(var m=0;m<t.childNodes.length;m++)d(t.childNodes[m]);/^(pre|p)$/i.test(t.nodeName)&&(l=!0),h&&(a=!0)}else 3==t.nodeType&&c(t.nodeValue.replace(/\u200b/g,"").replace(/\u00a0/g," "));var g}for(;d(t),t!=n;)t=t.nextSibling,l=!1;return o}(r,n,u,t,l)),p=Xe(r.doc,it(t,0),it(l,Ze(r.doc,l).text.length));d.length>1&&p.length>1;)if(Q(d)==Q(p))d.pop(),p.pop(),l--;else{if(d[0]!=p[0])break;d.shift(),p.shift(),t++}for(var f=0,h=0,m=d[0],g=p[0],v=Math.min(m.length,g.length);f<v&&m.charCodeAt(f)==g.charCodeAt(f);)++f;for(var y=Q(d),b=Q(p),w=Math.min(y.length-(1==d.length?f:0),b.length-(1==p.length?f:0));h<w&&y.charCodeAt(y.length-h-1)==b.charCodeAt(b.length-h-1);)++h;if(1==d.length&&1==p.length&&t==a.line)for(;f&&f>a.ch&&y.charCodeAt(y.length-h-1)==b.charCodeAt(b.length-h-1);)f--,h++;d[d.length-1]=y.slice(0,y.length-h).replace(/^\u200b+/,""),d[0]=d[0].slice(f).replace(/\u200b+$/,"");var _=it(t,f),x=it(l,p.length?Q(p).length-h:0);return d.length>1||d[0]||ot(_,x)?(wo(r.doc,d,_,x,"+input"),!0):void 0},Ha.prototype.ensurePolled=function(){this.forceCompositionEnd()},Ha.prototype.reset=function(){this.forceCompositionEnd()},Ha.prototype.forceCompositionEnd=function(){this.composing&&(clearTimeout(this.readDOMTimeout),this.composing=null,this.updateFromDOM(),this.div.blur(),this.div.focus())},Ha.prototype.readFromDOMSoon=function(){var e=this;null==this.readDOMTimeout&&(this.readDOMTimeout=setTimeout((function(){if(e.readDOMTimeout=null,e.composing){if(!e.composing.done)return;e.composing=null}e.updateFromDOM()}),80))},Ha.prototype.updateFromDOM=function(){var e=this;!this.cm.isReadOnly()&&this.pollContent()||ri(this.cm,(function(){return mr(e.cm)}))},Ha.prototype.setUneditable=function(e){e.contentEditable="false"},Ha.prototype.onKeyPress=function(e){0==e.charCode||this.composing||(e.preventDefault(),this.cm.isReadOnly()||ii(this.cm,ja)(this.cm,String.fromCharCode(null==e.charCode?e.keyCode:e.charCode),0))},Ha.prototype.readOnlyChanged=function(e){this.div.contentEditable=String("nocursor"!=e)},Ha.prototype.onContextMenu=function(){},Ha.prototype.resetPosition=function(){},Ha.prototype.needsContentAttribute=!0;var Za=function(e){this.cm=e,this.prevInput="",this.pollingFast=!1,this.polling=new q,this.hasSelection=!1,this.composing=null,this.resetting=!1};Za.prototype.init=function(e){var t=this,n=this,r=this.cm;this.createField(e);var i=this.textarea;function o(e){if(!be(r,e)){if(r.somethingSelected())Na({lineWise:!1,text:r.getSelections()});else{if(!r.options.lineWiseCopyCut)return;var t=za(r);Na({lineWise:!0,text:t.text}),"cut"==e.type?r.setSelections(t.ranges,null,V):(n.prevInput="",i.value=t.text.join("\n"),N(i))}"cut"==e.type&&(r.state.cutIncoming=+new Date)}}e.wrapper.insertBefore(this.wrapper,e.wrapper.firstChild),g&&(i.style.width="0px"),me(i,"input",(function(){a&&s>=9&&t.hasSelection&&(t.hasSelection=null),n.poll()})),me(i,"paste",(function(e){be(r,e)||Fa(e,r)||(r.state.pasteIncoming=+new Date,n.fastPoll())})),me(i,"cut",o),me(i,"copy",o),me(e.scroller,"paste",(function(t){if(!Sn(e,t)&&!be(r,t)){if(!i.dispatchEvent)return r.state.pasteIncoming=+new Date,void n.focus();var o=new Event("paste");o.clipboardData=t.clipboardData,i.dispatchEvent(o)}})),me(e.lineSpace,"selectstart",(function(t){Sn(e,t)||ke(t)})),me(i,"compositionstart",(function(){var e=r.getCursor("from");n.composing&&n.composing.range.clear(),n.composing={start:e,range:r.markText(e,r.getCursor("to"),{className:"CodeMirror-composing"})}})),me(i,"compositionend",(function(){n.composing&&(n.poll(),n.composing.range.clear(),n.composing=null)}))},Za.prototype.createField=function(e){this.wrapper=qa(),this.textarea=this.wrapper.firstChild;var t=this.cm.options;Ua(this.textarea,t.spellcheck,t.autocorrect,t.autocapitalize)},Za.prototype.screenReaderLabelChanged=function(e){e?this.textarea.setAttribute("aria-label",e):this.textarea.removeAttribute("aria-label")},Za.prototype.prepareSelection=function(){var e=this.cm,t=e.display,n=e.doc,r=_r(e);if(e.options.moveInputWithCursor){var i=Xn(e,n.sel.primary().head,"div"),o=t.wrapper.getBoundingClientRect(),a=t.lineDiv.getBoundingClientRect();r.teTop=Math.max(0,Math.min(t.wrapper.clientHeight-10,i.top+a.top-o.top)),r.teLeft=Math.max(0,Math.min(t.wrapper.clientWidth-10,i.left+a.left-o.left))}return r},Za.prototype.showSelection=function(e){var t=this.cm.display;O(t.cursorDiv,e.cursors),O(t.selectionDiv,e.selection),null!=e.teTop&&(this.wrapper.style.top=e.teTop+"px",this.wrapper.style.left=e.teLeft+"px")},Za.prototype.reset=function(e){if(!(this.contextMenuPending||this.composing&&e)){var t=this.cm;if(this.resetting=!0,t.somethingSelected()){this.prevInput="";var n=t.getSelection();this.textarea.value=n,t.state.focused&&N(this.textarea),a&&s>=9&&(this.hasSelection=n)}else e||(this.prevInput=this.textarea.value="",a&&s>=9&&(this.hasSelection=null));this.resetting=!1}},Za.prototype.getField=function(){return this.textarea},Za.prototype.supportsTouch=function(){return!1},Za.prototype.focus=function(){if("nocursor"!=this.cm.options.readOnly&&(!y||I(this.textarea.ownerDocument)!=this.textarea))try{this.textarea.focus()}catch(e){}},Za.prototype.blur=function(){this.textarea.blur()},Za.prototype.resetPosition=function(){this.wrapper.style.top=this.wrapper.style.left=0},Za.prototype.receivedFocus=function(){this.slowPoll()},Za.prototype.slowPoll=function(){var e=this;this.pollingFast||this.polling.set(this.cm.options.pollInterval,(function(){e.poll(),e.cm.state.focused&&e.slowPoll()}))},Za.prototype.fastPoll=function(){var e=!1,t=this;t.pollingFast=!0,t.polling.set(20,(function n(){t.poll()||e?(t.pollingFast=!1,t.slowPoll()):(e=!0,t.polling.set(60,n))}))},Za.prototype.poll=function(){var e=this,t=this.cm,n=this.textarea,r=this.prevInput;if(this.contextMenuPending||this.resetting||!t.state.focused||Ne(n)&&!r&&!this.composing||t.isReadOnly()||t.options.disableInput||t.state.keySeq)return!1;var i=n.value;if(i==r&&!t.somethingSelected())return!1;if(a&&s>=9&&this.hasSelection===i||b&&/[\uf700-\uf7ff]/.test(i))return t.display.input.reset(),!1;if(t.doc.sel==t.display.selForContextMenu){var o=i.charCodeAt(0);if(8203!=o||r||(r=""),8666==o)return this.reset(),this.cm.execCommand("undo")}for(var l=0,u=Math.min(r.length,i.length);l<u&&r.charCodeAt(l)==i.charCodeAt(l);)++l;return ri(t,(function(){ja(t,i.slice(l),r.length-l,null,e.composing?"*compose":null),i.length>1e3||i.indexOf("\n")>-1?n.value=e.prevInput="":e.prevInput=i,e.composing&&(e.composing.range.clear(),e.composing.range=t.markText(e.composing.start,t.getCursor("to"),{className:"CodeMirror-composing"}))})),!0},Za.prototype.ensurePolled=function(){this.pollingFast&&this.poll()&&(this.pollingFast=!1)},Za.prototype.onKeyPress=function(){a&&s>=9&&(this.hasSelection=null),this.fastPoll()},Za.prototype.onContextMenu=function(e){var t=this,n=t.cm,r=n.display,i=t.textarea;t.contextMenuPending&&t.contextMenuPending();var o=fr(n,e),u=r.scroller.scrollTop;if(o&&!p){n.options.resetSelectionOnContextMenu&&-1==n.doc.sel.contains(o)&&ii(n,io)(n.doc,Pi(o),V);var c,d=i.style.cssText,f=t.wrapper.style.cssText,h=t.wrapper.offsetParent.getBoundingClientRect();if(t.wrapper.style.cssText="position: static",i.style.cssText="position: absolute; width: 30px; height: 30px;\n top: "+(e.clientY-h.top-5)+"px; left: "+(e.clientX-h.left-5)+"px;\n z-index: 1000; background: "+(a?"rgba(255, 255, 255, .05)":"transparent")+";\n outline: none; border-width: 0; outline: none; overflow: hidden; opacity: .05; filter: alpha(opacity=5);",l&&(c=i.ownerDocument.defaultView.scrollY),r.input.focus(),l&&i.ownerDocument.defaultView.scrollTo(null,c),r.input.reset(),n.somethingSelected()||(i.value=t.prevInput=" "),t.contextMenuPending=v,r.selForContextMenu=n.doc.sel,clearTimeout(r.detectingSelectAll),a&&s>=9&&g(),E){Se(e);var m=function(){ve(window,"mouseup",m),setTimeout(v,20)};me(window,"mouseup",m)}else setTimeout(v,50)}function g(){if(null!=i.selectionStart){var e=n.somethingSelected(),o=""+(e?i.value:"");i.value="⇚",i.value=o,t.prevInput=e?"":"",i.selectionStart=1,i.selectionEnd=o.length,r.selForContextMenu=n.doc.sel}}function v(){if(t.contextMenuPending==v&&(t.contextMenuPending=!1,t.wrapper.style.cssText=f,i.style.cssText=d,a&&s<9&&r.scrollbars.setScrollTop(r.scroller.scrollTop=u),null!=i.selectionStart)){(!a||a&&s<9)&&g();var e=0,o=function(){r.selForContextMenu==n.doc.sel&&0==i.selectionStart&&i.selectionEnd>0&&""==t.prevInput?ii(n,fo)(n):e++<10?r.detectingSelectAll=setTimeout(o,500):(r.selForContextMenu=null,r.input.reset())};r.detectingSelectAll=setTimeout(o,200)}}},Za.prototype.readOnlyChanged=function(e){e||this.reset(),this.textarea.disabled="nocursor"==e,this.textarea.readOnly=!!e},Za.prototype.setUneditable=function(){},Za.prototype.needsContentAttribute=!1,function(e){var t=e.optionHandlers;function n(n,r,i,o){e.defaults[n]=r,i&&(t[n]=o?function(e,t,n){n!=Aa&&i(e,t,n)}:i)}e.defineOption=n,e.Init=Aa,n("value","",(function(e,t){return e.setValue(t)}),!0),n("mode",null,(function(e,t){e.doc.modeOption=t,Li(e)}),!0),n("indentUnit",2,Li,!0),n("indentWithTabs",!1),n("smartIndent",!0),n("tabSize",4,(function(e){Ni(e),Wn(e),mr(e)}),!0),n("lineSeparator",null,(function(e,t){if(e.doc.lineSep=t,t){var n=[],r=e.doc.first;e.doc.iter((function(e){for(var i=0;;){var o=e.text.indexOf(t,i);if(-1==o)break;i=o+t.length,n.push(it(r,o))}r++}));for(var i=n.length-1;i>=0;i--)wo(e.doc,t,n[i],it(n[i].line,n[i].ch+t.length))}})),n("specialChars",/[\u0000-\u001f\u007f-\u009f\u00ad\u061c\u200b\u200e\u200f\u2028\u2029\u202d\u202e\u2066\u2067\u2069\ufeff\ufff9-\ufffc]/g,(function(e,t,n){e.state.specialChars=new RegExp(t.source+(t.test("\t")?"":"|\t"),"g"),n!=Aa&&e.refresh()})),n("specialCharPlaceholder",nn,(function(e){return e.refresh()}),!0),n("electricChars",!0),n("inputStyle",y?"contenteditable":"textarea",(function(){throw new Error("inputStyle can not (yet) be changed in a running editor")}),!0),n("spellcheck",!1,(function(e,t){return e.getInputField().spellcheck=t}),!0),n("autocorrect",!1,(function(e,t){return e.getInputField().autocorrect=t}),!0),n("autocapitalize",!1,(function(e,t){return e.getInputField().autocapitalize=t}),!0),n("rtlMoveVisually",!_),n("wholeLineUpdateBefore",!0),n("theme","default",(function(e){Sa(e),wi(e)}),!0),n("keyMap","default",(function(e,t,n){var r=ta(t),i=n!=Aa&&ta(n);i&&i.detach&&i.detach(e,r),r.attach&&r.attach(e,i||null)})),n("extraKeys",null),n("configureMouse",null),n("lineWrapping",!1,Da,!0),n("gutters",[],(function(e,t){e.display.gutterSpecs=yi(t,e.options.lineNumbers),wi(e)}),!0),n("fixedGutter",!0,(function(e,t){e.display.gutters.style.left=t?cr(e.display)+"px":"0",e.refresh()}),!0),n("coverGutterNextToScrollbar",!1,(function(e){return Hr(e)}),!0),n("scrollbarStyle","native",(function(e){Kr(e),Hr(e),e.display.scrollbars.setScrollTop(e.doc.scrollTop),e.display.scrollbars.setScrollLeft(e.doc.scrollLeft)}),!0),n("lineNumbers",!1,(function(e,t){e.display.gutterSpecs=yi(e.options.gutters,t),wi(e)}),!0),n("firstLineNumber",1,wi,!0),n("lineNumberFormatter",(function(e){return e}),wi,!0),n("showCursorWhenSelecting",!1,wr,!0),n("resetSelectionOnContextMenu",!0),n("lineWiseCopyCut",!0),n("pasteLinesPerSelection",!0),n("selectionsMayTouch",!1),n("readOnly",!1,(function(e,t){"nocursor"==t&&(Or(e),e.display.input.blur()),e.display.input.readOnlyChanged(t)})),n("screenReaderLabel",null,(function(e,t){t=""===t?null:t,e.display.input.screenReaderLabelChanged(t)})),n("disableInput",!1,(function(e,t){t||e.display.input.reset()}),!0),n("dragDrop",!0,Pa),n("allowDropFileTypes",null),n("cursorBlinkRate",530),n("cursorScrollMargin",0),n("cursorHeight",1,wr,!0),n("singleCursorHeightPerLine",!0,wr,!0),n("workTime",100),n("workDelay",100),n("flattenSpans",!0,Ni,!0),n("addModeClass",!1,Ni,!0),n("pollInterval",100),n("undoDepth",200,(function(e,t){return e.doc.history.undoDepth=t})),n("historyEventDelay",1250),n("viewportMargin",10,(function(e){return e.refresh()}),!0),n("maxHighlightLength",1e4,Ni,!0),n("moveInputWithCursor",!0,(function(e,t){t||e.display.input.resetPosition()})),n("tabindex",null,(function(e,t){return e.display.input.getField().tabIndex=t||""})),n("autofocus",null),n("direction","ltr",(function(e,t){return e.doc.setDirection(t)}),!0),n("phrases",null)}(Ra),function(e){var t=e.optionHandlers,n=e.helpers={};e.prototype={constructor:e,focus:function(){F(this).focus(),this.display.input.focus()},setOption:function(e,n){var r=this.options,i=r[e];r[e]==n&&"mode"!=e||(r[e]=n,t.hasOwnProperty(e)&&ii(this,t[e])(this,n,i),ye(this,"optionChange",this,e))},getOption:function(e){return this.options[e]},getDoc:function(){return this.doc},addKeyMap:function(e,t){this.state.keyMaps[t?"push":"unshift"](ta(e))},removeKeyMap:function(e){for(var t=this.state.keyMaps,n=0;n<t.length;++n)if(t[n]==e||t[n].name==e)return t.splice(n,1),!0},addOverlay:oi((function(t,n){var r=t.token?t:e.getMode(this.options,t);if(r.startState)throw new Error("Overlays may not be stateful.");(function(e,t,n){for(var r=0,i=n(t);r<e.length&&n(e[r])<=i;)r++;e.splice(r,0,t)})(this.state.overlays,{mode:r,modeSpec:t,opaque:n&&n.opaque,priority:n&&n.priority||0},(function(e){return e.priority})),this.state.modeGen++,mr(this)})),removeOverlay:oi((function(e){for(var t=this.state.overlays,n=0;n<t.length;++n){var r=t[n].modeSpec;if(r==e||"string"==typeof e&&r.name==e)return t.splice(n,1),this.state.modeGen++,void mr(this)}})),indentLine:oi((function(e,t,n){"string"!=typeof t&&"number"!=typeof t&&(t=null==t?this.options.smartIndent?"smart":"prev":t?"add":"subtract"),nt(this.doc,e)&&Ma(this,e,t,n)})),indentSelection:oi((function(e){for(var t=this.doc.sel.ranges,n=-1,r=0;r<t.length;r++){var i=t[r];if(i.empty())i.head.line>n&&(Ma(this,i.head.line,e,!0),n=i.head.line,r==this.doc.sel.primIndex&&Lr(this));else{var o=i.from(),a=i.to(),s=Math.max(n,o.line);n=Math.min(this.lastLine(),a.line-(a.ch?0:1))+1;for(var l=s;l<n;++l)Ma(this,l,e);var u=this.doc.sel.ranges;0==o.ch&&t.length==u.length&&u[r].from().ch>0&&to(this.doc,r,new Ti(o,u[r].to()),V)}}})),getTokenAt:function(e,t){return xt(this,e,t)},getLineTokens:function(e,t){return xt(this,it(e),t,!0)},getTokenTypeAt:function(e){e=dt(this.doc,e);var t,n=gt(this,Ze(this.doc,e.line)),r=0,i=(n.length-1)/2,o=e.ch;if(0==o)t=n[2];else for(;;){var a=r+i>>1;if((a?n[2*a-1]:0)>=o)i=a;else{if(!(n[2*a+1]<o)){t=n[2*a+2];break}r=a+1}}var s=t?t.indexOf("overlay "):-1;return s<0?t:0==s?null:t.slice(0,s-1)},getModeAt:function(t){var n=this.doc.mode;return n.innerMode?e.innerMode(n,this.getTokenAt(t).state).mode:n},getHelper:function(e,t){return this.getHelpers(e,t)[0]},getHelpers:function(e,t){var r=[];if(!n.hasOwnProperty(t))return r;var i=n[t],o=this.getModeAt(e);if("string"==typeof o[t])i[o[t]]&&r.push(i[o[t]]);else if(o[t])for(var a=0;a<o[t].length;a++){var s=i[o[t][a]];s&&r.push(s)}else o.helperType&&i[o.helperType]?r.push(i[o.helperType]):i[o.name]&&r.push(i[o.name]);for(var l=0;l<i._global.length;l++){var u=i._global[l];u.pred(o,this)&&-1==$(r,u.val)&&r.push(u.val)}return r},getStateAfter:function(e,t){var n=this.doc;return vt(this,(e=ct(n,null==e?n.first+n.size-1:e))+1,t).state},cursorCoords:function(e,t){var n=this.doc.sel.primary();return Xn(this,null==e?n.head:"object"==typeof e?dt(this.doc,e):e?n.from():n.to(),t||"page")},charCoords:function(e,t){return Zn(this,dt(this.doc,e),t||"page")},coordsChar:function(e,t){return er(this,(e=Yn(this,e,t||"page")).left,e.top)},lineAtHeight:function(e,t){return e=Yn(this,{top:e,left:0},t||"page").top,tt(this.doc,e+this.display.viewOffset)},heightAtLine:function(e,t,n){var r,i=!1;if("number"==typeof e){var o=this.doc.first+this.doc.size-1;e<this.doc.first?e=this.doc.first:e>o&&(e=o,i=!0),r=Ze(this.doc,e)}else r=e;return Kn(this,r,{top:0,left:0},t||"page",n||i).top+(i?this.doc.height-Gt(r):0)},defaultTextHeight:function(){return sr(this.display)},defaultCharWidth:function(){return lr(this.display)},getViewport:function(){return{from:this.display.viewFrom,to:this.display.viewTo}},addWidget:function(e,t,n,r,i){var o,a,s,l=this.display,u=(e=Xn(this,dt(this.doc,e))).bottom,c=e.left;if(t.style.position="absolute",t.setAttribute("cm-ignore-events","true"),this.display.input.setUneditable(t),l.sizer.appendChild(t),"over"==r)u=e.top;else if("above"==r||"near"==r){var d=Math.max(l.wrapper.clientHeight,this.doc.height),p=Math.max(l.sizer.clientWidth,l.lineSpace.clientWidth);("above"==r||e.bottom+t.offsetHeight>d)&&e.top>t.offsetHeight?u=e.top-t.offsetHeight:e.bottom+t.offsetHeight<=d&&(u=e.bottom),c+t.offsetWidth>p&&(c=p-t.offsetWidth)}t.style.top=u+"px",t.style.left=t.style.right="","right"==i?(c=l.sizer.clientWidth-t.offsetWidth,t.style.right="0px"):("left"==i?c=0:"middle"==i&&(c=(l.sizer.clientWidth-t.offsetWidth)/2),t.style.left=c+"px"),n&&(o=this,a={left:c,top:u,right:c+t.offsetWidth,bottom:u+t.offsetHeight},null!=(s=Ir(o,a)).scrollTop&&Br(o,s.scrollTop),null!=s.scrollLeft&&Ur(o,s.scrollLeft))},triggerOnKeyDown:oi(ma),triggerOnKeyPress:oi(va),triggerOnKeyUp:ga,triggerOnMouseDown:oi(_a),execCommand:function(e){if(aa.hasOwnProperty(e))return aa[e].call(null,this)},triggerElectric:oi((function(e){Ba(this,e)})),findPosH:function(e,t,n,r){var i=1;t<0&&(i=-1,t=-t);for(var o=dt(this.doc,e),a=0;a<t&&!(o=$a(this.doc,o,i,n,r)).hitSide;++a);return o},moveH:oi((function(e,t){var n=this;this.extendSelectionsBy((function(r){return n.display.shift||n.doc.extend||r.empty()?$a(n.doc,r.head,e,t,n.options.rtlMoveVisually):e<0?r.from():r.to()}),K)})),deleteH:oi((function(e,t){var n=this.doc.sel,r=this.doc;n.somethingSelected()?r.replaceSelection("",null,"+delete"):na(this,(function(n){var i=$a(r,n.head,e,t,!1);return e<0?{from:i,to:n.head}:{from:n.head,to:i}}))})),findPosV:function(e,t,n,r){var i=1,o=r;t<0&&(i=-1,t=-t);for(var a=dt(this.doc,e),s=0;s<t;++s){var l=Xn(this,a,"div");if(null==o?o=l.left:l.left=o,(a=Wa(this,l,i,n)).hitSide)break}return a},moveV:oi((function(e,t){var n=this,r=this.doc,i=[],o=!this.display.shift&&!r.extend&&r.sel.somethingSelected();if(r.extendSelectionsBy((function(a){if(o)return e<0?a.from():a.to();var s=Xn(n,a.head,"div");null!=a.goalColumn&&(s.left=a.goalColumn),i.push(s.left);var l=Wa(n,s,e,t);return"page"==t&&a==r.sel.primary()&&Mr(n,Zn(n,l,"div").top-s.top),l}),K),i.length)for(var a=0;a<r.sel.ranges.length;a++)r.sel.ranges[a].goalColumn=i[a]})),findWordAt:function(e){var t=Ze(this.doc,e.line).text,n=e.ch,r=e.ch;if(t){var i=this.getHelper(e,"wordChars");"before"!=e.sticky&&r!=t.length||!n?++r:--n;for(var o=t.charAt(n),a=ie(o,i)?function(e){return ie(e,i)}:/\s/.test(o)?function(e){return/\s/.test(e)}:function(e){return!/\s/.test(e)&&!ie(e)};n>0&&a(t.charAt(n-1));)--n;for(;r<t.length&&a(t.charAt(r));)++r}return new Ti(it(e.line,n),it(e.line,r))},toggleOverwrite:function(e){null!=e&&e==this.state.overwrite||((this.state.overwrite=!this.state.overwrite)?M(this.display.cursorDiv,"CodeMirror-overwrite"):A(this.display.cursorDiv,"CodeMirror-overwrite"),ye(this,"overwriteToggle",this,this.state.overwrite))},hasFocus:function(){return this.display.input.getField()==I(j(this))},isReadOnly:function(){return!(!this.options.readOnly&&!this.doc.cantEdit)},scrollTo:oi((function(e,t){Nr(this,e,t)})),getScrollInfo:function(){var e=this.display.scroller;return{left:e.scrollLeft,top:e.scrollTop,height:e.scrollHeight-Pn(this)-this.display.barHeight,width:e.scrollWidth-Pn(this)-this.display.barWidth,clientHeight:Rn(this),clientWidth:Dn(this)}},scrollIntoView:oi((function(e,t){null==e?(e={from:this.doc.sel.primary().head,to:null},null==t&&(t=this.options.cursorScrollMargin)):"number"==typeof e?e={from:it(e,0),to:null}:null==e.from&&(e={from:e,to:null}),e.to||(e.to=e.from),e.margin=t||0,null!=e.from.line?function(e,t){jr(e),e.curOp.scrollToPos=t}(this,e):Fr(this,e.from,e.to,e.margin)})),setSize:oi((function(e,t){var n=this,r=function(e){return"number"==typeof e||/^\d+$/.test(String(e))?e+"px":e};null!=e&&(this.display.wrapper.style.width=r(e)),null!=t&&(this.display.wrapper.style.height=r(t)),this.options.lineWrapping&&$n(this);var i=this.display.viewFrom;this.doc.iter(i,this.display.viewTo,(function(e){if(e.widgets)for(var t=0;t<e.widgets.length;t++)if(e.widgets[t].noHScroll){gr(n,i,"widget");break}++i})),this.curOp.forceUpdate=!0,ye(this,"refresh",this)})),operation:function(e){return ri(this,e)},startOperation:function(){return Zr(this)},endOperation:function(){return Xr(this)},refresh:oi((function(){var e=this.display.cachedTextHeight;mr(this),this.curOp.forceUpdate=!0,Wn(this),Nr(this,this.doc.scrollLeft,this.doc.scrollTop),hi(this.display),(null==e||Math.abs(e-sr(this.display))>.5||this.options.lineWrapping)&&pr(this),ye(this,"refresh",this)})),swapDoc:oi((function(e){var t=this.doc;return t.cm=null,this.state.selectingText&&this.state.selectingText(),zi(this,e),Wn(this),this.display.input.reset(),Nr(this,e.scrollLeft,e.scrollTop),this.curOp.forceScroll=!0,pn(this,"swapDoc",this,t),t})),phrase:function(e){var t=this.options.phrases;return t&&Object.prototype.hasOwnProperty.call(t,e)?t[e]:e},getInputField:function(){return this.display.input.getField()},getWrapperElement:function(){return this.display.wrapper},getScrollerElement:function(){return this.display.scroller},getGutterElement:function(){return this.display.gutters}},xe(e),e.registerHelper=function(t,r,i){n.hasOwnProperty(t)||(n[t]=e[t]={_global:[]}),n[t][r]=i},e.registerGlobalHelper=function(t,r,i,o){e.registerHelper(t,r,o),n[t]._global.push({pred:i,val:o})}}(Ra);var Xa="iter insert remove copy getEditor constructor".split(" ");for(var Qa in No.prototype)No.prototype.hasOwnProperty(Qa)&&$(Xa,Qa)<0&&(Ra.prototype[Qa]=function(e){return function(){return e.apply(this.doc,arguments)}}(No.prototype[Qa]));return xe(No),Ra.inputStyles={textarea:Za,contenteditable:Ha},Ra.defineMode=function(e){Ra.defaults.mode||"null"==e||(Ra.defaults.mode=e),Ue.apply(this,arguments)},Ra.defineMIME=function(e,t){ze[e]=t},Ra.defineMode("null",(function(){return{token:function(e){return e.skipToEnd()}}})),Ra.defineMIME("text/plain","null"),Ra.defineExtension=function(e,t){Ra.prototype[e]=t},Ra.defineDocExtension=function(e,t){No.prototype[e]=t},Ra.fromTextArea=function(e,t){if((t=t?z(t):{}).value=e.value,!t.tabindex&&e.tabIndex&&(t.tabindex=e.tabIndex),!t.placeholder&&e.placeholder&&(t.placeholder=e.placeholder),null==t.autofocus){var n=I(e.ownerDocument);t.autofocus=n==e||null!=e.getAttribute("autofocus")&&n==document.body}function r(){e.value=s.getValue()}var i;if(e.form&&(me(e.form,"submit",r),!t.leaveSubmitMethodAlone)){var o=e.form;i=o.submit;try{var a=o.submit=function(){r(),o.submit=i,o.submit(),o.submit=a}}catch(e){}}t.finishInit=function(n){n.save=r,n.getTextArea=function(){return e},n.toTextArea=function(){n.toTextArea=isNaN,r(),e.parentNode.removeChild(n.getWrapperElement()),e.style.display="",e.form&&(ve(e.form,"submit",r),t.leaveSubmitMethodAlone||"function"!=typeof e.form.submit||(e.form.submit=i))}},e.style.display="none";var s=Ra((function(t){return e.parentNode.insertBefore(t,e.nextSibling)}),t);return s},function(e){e.off=ve,e.on=me,e.wheelEventPixels=Ci,e.Doc=No,e.splitLines=Le,e.countColumn=U,e.findColumn=Y,e.isWordChar=re,e.Pass=H,e.signal=ye,e.Line=Zt,e.changeEnd=Di,e.scrollbarModel=Gr,e.Pos=it,e.cmpPos=ot,e.modes=Be,e.mimeModes=ze,e.resolveMode=qe,e.getMode=$e,e.modeExtensions=We,e.extendMode=He,e.copyState=Ve,e.startState=Ke,e.innerMode=Ge,e.commands=aa,e.keyMap=Ko,e.keyName=ea,e.isModifierKey=Qo,e.lookupKey=Xo,e.normalizeKeyMap=Zo,e.StringStream=Ye,e.SharedTextMarker=Ro,e.TextMarker=Po,e.LineWidget=Ao,e.e_preventDefault=ke,e.e_stopPropagation=Ee,e.e_stop=Se,e.addClass=M,e.contains=R,e.rmClass=A,e.keyNames=Wo}(Ra),Ra.version="5.65.15",Ra}()},66317:(e,t,n)=>{!function(e){"use strict";e.defineMode("apl",(function(){var e={".":"innerProduct","\\":"scan","/":"reduce","⌿":"reduce1Axis","⍀":"scan1Axis","¨":"each","⍣":"power"},t={"+":["conjugate","add"],"":["negate","subtract"],"×":["signOf","multiply"],"÷":["reciprocal","divide"],"⌈":["ceiling","greaterOf"],"⌊":["floor","lesserOf"],"":["absolute","residue"],"":["indexGenerate","indexOf"],"?":["roll","deal"],"⋆":["exponentiate","toThePowerOf"],"⍟":["naturalLog","logToTheBase"],"○":["piTimes","circularFuncs"],"!":["factorial","binomial"],"⌹":["matrixInverse","matrixDivide"],"<":[null,"lessThan"],"≤":[null,"lessThanOrEqual"],"=":[null,"equals"],">":[null,"greaterThan"],"≥":[null,"greaterThanOrEqual"],"≠":[null,"notEqual"],"≡":["depth","match"],"≢":[null,"notMatch"],"∈":["enlist","membership"],"⍷":[null,"find"],"":["unique","union"],"∩":[null,"intersection"],"":["not","without"],"":[null,"or"],"∧":[null,"and"],"⍱":[null,"nor"],"⍲":[null,"nand"],"":["shapeOf","reshape"],",":["ravel","catenate"],"⍪":[null,"firstAxisCatenate"],"⌽":["reverse","rotate"],"⊖":["axis1Reverse","axis1Rotate"],"⍉":["transpose",null],"↑":["first","take"],"↓":[null,"drop"],"⊂":["enclose","partitionWithAxis"],"⊃":["diclose","pick"],"⌷":[null,"index"],"⍋":["gradeUp",null],"⍒":["gradeDown",null],"":["encode",null],"⊥":["decode",null],"⍕":["format","formatByExample"],"⍎":["execute",null],"⊣":["stop","left"],"⊢":["pass","right"]},n=/[\.\/⌿⍀¨⍣]/,r=/⍬/,i=/[\+−×÷⌈⌊∣⍳\?⋆⍟○!⌹<≤=>≥≠≡≢∈⍷∪∩∼∨∧⍱⍲⍴,⍪⌽⊖⍉↑↓⊂⊃⌷⍋⍒⊤⊥⍕⍎⊣⊢]/,o=/←/,a=/[⍝#].*$/;return{startState:function(){return{prev:!1,func:!1,op:!1,string:!1,escape:!1}},token:function(s,l){var u,c,d,p;return s.eatSpace()?null:'"'===(u=s.next())||"'"===u?(s.eatWhile((d=u,p=!1,function(e){return p=e,e!==d||"\\"===p})),s.next(),l.prev=!0,"string"):/[\[{\(]/.test(u)?(l.prev=!1,null):/[\]}\)]/.test(u)?(l.prev=!0,null):r.test(u)?(l.prev=!1,"niladic"):/[¯\d]/.test(u)?(l.func?(l.func=!1,l.prev=!1):l.prev=!0,s.eatWhile(/[\w\.]/),"number"):n.test(u)?"operator apl-"+e[u]:o.test(u)?"apl-arrow":i.test(u)?(c="apl-",null!=t[u]&&(l.prev?c+=t[u][1]:c+=t[u][0]),l.func=!0,l.prev=!1,"function "+c):a.test(u)?(s.skipToEnd(),"comment"):"∘"===u&&"."===s.peek()?(s.next(),"function jot-dot"):(s.eatWhile(/[\w\$_]/),l.prev=!0,"keyword")}}})),e.defineMIME("text/apl","apl")}(n(23326))},64617:(e,t,n)=>{!function(e){"use strict";function t(e){var t=e.match(/^\s*\S/);return e.skipToEnd(),t?"error":null}e.defineMode("asciiarmor",(function(){return{token:function(e,n){var r;if("top"==n.state)return e.sol()&&(r=e.match(/^-----BEGIN (.*)?-----\s*$/))?(n.state="headers",n.type=r[1],"tag"):t(e);if("headers"==n.state){if(e.sol()&&e.match(/^\w+:/))return n.state="header","atom";var i=t(e);return i&&(n.state="body"),i}return"header"==n.state?(e.skipToEnd(),n.state="headers","string"):"body"==n.state?e.sol()&&(r=e.match(/^-----END (.*)?-----\s*$/))?r[1]!=n.type?"error":(n.state="end","tag"):e.eatWhile(/[A-Za-z0-9+\/=]/)?null:(e.next(),"error"):"end"==n.state?t(e):void 0},blankLine:function(e){"headers"==e.state&&(e.state="body")},startState:function(){return{state:"top",type:null}}}})),e.defineMIME("application/pgp","asciiarmor"),e.defineMIME("application/pgp-encrypted","asciiarmor"),e.defineMIME("application/pgp-keys","asciiarmor"),e.defineMIME("application/pgp-signature","asciiarmor")}(n(23326))},34474:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}e.defineMode("asn.1",(function(e,t){var n,r=e.indentUnit,i=t.keywords||{},o=t.cmipVerbs||{},a=t.compareTypes||{},s=t.status||{},l=t.tags||{},u=t.storage||{},c=t.modifier||{},d=t.accessTypes||{},p=t.multiLineStrings,f=!1!==t.indentStatements,h=/[\|\^]/;function m(e,t){var r,f=e.next();if('"'==f||"'"==f)return t.tokenize=(r=f,function(e,t){for(var n,i=!1,o=!1;null!=(n=e.next());){if(n==r&&!i){var a=e.peek();a&&("b"!=(a=a.toLowerCase())&&"h"!=a&&"o"!=a||e.next()),o=!0;break}i=!i&&"\\"==n}return(o||!i&&!p)&&(t.tokenize=null),"string"}),t.tokenize(e,t);if(/[\[\]\(\){}:=,;]/.test(f))return n=f,"punctuation";if("-"==f&&e.eat("-"))return e.skipToEnd(),"comment";if(/\d/.test(f))return e.eatWhile(/[\w\.]/),"number";if(h.test(f))return e.eatWhile(h),"operator";e.eatWhile(/[\w\-]/);var m=e.current();return i.propertyIsEnumerable(m)?"keyword":o.propertyIsEnumerable(m)?"variable cmipVerbs":a.propertyIsEnumerable(m)?"atom compareTypes":s.propertyIsEnumerable(m)?"comment status":l.propertyIsEnumerable(m)?"variable-3 tags":u.propertyIsEnumerable(m)?"builtin storage":c.propertyIsEnumerable(m)?"string-2 modifier":d.propertyIsEnumerable(m)?"atom accessTypes":"variable"}function g(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function v(e,t,n){var r=e.indented;return e.context&&"statement"==e.context.type&&(r=e.context.indented),e.context=new g(r,t,n,null,e.context)}function y(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return{startState:function(e){return{tokenize:null,context:new g((e||0)-r,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var r=t.context;if(e.sol()&&(null==r.align&&(r.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;n=null;var i=(t.tokenize||m)(e,t);if("comment"==i)return i;if(null==r.align&&(r.align=!0),";"!=n&&":"!=n&&","!=n||"statement"!=r.type)if("{"==n)v(t,e.column(),"}");else if("["==n)v(t,e.column(),"]");else if("("==n)v(t,e.column(),")");else if("}"==n){for(;"statement"==r.type;)r=y(t);for("}"==r.type&&(r=y(t));"statement"==r.type;)r=y(t)}else n==r.type?y(t):f&&(("}"==r.type||"top"==r.type)&&";"!=n||"statement"==r.type&&"newstatement"==n)&&v(t,e.column(),"statement");else y(t);return t.startOfLine=!1,i},electricChars:"{}",lineComment:"--",fold:"brace"}})),e.defineMIME("text/x-ttcn-asn",{name:"asn.1",keywords:t("DEFINITIONS OBJECTS IF DERIVED INFORMATION ACTION REPLY ANY NAMED CHARACTERIZED BEHAVIOUR REGISTERED WITH AS IDENTIFIED CONSTRAINED BY PRESENT BEGIN IMPORTS FROM UNITS SYNTAX MIN-ACCESS MAX-ACCESS MINACCESS MAXACCESS REVISION STATUS DESCRIPTION SEQUENCE SET COMPONENTS OF CHOICE DistinguishedName ENUMERATED SIZE MODULE END INDEX AUGMENTS EXTENSIBILITY IMPLIED EXPORTS"),cmipVerbs:t("ACTIONS ADD GET NOTIFICATIONS REPLACE REMOVE"),compareTypes:t("OPTIONAL DEFAULT MANAGED MODULE-TYPE MODULE_IDENTITY MODULE-COMPLIANCE OBJECT-TYPE OBJECT-IDENTITY OBJECT-COMPLIANCE MODE CONFIRMED CONDITIONAL SUBORDINATE SUPERIOR CLASS TRUE FALSE NULL TEXTUAL-CONVENTION"),status:t("current deprecated mandatory obsolete"),tags:t("APPLICATION AUTOMATIC EXPLICIT IMPLICIT PRIVATE TAGS UNIVERSAL"),storage:t("BOOLEAN INTEGER OBJECT IDENTIFIER BIT OCTET STRING UTCTime InterfaceIndex IANAifType CMIP-Attribute REAL PACKAGE PACKAGES IpAddress PhysAddress NetworkAddress BITS BMPString TimeStamp TimeTicks TruthValue RowStatus DisplayString GeneralString GraphicString IA5String NumericString PrintableString SnmpAdminString TeletexString UTF8String VideotexString VisibleString StringStore ISO646String T61String UniversalString Unsigned32 Integer32 Gauge Gauge32 Counter Counter32 Counter64"),modifier:t("ATTRIBUTE ATTRIBUTES MANDATORY-GROUP MANDATORY-GROUPS GROUP GROUPS ELEMENTS EQUALITY ORDERING SUBSTRINGS DEFINED"),accessTypes:t("not-accessible accessible-for-notify read-only read-create read-write"),multiLineStrings:!0})}(n(23326))},94147:(e,t,n)=>{!function(e){"use strict";e.defineMode("asterisk",(function(){var e=["exten","same","include","ignorepat","switch"],t=["#include","#exec"],n=["addqueuemember","adsiprog","aelsub","agentlogin","agentmonitoroutgoing","agi","alarmreceiver","amd","answer","authenticate","background","backgrounddetect","bridge","busy","callcompletioncancel","callcompletionrequest","celgenuserevent","changemonitor","chanisavail","channelredirect","chanspy","clearhash","confbridge","congestion","continuewhile","controlplayback","dahdiacceptr2call","dahdibarge","dahdiras","dahdiscan","dahdisendcallreroutingfacility","dahdisendkeypadfacility","datetime","dbdel","dbdeltree","deadagi","dial","dictate","directory","disa","dumpchan","eagi","echo","endwhile","exec","execif","execiftime","exitwhile","extenspy","externalivr","festival","flash","followme","forkcdr","getcpeid","gosub","gosubif","goto","gotoif","gotoiftime","hangup","iax2provision","ices","importvar","incomplete","ivrdemo","jabberjoin","jabberleave","jabbersend","jabbersendgroup","jabberstatus","jack","log","macro","macroexclusive","macroexit","macroif","mailboxexists","meetme","meetmeadmin","meetmechanneladmin","meetmecount","milliwatt","minivmaccmess","minivmdelete","minivmgreet","minivmmwi","minivmnotify","minivmrecord","mixmonitor","monitor","morsecode","mp3player","mset","musiconhold","nbscat","nocdr","noop","odbc","odbc","odbcfinish","originate","ospauth","ospfinish","osplookup","ospnext","page","park","parkandannounce","parkedcall","pausemonitor","pausequeuemember","pickup","pickupchan","playback","playtones","privacymanager","proceeding","progress","queue","queuelog","raiseexception","read","readexten","readfile","receivefax","receivefax","receivefax","record","removequeuemember","resetcdr","retrydial","return","ringing","sayalpha","saycountedadj","saycountednoun","saycountpl","saydigits","saynumber","sayphonetic","sayunixtime","senddtmf","sendfax","sendfax","sendfax","sendimage","sendtext","sendurl","set","setamaflags","setcallerpres","setmusiconhold","sipaddheader","sipdtmfmode","sipremoveheader","skel","slastation","slatrunk","sms","softhangup","speechactivategrammar","speechbackground","speechcreate","speechdeactivategrammar","speechdestroy","speechloadgrammar","speechprocessingsound","speechstart","speechunloadgrammar","stackpop","startmusiconhold","stopmixmonitor","stopmonitor","stopmusiconhold","stopplaytones","system","testclient","testserver","transfer","tryexec","trysystem","unpausemonitor","unpausequeuemember","userevent","verbose","vmauthenticate","vmsayname","voicemail","voicemailmain","wait","waitexten","waitfornoise","waitforring","waitforsilence","waitmusiconhold","waituntil","while","zapateller"];return{startState:function(){return{blockComment:!1,extenStart:!1,extenSame:!1,extenInclude:!1,extenExten:!1,extenPriority:!1,extenApplication:!1}},token:function(r,i){var o="";return r.eatSpace()?null:i.extenStart?(r.eatWhile(/[^\s]/),o=r.current(),/^=>?$/.test(o)?(i.extenExten=!0,i.extenStart=!1,"strong"):(i.extenStart=!1,r.skipToEnd(),"error")):i.extenExten?(i.extenExten=!1,i.extenPriority=!0,r.eatWhile(/[^,]/),i.extenInclude&&(r.skipToEnd(),i.extenPriority=!1,i.extenInclude=!1),i.extenSame&&(i.extenPriority=!1,i.extenSame=!1,i.extenApplication=!0),"tag"):i.extenPriority?(i.extenPriority=!1,i.extenApplication=!0,r.next(),i.extenSame?null:(r.eatWhile(/[^,]/),"number")):i.extenApplication?(r.eatWhile(/,/),","===(o=r.current())?null:(r.eatWhile(/\w/),o=r.current().toLowerCase(),i.extenApplication=!1,-1!==n.indexOf(o)?"def strong":null)):function(n,r){var i="",o=n.next();if(r.blockComment)return"-"==o&&n.match("-;",!0)?r.blockComment=!1:n.skipTo("--;")?(n.next(),n.next(),n.next(),r.blockComment=!1):n.skipToEnd(),"comment";if(";"==o)return n.match("--",!0)&&!n.match("-",!1)?(r.blockComment=!0,"comment"):(n.skipToEnd(),"comment");if("["==o)return n.skipTo("]"),n.eat("]"),"header";if('"'==o)return n.skipTo('"'),"string";if("'"==o)return n.skipTo("'"),"string-2";if("#"==o&&(n.eatWhile(/\w/),i=n.current(),-1!==t.indexOf(i)))return n.skipToEnd(),"strong";if("$"==o&&"{"==n.peek())return n.skipTo("}"),n.eat("}"),"variable-3";if(n.eatWhile(/\w/),i=n.current(),-1!==e.indexOf(i)){switch(r.extenStart=!0,i){case"same":r.extenSame=!0;break;case"include":case"switch":case"ignorepat":r.extenInclude=!0}return"atom"}}(r,i)},blockCommentStart:";--",blockCommentEnd:"--;",lineComment:";"}})),e.defineMIME("text/x-asterisk","asterisk")}(n(23326))},91352:(e,t,n)=>{!function(e){"use strict";var t="><+-.,[]".split("");e.defineMode("brainfuck",(function(){return{startState:function(){return{commentLine:!1,left:0,right:0,commentLoop:!1}},token:function(e,n){if(e.eatSpace())return null;e.sol()&&(n.commentLine=!1);var r=e.next().toString();return-1===t.indexOf(r)?(n.commentLine=!0,e.eol()&&(n.commentLine=!1),"comment"):!0===n.commentLine?(e.eol()&&(n.commentLine=!1),"comment"):"]"===r||"["===r?("["===r?n.left++:n.right++,"bracket"):"+"===r||"-"===r?"keyword":"<"===r||">"===r?"atom":"."===r||","===r?"def":void(e.eol()&&(n.commentLine=!1))}}})),e.defineMIME("text/x-brainfuck","brainfuck")}(n(23326))},80011:(e,t,n)=>{!function(e){"use strict";function t(e,t,n,r,i,o){this.indented=e,this.column=t,this.type=n,this.info=r,this.align=i,this.prev=o}function n(e,n,r,i){var o=e.indented;return e.context&&"statement"==e.context.type&&"statement"!=r&&(o=e.context.indented),e.context=new t(o,n,r,i,null,e.context)}function r(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}function i(e,t,n){return"variable"==t.prevToken||"type"==t.prevToken||!!/\S(?:[^- ]>|[*\]])\s*$|\*$/.test(e.string.slice(0,n))||!(!t.typeAtEndOfLine||e.column()!=e.indentation())||void 0}function o(e){for(;;){if(!e||"top"==e.type)return!0;if("}"==e.type&&"namespace"!=e.prev.info)return!1;e=e.prev}}function a(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}function s(e,t){return"function"==typeof e?e(t):e.propertyIsEnumerable(t)}e.defineMode("clike",(function(a,l){var u,c,d=a.indentUnit,p=l.statementIndentUnit||d,f=l.dontAlignCalls,h=l.keywords||{},m=l.types||{},g=l.builtin||{},v=l.blockKeywords||{},y=l.defKeywords||{},b=l.atoms||{},w=l.hooks||{},_=l.multiLineStrings,x=!1!==l.indentStatements,k=!1!==l.indentSwitch,E=l.namespaceSeparator,C=l.isPunctuationChar||/[\[\]{}\(\),;\:\.]/,S=l.numberStart||/[\d\.]/,A=l.number||/^(?:0x[a-f\d]+|0b[01]+|(?:\d+\.?\d*|\.\d+)(?:e[-+]?\d+)?)(u|ll?|l|f)?/i,T=l.isOperatorChar||/[+\-*&%=<>!?|\/]/,O=l.isIdentifierChar||/[\w\$_\xa1-\uffff]/,P=l.isReservedIdentifier||!1;function D(e,t){var n,r=e.next();if(w[r]){var i=w[r](e,t);if(!1!==i)return i}if('"'==r||"'"==r)return t.tokenize=(n=r,function(e,t){for(var r,i=!1,o=!1;null!=(r=e.next());){if(r==n&&!i){o=!0;break}i=!i&&"\\"==r}return(o||!i&&!_)&&(t.tokenize=null),"string"}),t.tokenize(e,t);if(S.test(r)){if(e.backUp(1),e.match(A))return"number";e.next()}if(C.test(r))return u=r,null;if("/"==r){if(e.eat("*"))return t.tokenize=R,R(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}if(T.test(r)){for(;!e.match(/^\/[\/*]/,!1)&&e.eat(T););return"operator"}if(e.eatWhile(O),E)for(;e.match(E);)e.eatWhile(O);var o=e.current();return s(h,o)?(s(v,o)&&(u="newstatement"),s(y,o)&&(c=!0),"keyword"):s(m,o)?"type":s(g,o)||P&&P(o)?(s(v,o)&&(u="newstatement"),"builtin"):s(b,o)?"atom":"variable"}function R(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=null;break}r="*"==n}return"comment"}function I(e,t){l.typeFirstDefinitions&&e.eol()&&o(t.context)&&(t.typeAtEndOfLine=i(e,t,e.pos))}return{startState:function(e){return{tokenize:null,context:new t((e||0)-d,0,"top",null,!1),indented:0,startOfLine:!0,prevToken:null}},token:function(e,t){var a=t.context;if(e.sol()&&(null==a.align&&(a.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return I(e,t),null;u=c=null;var s=(t.tokenize||D)(e,t);if("comment"==s||"meta"==s)return s;if(null==a.align&&(a.align=!0),";"==u||":"==u||","==u&&e.match(/^\s*(?:\/\/.*)?$/,!1))for(;"statement"==t.context.type;)r(t);else if("{"==u)n(t,e.column(),"}");else if("["==u)n(t,e.column(),"]");else if("("==u)n(t,e.column(),")");else if("}"==u){for(;"statement"==a.type;)a=r(t);for("}"==a.type&&(a=r(t));"statement"==a.type;)a=r(t)}else u==a.type?r(t):x&&(("}"==a.type||"top"==a.type)&&";"!=u||"statement"==a.type&&"newstatement"==u)&&n(t,e.column(),"statement",e.current());if("variable"==s&&("def"==t.prevToken||l.typeFirstDefinitions&&i(e,t,e.start)&&o(t.context)&&e.match(/^\s*\(/,!1))&&(s="def"),w.token){var d=w.token(e,t,s);void 0!==d&&(s=d)}return"def"==s&&!1===l.styleDefs&&(s="variable"),t.startOfLine=!1,t.prevToken=c?"def":s||u,I(e,t),s},indent:function(t,n){if(t.tokenize!=D&&null!=t.tokenize||t.typeAtEndOfLine&&o(t.context))return e.Pass;var r=t.context,i=n&&n.charAt(0),a=i==r.type;if("statement"==r.type&&"}"==i&&(r=r.prev),l.dontIndentStatements)for(;"statement"==r.type&&l.dontIndentStatements.test(r.info);)r=r.prev;if(w.indent){var s=w.indent(t,r,n,d);if("number"==typeof s)return s}var u=r.prev&&"switch"==r.prev.info;if(l.allmanIndentation&&/[{(]/.test(i)){for(;"top"!=r.type&&"}"!=r.type;)r=r.prev;return r.indented}return"statement"==r.type?r.indented+("{"==i?0:p):!r.align||f&&")"==r.type?")"!=r.type||a?r.indented+(a?0:d)+(a||!u||/^(?:case|default)\b/.test(n)?0:d):r.indented+p:r.column+(a?0:1)},electricInput:k?/^\s*(?:case .*?:|default:|\{\}?|\})$/:/^\s*[{}]$/,blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",lineComment:"//",fold:"brace"}}));var l="auto if break case register continue return default do sizeof static else struct switch extern typedef union for goto while enum const volatile inline restrict asm fortran",u="alignas alignof and and_eq audit axiom bitand bitor catch class compl concept constexpr const_cast decltype delete dynamic_cast explicit export final friend import module mutable namespace new noexcept not not_eq operator or or_eq override private protected public reinterpret_cast requires static_assert static_cast template this thread_local throw try typeid typename using virtual xor xor_eq",c="bycopy byref in inout oneway out self super atomic nonatomic retain copy readwrite readonly strong weak assign typeof nullable nonnull null_resettable _cmd @interface @implementation @end @protocol @encode @property @synthesize @dynamic @class @public @package @private @protected @required @optional @try @catch @finally @import @selector @encode @defs @synchronized @autoreleasepool @compatibility_alias @available",d="FOUNDATION_EXPORT FOUNDATION_EXTERN NS_INLINE NS_FORMAT_FUNCTION NS_RETURNS_RETAINEDNS_ERROR_ENUM NS_RETURNS_NOT_RETAINED NS_RETURNS_INNER_POINTER NS_DESIGNATED_INITIALIZER NS_ENUM NS_OPTIONS NS_REQUIRES_NIL_TERMINATION NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END NS_SWIFT_NAME NS_REFINED_FOR_SWIFT",p=a("int long char short double float unsigned signed void bool"),f=a("SEL instancetype id Class Protocol BOOL");function h(e){return s(p,e)||/.+_t$/.test(e)}function m(e){return h(e)||s(f,e)}var g="case do else for if switch while struct enum union",v="struct enum union";function y(e,t){if(!t.startOfLine)return!1;for(var n,r=null;n=e.peek();){if("\\"==n&&e.match(/^.$/)){r=y;break}if("/"==n&&e.match(/^\/[\/\*]/,!1))break;e.next()}return t.tokenize=r,"meta"}function b(e,t){return"type"==t.prevToken&&"type"}function w(e){return!(!e||e.length<2||"_"!=e[0]||"_"!=e[1]&&e[1]===e[1].toLowerCase())}function _(e){return e.eatWhile(/[\w\.']/),"number"}function x(e,t){if(e.backUp(1),e.match(/^(?:R|u8R|uR|UR|LR)/)){var n=e.match(/^"([^\s\\()]{0,16})\(/);return!!n&&(t.cpp11RawStringDelim=n[1],t.tokenize=C,C(e,t))}return e.match(/^(?:u8|u|U|L)/)?!!e.match(/^["']/,!1)&&"string":(e.next(),!1)}function k(e){var t=/(\w+)::~?(\w+)$/.exec(e);return t&&t[1]==t[2]}function E(e,t){for(var n;null!=(n=e.next());)if('"'==n&&!e.eat('"')){t.tokenize=null;break}return"string"}function C(e,t){var n=t.cpp11RawStringDelim.replace(/[^\w\s]/g,"\\$&");return e.match(new RegExp(".*?\\)"+n+'"'))?t.tokenize=null:e.skipToEnd(),"string"}function S(t,n){"string"==typeof t&&(t=[t]);var r=[];function i(e){if(e)for(var t in e)e.hasOwnProperty(t)&&r.push(t)}i(n.keywords),i(n.types),i(n.builtin),i(n.atoms),r.length&&(n.helperType=t[0],e.registerHelper("hintWords",t[0],r));for(var o=0;o<t.length;++o)e.defineMIME(t[o],n)}function A(e,t){for(var n=!1;!e.eol();){if(!n&&e.match('"""')){t.tokenize=null;break}n="\\"==e.next()&&!n}return"string"}function T(e){return function(t,n){for(var r;r=t.next();){if("*"==r&&t.eat("/")){if(1==e){n.tokenize=null;break}return n.tokenize=T(e-1),n.tokenize(t,n)}if("/"==r&&t.eat("*"))return n.tokenize=T(e+1),n.tokenize(t,n)}return"comment"}}S(["text/x-csrc","text/x-c","text/x-chdr"],{name:"clike",keywords:a(l),types:h,blockKeywords:a(g),defKeywords:a(v),typeFirstDefinitions:!0,atoms:a("NULL true false"),isReservedIdentifier:w,hooks:{"#":y,"*":b},modeProps:{fold:["brace","include"]}}),S(["text/x-c++src","text/x-c++hdr"],{name:"clike",keywords:a(l+" "+u),types:h,blockKeywords:a(g+" class try catch"),defKeywords:a(v+" class namespace"),typeFirstDefinitions:!0,atoms:a("true false NULL nullptr"),dontIndentStatements:/^template$/,isIdentifierChar:/[\w\$_~\xa1-\uffff]/,isReservedIdentifier:w,hooks:{"#":y,"*":b,u:x,U:x,L:x,R:x,0:_,1:_,2:_,3:_,4:_,5:_,6:_,7:_,8:_,9:_,token:function(e,t,n){if("variable"==n&&"("==e.peek()&&(";"==t.prevToken||null==t.prevToken||"}"==t.prevToken)&&k(e.current()))return"def"}},namespaceSeparator:"::",modeProps:{fold:["brace","include"]}}),S("text/x-java",{name:"clike",keywords:a("abstract assert break case catch class const continue default do else enum extends final finally for goto if implements import instanceof interface native new package private protected public return static strictfp super switch synchronized this throw throws transient try volatile while @interface"),types:a("var byte short int long float double boolean char void Boolean Byte Character Double Float Integer Long Number Object Short String StringBuffer StringBuilder Void"),blockKeywords:a("catch class do else finally for if switch try while"),defKeywords:a("class interface enum @interface"),typeFirstDefinitions:!0,atoms:a("true false null"),number:/^(?:0x[a-f\d_]+|0b[01_]+|(?:[\d_]+\.?\d*|\.\d+)(?:e[-+]?[\d_]+)?)(u|ll?|l|f)?/i,hooks:{"@":function(e){return!e.match("interface",!1)&&(e.eatWhile(/[\w\$_]/),"meta")},'"':function(e,t){return!!e.match(/""$/)&&(t.tokenize=A,t.tokenize(e,t))}},modeProps:{fold:["brace","import"]}}),S("text/x-csharp",{name:"clike",keywords:a("abstract as async await base break case catch checked class const continue default delegate do else enum event explicit extern finally fixed for foreach goto if implicit in init interface internal is lock namespace new operator out override params private protected public readonly record ref required return sealed sizeof stackalloc static struct switch this throw try typeof unchecked unsafe using virtual void volatile while add alias ascending descending dynamic from get global group into join let orderby partial remove select set value var yield"),types:a("Action Boolean Byte Char DateTime DateTimeOffset Decimal Double Func Guid Int16 Int32 Int64 Object SByte Single String Task TimeSpan UInt16 UInt32 UInt64 bool byte char decimal double short int long object sbyte float string ushort uint ulong"),blockKeywords:a("catch class do else finally for foreach if struct switch try while"),defKeywords:a("class interface namespace record struct var"),typeFirstDefinitions:!0,atoms:a("true false null"),hooks:{"@":function(e,t){return e.eat('"')?(t.tokenize=E,E(e,t)):(e.eatWhile(/[\w\$_]/),"meta")}}}),S("text/x-scala",{name:"clike",keywords:a("abstract case catch class def do else extends final finally for forSome if implicit import lazy match new null object override package private protected return sealed super this throw trait try type val var while with yield _ assert assume require print println printf readLine readBoolean readByte readShort readChar readInt readLong readFloat readDouble"),types:a("AnyVal App Application Array BufferedIterator BigDecimal BigInt Char Console Either Enumeration Equiv Error Exception Fractional Function IndexedSeq Int Integral Iterable Iterator List Map Numeric Nil NotNull Option Ordered Ordering PartialFunction PartialOrdering Product Proxy Range Responder Seq Serializable Set Specializable Stream StringBuilder StringContext Symbol Throwable Traversable TraversableOnce Tuple Unit Vector Boolean Byte Character CharSequence Class ClassLoader Cloneable Comparable Compiler Double Exception Float Integer Long Math Number Object Package Pair Process Runtime Runnable SecurityManager Short StackTraceElement StrictMath String StringBuffer System Thread ThreadGroup ThreadLocal Throwable Triple Void"),multiLineStrings:!0,blockKeywords:a("catch class enum do else finally for forSome if match switch try while"),defKeywords:a("class enum def object package trait type val var"),atoms:a("true false null"),indentStatements:!1,indentSwitch:!1,isOperatorChar:/[+\-*&%=<>!?|\/#:@]/,hooks:{"@":function(e){return e.eatWhile(/[\w\$_]/),"meta"},'"':function(e,t){return!!e.match('""')&&(t.tokenize=A,t.tokenize(e,t))},"'":function(e){return e.match(/^(\\[^'\s]+|[^\\'])'/)?"string-2":(e.eatWhile(/[\w\$_\xa1-\uffff]/),"atom")},"=":function(e,n){var r=n.context;return!("}"!=r.type||!r.align||!e.eat(">"))&&(n.context=new t(r.indented,r.column,r.type,r.info,null,r.prev),"operator")},"/":function(e,t){return!!e.eat("*")&&(t.tokenize=T(1),t.tokenize(e,t))}},modeProps:{closeBrackets:{pairs:'()[]{}""',triples:'"'}}}),S("text/x-kotlin",{name:"clike",keywords:a("package as typealias class interface this super val operator var fun for is in This throw return annotation break continue object if else while do try when !in !is as? file import where by get set abstract enum open inner override private public internal protected catch finally out final vararg reified dynamic companion constructor init sealed field property receiver param sparam lateinit data inline noinline tailrec external annotation crossinline const operator infix suspend actual expect setparam value"),types:a("Boolean Byte Character CharSequence Class ClassLoader Cloneable Comparable Compiler Double Exception Float Integer Long Math Number Object Package Pair Process Runtime Runnable SecurityManager Short StackTraceElement StrictMath String StringBuffer System Thread ThreadGroup ThreadLocal Throwable Triple Void Annotation Any BooleanArray ByteArray Char CharArray DeprecationLevel DoubleArray Enum FloatArray Function Int IntArray Lazy LazyThreadSafetyMode LongArray Nothing ShortArray Unit"),intendSwitch:!1,indentStatements:!1,multiLineStrings:!0,number:/^(?:0x[a-f\d_]+|0b[01_]+|(?:[\d_]+(\.\d+)?|\.\d+)(?:e[-+]?[\d_]+)?)(u|ll?|l|f)?/i,blockKeywords:a("catch class do else finally for if where try while enum"),defKeywords:a("class val var object interface fun"),atoms:a("true false null this"),hooks:{"@":function(e){return e.eatWhile(/[\w\$_]/),"meta"},"*":function(e,t){return"."==t.prevToken?"variable":"operator"},'"':function(e,t){return t.tokenize=(n=e.match('""'),function(e,t){for(var r,i=!1,o=!1;!e.eol();){if(!n&&!i&&e.match('"')){o=!0;break}if(n&&e.match('"""')){o=!0;break}r=e.next(),!i&&"$"==r&&e.match("{")&&e.skipTo("}"),i=!i&&"\\"==r&&!n}return!o&&n||(t.tokenize=null),"string"}),t.tokenize(e,t);var n},"/":function(e,t){return!!e.eat("*")&&(t.tokenize=T(1),t.tokenize(e,t))},indent:function(e,t,n,r){var i=n&&n.charAt(0);return"}"!=e.prevToken&&")"!=e.prevToken||""!=n?"operator"==e.prevToken&&"}"!=n&&"}"!=e.context.type||"variable"==e.prevToken&&"."==i||("}"==e.prevToken||")"==e.prevToken)&&"."==i?2*r+t.indented:t.align&&"}"==t.type?t.indented+(e.context.type==(n||"").charAt(0)?0:r):void 0:e.indented}},modeProps:{closeBrackets:{triples:'"'}}}),S(["x-shader/x-vertex","x-shader/x-fragment"],{name:"clike",keywords:a("sampler1D sampler2D sampler3D samplerCube sampler1DShadow sampler2DShadow const attribute uniform varying break continue discard return for while do if else struct in out inout"),types:a("float int bool void vec2 vec3 vec4 ivec2 ivec3 ivec4 bvec2 bvec3 bvec4 mat2 mat3 mat4"),blockKeywords:a("for while do if else struct"),builtin:a("radians degrees sin cos tan asin acos atan pow exp log exp2 sqrt inversesqrt abs sign floor ceil fract mod min max clamp mix step smoothstep length distance dot cross normalize ftransform faceforward reflect refract matrixCompMult lessThan lessThanEqual greaterThan greaterThanEqual equal notEqual any all not texture1D texture1DProj texture1DLod texture1DProjLod texture2D texture2DProj texture2DLod texture2DProjLod texture3D texture3DProj texture3DLod texture3DProjLod textureCube textureCubeLod shadow1D shadow2D shadow1DProj shadow2DProj shadow1DLod shadow2DLod shadow1DProjLod shadow2DProjLod dFdx dFdy fwidth noise1 noise2 noise3 noise4"),atoms:a("true false gl_FragColor gl_SecondaryColor gl_Normal gl_Vertex gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_FogCoord gl_PointCoord gl_Position gl_PointSize gl_ClipVertex gl_FrontColor gl_BackColor gl_FrontSecondaryColor gl_BackSecondaryColor gl_TexCoord gl_FogFragCoord gl_FragCoord gl_FrontFacing gl_FragData gl_FragDepth gl_ModelViewMatrix gl_ProjectionMatrix gl_ModelViewProjectionMatrix gl_TextureMatrix gl_NormalMatrix gl_ModelViewMatrixInverse gl_ProjectionMatrixInverse gl_ModelViewProjectionMatrixInverse gl_TextureMatrixTranspose gl_ModelViewMatrixInverseTranspose gl_ProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixInverseTranspose gl_TextureMatrixInverseTranspose gl_NormalScale gl_DepthRange gl_ClipPlane gl_Point gl_FrontMaterial gl_BackMaterial gl_LightSource gl_LightModel gl_FrontLightModelProduct gl_BackLightModelProduct gl_TextureColor gl_EyePlaneS gl_EyePlaneT gl_EyePlaneR gl_EyePlaneQ gl_FogParameters gl_MaxLights gl_MaxClipPlanes gl_MaxTextureUnits gl_MaxTextureCoords gl_MaxVertexAttribs gl_MaxVertexUniformComponents gl_MaxVaryingFloats gl_MaxVertexTextureImageUnits gl_MaxTextureImageUnits gl_MaxFragmentUniformComponents gl_MaxCombineTextureImageUnits gl_MaxDrawBuffers"),indentSwitch:!1,hooks:{"#":y},modeProps:{fold:["brace","include"]}}),S("text/x-nesc",{name:"clike",keywords:a(l+" as atomic async call command component components configuration event generic implementation includes interface module new norace nx_struct nx_union post provides signal task uses abstract extends"),types:h,blockKeywords:a(g),atoms:a("null true false"),hooks:{"#":y},modeProps:{fold:["brace","include"]}}),S("text/x-objectivec",{name:"clike",keywords:a(l+" "+c),types:m,builtin:a(d),blockKeywords:a(g+" @synthesize @try @catch @finally @autoreleasepool @synchronized"),defKeywords:a(v+" @interface @implementation @protocol @class"),dontIndentStatements:/^@.*$/,typeFirstDefinitions:!0,atoms:a("YES NO NULL Nil nil true false nullptr"),isReservedIdentifier:w,hooks:{"#":y,"*":b},modeProps:{fold:["brace","include"]}}),S("text/x-objectivec++",{name:"clike",keywords:a(l+" "+c+" "+u),types:m,builtin:a(d),blockKeywords:a(g+" @synthesize @try @catch @finally @autoreleasepool @synchronized class try catch"),defKeywords:a(v+" @interface @implementation @protocol @class class namespace"),dontIndentStatements:/^@.*$|^template$/,typeFirstDefinitions:!0,atoms:a("YES NO NULL Nil nil true false nullptr"),isReservedIdentifier:w,hooks:{"#":y,"*":b,u:x,U:x,L:x,R:x,0:_,1:_,2:_,3:_,4:_,5:_,6:_,7:_,8:_,9:_,token:function(e,t,n){if("variable"==n&&"("==e.peek()&&(";"==t.prevToken||null==t.prevToken||"}"==t.prevToken)&&k(e.current()))return"def"}},namespaceSeparator:"::",modeProps:{fold:["brace","include"]}}),S("text/x-squirrel",{name:"clike",keywords:a("base break clone continue const default delete enum extends function in class foreach local resume return this throw typeof yield constructor instanceof static"),types:h,blockKeywords:a("case catch class else for foreach if switch try while"),defKeywords:a("function local class"),typeFirstDefinitions:!0,atoms:a("true false null"),hooks:{"#":y},modeProps:{fold:["brace","include"]}});var O=null;function P(e){return function(t,n){for(var r,i=!1,o=!1;!t.eol();){if(!i&&t.match('"')&&("single"==e||t.match('""'))){o=!0;break}if(!i&&t.match("``")){O=P(e),o=!0;break}r=t.next(),i="single"==e&&!i&&"\\"==r}return o&&(n.tokenize=null),"string"}}S("text/x-ceylon",{name:"clike",keywords:a("abstracts alias assembly assert assign break case catch class continue dynamic else exists extends finally for function given if import in interface is let module new nonempty object of out outer package return satisfies super switch then this throw try value void while"),types:function(e){var t=e.charAt(0);return t===t.toUpperCase()&&t!==t.toLowerCase()},blockKeywords:a("case catch class dynamic else finally for function if interface module new object switch try while"),defKeywords:a("class dynamic function interface module object package value"),builtin:a("abstract actual aliased annotation by default deprecated doc final formal late license native optional sealed see serializable shared suppressWarnings tagged throws variable"),isPunctuationChar:/[\[\]{}\(\),;\:\.`]/,isOperatorChar:/[+\-*&%=<>!?|^~:\/]/,numberStart:/[\d#$]/,number:/^(?:#[\da-fA-F_]+|\$[01_]+|[\d_]+[kMGTPmunpf]?|[\d_]+\.[\d_]+(?:[eE][-+]?\d+|[kMGTPmunpf]|)|)/i,multiLineStrings:!0,typeFirstDefinitions:!0,atoms:a("true false null larger smaller equal empty finished"),indentSwitch:!1,styleDefs:!1,hooks:{"@":function(e){return e.eatWhile(/[\w\$_]/),"meta"},'"':function(e,t){return t.tokenize=P(e.match('""')?"triple":"single"),t.tokenize(e,t)},"`":function(e,t){return!(!O||!e.match("`"))&&(t.tokenize=O,O=null,t.tokenize(e,t))},"'":function(e){return e.eatWhile(/[\w\$_\xa1-\uffff]/),"atom"},token:function(e,t,n){if(("variable"==n||"type"==n)&&"."==t.prevToken)return"variable-2"}},modeProps:{fold:["brace","import"],closeBrackets:{triples:'"'}}})}(n(23326))},3463:(e,t,n)=>{!function(e){"use strict";e.defineMode("clojure",(function(t){var n=["false","nil","true"],r=[".","catch","def","do","if","monitor-enter","monitor-exit","new","quote","recur","set!","throw","try","var"],i=["*","*'","*1","*2","*3","*agent*","*allow-unresolved-vars*","*assert*","*clojure-version*","*command-line-args*","*compile-files*","*compile-path*","*compiler-options*","*data-readers*","*default-data-reader-fn*","*e","*err*","*file*","*flush-on-newline*","*fn-loader*","*in*","*math-context*","*ns*","*out*","*print-dup*","*print-length*","*print-level*","*print-meta*","*print-namespace-maps*","*print-readably*","*read-eval*","*reader-resolver*","*source-path*","*suppress-read*","*unchecked-math*","*use-context-classloader*","*verbose-defrecords*","*warn-on-reflection*","+","+'","-","-'","->","->>","->ArrayChunk","->Eduction","->Vec","->VecNode","->VecSeq","-cache-protocol-fn","-reset-methods","..","/","<","<=","=","==",">",">=","EMPTY-NODE","Inst","StackTraceElement->vec","Throwable->map","accessor","aclone","add-classpath","add-watch","agent","agent-error","agent-errors","aget","alength","alias","all-ns","alter","alter-meta!","alter-var-root","amap","ancestors","and","any?","apply","areduce","array-map","as->","aset","aset-boolean","aset-byte","aset-char","aset-double","aset-float","aset-int","aset-long","aset-short","assert","assoc","assoc!","assoc-in","associative?","atom","await","await-for","await1","bases","bean","bigdec","bigint","biginteger","binding","bit-and","bit-and-not","bit-clear","bit-flip","bit-not","bit-or","bit-set","bit-shift-left","bit-shift-right","bit-test","bit-xor","boolean","boolean-array","boolean?","booleans","bound-fn","bound-fn*","bound?","bounded-count","butlast","byte","byte-array","bytes","bytes?","case","cast","cat","char","char-array","char-escape-string","char-name-string","char?","chars","chunk","chunk-append","chunk-buffer","chunk-cons","chunk-first","chunk-next","chunk-rest","chunked-seq?","class","class?","clear-agent-errors","clojure-version","coll?","comment","commute","comp","comparator","compare","compare-and-set!","compile","complement","completing","concat","cond","cond->","cond->>","condp","conj","conj!","cons","constantly","construct-proxy","contains?","count","counted?","create-ns","create-struct","cycle","dec","dec'","decimal?","declare","dedupe","default-data-readers","definline","definterface","defmacro","defmethod","defmulti","defn","defn-","defonce","defprotocol","defrecord","defstruct","deftype","delay","delay?","deliver","denominator","deref","derive","descendants","destructure","disj","disj!","dissoc","dissoc!","distinct","distinct?","doall","dorun","doseq","dosync","dotimes","doto","double","double-array","double?","doubles","drop","drop-last","drop-while","eduction","empty","empty?","ensure","ensure-reduced","enumeration-seq","error-handler","error-mode","eval","even?","every-pred","every?","ex-data","ex-info","extend","extend-protocol","extend-type","extenders","extends?","false?","ffirst","file-seq","filter","filterv","find","find-keyword","find-ns","find-protocol-impl","find-protocol-method","find-var","first","flatten","float","float-array","float?","floats","flush","fn","fn?","fnext","fnil","for","force","format","frequencies","future","future-call","future-cancel","future-cancelled?","future-done?","future?","gen-class","gen-interface","gensym","get","get-in","get-method","get-proxy-class","get-thread-bindings","get-validator","group-by","halt-when","hash","hash-combine","hash-map","hash-ordered-coll","hash-set","hash-unordered-coll","ident?","identical?","identity","if-let","if-not","if-some","ifn?","import","in-ns","inc","inc'","indexed?","init-proxy","inst-ms","inst-ms*","inst?","instance?","int","int-array","int?","integer?","interleave","intern","interpose","into","into-array","ints","io!","isa?","iterate","iterator-seq","juxt","keep","keep-indexed","key","keys","keyword","keyword?","last","lazy-cat","lazy-seq","let","letfn","line-seq","list","list*","list?","load","load-file","load-reader","load-string","loaded-libs","locking","long","long-array","longs","loop","macroexpand","macroexpand-1","make-array","make-hierarchy","map","map-entry?","map-indexed","map?","mapcat","mapv","max","max-key","memfn","memoize","merge","merge-with","meta","method-sig","methods","min","min-key","mix-collection-hash","mod","munge","name","namespace","namespace-munge","nat-int?","neg-int?","neg?","newline","next","nfirst","nil?","nnext","not","not-any?","not-empty","not-every?","not=","ns","ns-aliases","ns-imports","ns-interns","ns-map","ns-name","ns-publics","ns-refers","ns-resolve","ns-unalias","ns-unmap","nth","nthnext","nthrest","num","number?","numerator","object-array","odd?","or","parents","partial","partition","partition-all","partition-by","pcalls","peek","persistent!","pmap","pop","pop!","pop-thread-bindings","pos-int?","pos?","pr","pr-str","prefer-method","prefers","primitives-classnames","print","print-ctor","print-dup","print-method","print-simple","print-str","printf","println","println-str","prn","prn-str","promise","proxy","proxy-call-with-super","proxy-mappings","proxy-name","proxy-super","push-thread-bindings","pvalues","qualified-ident?","qualified-keyword?","qualified-symbol?","quot","rand","rand-int","rand-nth","random-sample","range","ratio?","rational?","rationalize","re-find","re-groups","re-matcher","re-matches","re-pattern","re-seq","read","read-line","read-string","reader-conditional","reader-conditional?","realized?","record?","reduce","reduce-kv","reduced","reduced?","reductions","ref","ref-history-count","ref-max-history","ref-min-history","ref-set","refer","refer-clojure","reify","release-pending-sends","rem","remove","remove-all-methods","remove-method","remove-ns","remove-watch","repeat","repeatedly","replace","replicate","require","reset!","reset-meta!","reset-vals!","resolve","rest","restart-agent","resultset-seq","reverse","reversible?","rseq","rsubseq","run!","satisfies?","second","select-keys","send","send-off","send-via","seq","seq?","seqable?","seque","sequence","sequential?","set","set-agent-send-executor!","set-agent-send-off-executor!","set-error-handler!","set-error-mode!","set-validator!","set?","short","short-array","shorts","shuffle","shutdown-agents","simple-ident?","simple-keyword?","simple-symbol?","slurp","some","some->","some->>","some-fn","some?","sort","sort-by","sorted-map","sorted-map-by","sorted-set","sorted-set-by","sorted?","special-symbol?","spit","split-at","split-with","str","string?","struct","struct-map","subs","subseq","subvec","supers","swap!","swap-vals!","symbol","symbol?","sync","tagged-literal","tagged-literal?","take","take-last","take-nth","take-while","test","the-ns","thread-bound?","time","to-array","to-array-2d","trampoline","transduce","transient","tree-seq","true?","type","unchecked-add","unchecked-add-int","unchecked-byte","unchecked-char","unchecked-dec","unchecked-dec-int","unchecked-divide-int","unchecked-double","unchecked-float","unchecked-inc","unchecked-inc-int","unchecked-int","unchecked-long","unchecked-multiply","unchecked-multiply-int","unchecked-negate","unchecked-negate-int","unchecked-remainder-int","unchecked-short","unchecked-subtract","unchecked-subtract-int","underive","unquote","unquote-splicing","unreduced","unsigned-bit-shift-right","update","update-in","update-proxy","uri?","use","uuid?","val","vals","var-get","var-set","var?","vary-meta","vec","vector","vector-of","vector?","volatile!","volatile?","vreset!","vswap!","when","when-first","when-let","when-not","when-some","while","with-bindings","with-bindings*","with-in-str","with-loading-context","with-local-vars","with-meta","with-open","with-out-str","with-precision","with-redefs","with-redefs-fn","xml-seq","zero?","zipmap"];e.registerHelper("hintWords","clojure",[].concat(n,r,i));var o=g(n),a=g(r),s=g(i),l=g(["->","->>","as->","binding","bound-fn","case","catch","comment","cond","cond->","cond->>","condp","def","definterface","defmethod","defn","defmacro","defprotocol","defrecord","defstruct","deftype","do","doseq","dotimes","doto","extend","extend-protocol","extend-type","fn","for","future","if","if-let","if-not","if-some","let","letfn","locking","loop","ns","proxy","reify","struct-map","some->","some->>","try","when","when-first","when-let","when-not","when-some","while","with-bindings","with-bindings*","with-in-str","with-loading-context","with-local-vars","with-meta","with-open","with-out-str","with-precision","with-redefs","with-redefs-fn"]),u=/^(?:[\\\[\]\s"(),;@^`{}~]|$)/,c=/^(?:[+\-]?\d+(?:(?:N|(?:[eE][+\-]?\d+))|(?:\.?\d*(?:M|(?:[eE][+\-]?\d+))?)|\/\d+|[xX][0-9a-fA-F]+|r[0-9a-zA-Z]+)?(?=[\\\[\]\s"#'(),;@^`{}~]|$))/,d=/^(?:\\(?:backspace|formfeed|newline|return|space|tab|o[0-7]{3}|u[0-9A-Fa-f]{4}|x[0-9A-Fa-f]{4}|.)?(?=[\\\[\]\s"(),;@^`{}~]|$))/,p=/^(?:(?:[^\\\/\[\]\d\s"#'(),;@^`{}~.][^\\\[\]\s"(),;@^`{}~.\/]*(?:\.[^\\\/\[\]\d\s"#'(),;@^`{}~.][^\\\[\]\s"(),;@^`{}~.\/]*)*\/)?(?:\/|[^\\\/\[\]\d\s"#'(),;@^`{}~][^\\\[\]\s"(),;@^`{}~]*)*(?=[\\\[\]\s"(),;@^`{}~]|$))/;function f(e,t){if(e.eatSpace()||e.eat(","))return["space",null];if(e.match(c))return[null,"number"];if(e.match(d))return[null,"string-2"];if(e.eat(/^"/))return(t.tokenize=h)(e,t);if(e.eat(/^[(\[{]/))return["open","bracket"];if(e.eat(/^[)\]}]/))return["close","bracket"];if(e.eat(/^;/))return e.skipToEnd(),["space","comment"];if(e.eat(/^[#'@^`~]/))return[null,"meta"];var n=e.match(p),r=n&&n[0];return r?"comment"===r&&"("===t.lastToken?(t.tokenize=m)(e,t):v(r,o)||":"===r.charAt(0)?["symbol","atom"]:v(r,a)||v(r,s)?["symbol","keyword"]:"("===t.lastToken?["symbol","builtin"]:["symbol","variable"]:(e.next(),e.eatWhile((function(e){return!v(e,u)})),[null,"error"])}function h(e,t){for(var n,r=!1;n=e.next();){if('"'===n&&!r){t.tokenize=f;break}r=!r&&"\\"===n}return[null,"string"]}function m(e,t){for(var n,r=1;n=e.next();)if(")"===n&&r--,"("===n&&r++,0===r){e.backUp(1),t.tokenize=f;break}return["space","comment"]}function g(e){for(var t={},n=0;n<e.length;++n)t[e[n]]=!0;return t}function v(e,t){return t instanceof RegExp?t.test(e):t instanceof Object?t.propertyIsEnumerable(e):void 0}return{startState:function(){return{ctx:{prev:null,start:0,indentTo:0},lastToken:null,tokenize:f}},token:function(e,n){e.sol()&&"number"!=typeof n.ctx.indentTo&&(n.ctx.indentTo=n.ctx.start+1);var r=n.tokenize(e,n),i=r[0],o=r[1],a=e.current();return"space"!==i&&("("===n.lastToken&&null===n.ctx.indentTo?"symbol"===i&&v(a,l)?n.ctx.indentTo=n.ctx.start+t.indentUnit:n.ctx.indentTo="next":"next"===n.ctx.indentTo&&(n.ctx.indentTo=e.column()),n.lastToken=a),"open"===i?n.ctx={prev:n.ctx,start:e.column(),indentTo:null}:"close"===i&&(n.ctx=n.ctx.prev||n.ctx),o},indent:function(e){var t=e.ctx.indentTo;return"number"==typeof t?t:e.ctx.start+1},closeBrackets:{pairs:'()[]{}""'},lineComment:";;"}})),e.defineMIME("text/x-clojure","clojure"),e.defineMIME("text/x-clojurescript","clojure"),e.defineMIME("application/edn","clojure")}(n(23326))},26055:(e,t,n)=>{!function(e){"use strict";e.defineMode("cmake",(function(){var e=/({)?[a-zA-Z0-9_]+(})?/;function t(e,t){for(var n,r,i=!1;!e.eol()&&(n=e.next())!=t.pending;){if("$"===n&&"\\"!=r&&'"'==t.pending){i=!0;break}r=n}return i&&e.backUp(1),n==t.pending?t.continueString=!1:t.continueString=!0,"string"}return{startState:function(){return{inDefinition:!1,inInclude:!1,continueString:!1,pending:!1}},token:function(n,r){return n.eatSpace()?null:function(n,r){var i=n.next();return"$"===i?n.match(e)?"variable-2":"variable":r.continueString?(n.backUp(1),t(n,r)):n.match(/(\s+)?\w+\(/)||n.match(/(\s+)?\w+\ \(/)?(n.backUp(1),"def"):"#"==i?(n.skipToEnd(),"comment"):"'"==i||'"'==i?(r.pending=i,t(n,r)):"("==i||")"==i?"bracket":i.match(/[0-9]/)?"number":(n.eatWhile(/[\w-]/),null)}(n,r)}}})),e.defineMIME("text/x-cmake","cmake")}(n(23326))},25619:(e,t,n)=>{!function(e){"use strict";e.defineMode("cobol",(function(){var e="string",t="atom";function n(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var r=n("TRUE FALSE ZEROES ZEROS ZERO SPACES SPACE LOW-VALUE LOW-VALUES "),i=n("ACCEPT ACCESS ACQUIRE ADD ADDRESS ADVANCING AFTER ALIAS ALL ALPHABET ALPHABETIC ALPHABETIC-LOWER ALPHABETIC-UPPER ALPHANUMERIC ALPHANUMERIC-EDITED ALSO ALTER ALTERNATE AND ANY ARE AREA AREAS ARITHMETIC ASCENDING ASSIGN AT ATTRIBUTE AUTHOR AUTO AUTO-SKIP AUTOMATIC B-AND B-EXOR B-LESS B-NOT B-OR BACKGROUND-COLOR BACKGROUND-COLOUR BEEP BEFORE BELL BINARY BIT BITS BLANK BLINK BLOCK BOOLEAN BOTTOM BY CALL CANCEL CD CF CH CHARACTER CHARACTERS CLASS CLOCK-UNITS CLOSE COBOL CODE CODE-SET COL COLLATING COLUMN COMMA COMMIT COMMITMENT COMMON COMMUNICATION COMP COMP-0 COMP-1 COMP-2 COMP-3 COMP-4 COMP-5 COMP-6 COMP-7 COMP-8 COMP-9 COMPUTATIONAL COMPUTATIONAL-0 COMPUTATIONAL-1 COMPUTATIONAL-2 COMPUTATIONAL-3 COMPUTATIONAL-4 COMPUTATIONAL-5 COMPUTATIONAL-6 COMPUTATIONAL-7 COMPUTATIONAL-8 COMPUTATIONAL-9 COMPUTE CONFIGURATION CONNECT CONSOLE CONTAINED CONTAINS CONTENT CONTINUE CONTROL CONTROL-AREA CONTROLS CONVERTING COPY CORR CORRESPONDING COUNT CRT CRT-UNDER CURRENCY CURRENT CURSOR DATA DATE DATE-COMPILED DATE-WRITTEN DAY DAY-OF-WEEK DB DB-ACCESS-CONTROL-KEY DB-DATA-NAME DB-EXCEPTION DB-FORMAT-NAME DB-RECORD-NAME DB-SET-NAME DB-STATUS DBCS DBCS-EDITED DE DEBUG-CONTENTS DEBUG-ITEM DEBUG-LINE DEBUG-NAME DEBUG-SUB-1 DEBUG-SUB-2 DEBUG-SUB-3 DEBUGGING DECIMAL-POINT DECLARATIVES DEFAULT DELETE DELIMITED DELIMITER DEPENDING DESCENDING DESCRIBED DESTINATION DETAIL DISABLE DISCONNECT DISPLAY DISPLAY-1 DISPLAY-2 DISPLAY-3 DISPLAY-4 DISPLAY-5 DISPLAY-6 DISPLAY-7 DISPLAY-8 DISPLAY-9 DIVIDE DIVISION DOWN DROP DUPLICATE DUPLICATES DYNAMIC EBCDIC EGI EJECT ELSE EMI EMPTY EMPTY-CHECK ENABLE END END. END-ACCEPT END-ACCEPT. END-ADD END-CALL END-COMPUTE END-DELETE END-DISPLAY END-DIVIDE END-EVALUATE END-IF END-INVOKE END-MULTIPLY END-OF-PAGE END-PERFORM END-READ END-RECEIVE END-RETURN END-REWRITE END-SEARCH END-START END-STRING END-SUBTRACT END-UNSTRING END-WRITE END-XML ENTER ENTRY ENVIRONMENT EOP EQUAL EQUALS ERASE ERROR ESI EVALUATE EVERY EXCEEDS EXCEPTION EXCLUSIVE EXIT EXTEND EXTERNAL EXTERNALLY-DESCRIBED-KEY FD FETCH FILE FILE-CONTROL FILE-STREAM FILES FILLER FINAL FIND FINISH FIRST FOOTING FOR FOREGROUND-COLOR FOREGROUND-COLOUR FORMAT FREE FROM FULL FUNCTION GENERATE GET GIVING GLOBAL GO GOBACK GREATER GROUP HEADING HIGH-VALUE HIGH-VALUES HIGHLIGHT I-O I-O-CONTROL ID IDENTIFICATION IF IN INDEX INDEX-1 INDEX-2 INDEX-3 INDEX-4 INDEX-5 INDEX-6 INDEX-7 INDEX-8 INDEX-9 INDEXED INDIC INDICATE INDICATOR INDICATORS INITIAL INITIALIZE INITIATE INPUT INPUT-OUTPUT INSPECT INSTALLATION INTO INVALID INVOKE IS JUST JUSTIFIED KANJI KEEP KEY LABEL LAST LD LEADING LEFT LEFT-JUSTIFY LENGTH LENGTH-CHECK LESS LIBRARY LIKE LIMIT LIMITS LINAGE LINAGE-COUNTER LINE LINE-COUNTER LINES LINKAGE LOCAL-STORAGE LOCALE LOCALLY LOCK MEMBER MEMORY MERGE MESSAGE METACLASS MODE MODIFIED MODIFY MODULES MOVE MULTIPLE MULTIPLY NATIONAL NATIVE NEGATIVE NEXT NO NO-ECHO NONE NOT NULL NULL-KEY-MAP NULL-MAP NULLS NUMBER NUMERIC NUMERIC-EDITED OBJECT OBJECT-COMPUTER OCCURS OF OFF OMITTED ON ONLY OPEN OPTIONAL OR ORDER ORGANIZATION OTHER OUTPUT OVERFLOW OWNER PACKED-DECIMAL PADDING PAGE PAGE-COUNTER PARSE PERFORM PF PH PIC PICTURE PLUS POINTER POSITION POSITIVE PREFIX PRESENT PRINTING PRIOR PROCEDURE PROCEDURE-POINTER PROCEDURES PROCEED PROCESS PROCESSING PROGRAM PROGRAM-ID PROMPT PROTECTED PURGE QUEUE QUOTE QUOTES RANDOM RD READ READY REALM RECEIVE RECONNECT RECORD RECORD-NAME RECORDS RECURSIVE REDEFINES REEL REFERENCE REFERENCE-MONITOR REFERENCES RELATION RELATIVE RELEASE REMAINDER REMOVAL RENAMES REPEATED REPLACE REPLACING REPORT REPORTING REPORTS REPOSITORY REQUIRED RERUN RESERVE RESET RETAINING RETRIEVAL RETURN RETURN-CODE RETURNING REVERSE-VIDEO REVERSED REWIND REWRITE RF RH RIGHT RIGHT-JUSTIFY ROLLBACK ROLLING ROUNDED RUN SAME SCREEN SD SEARCH SECTION SECURE SECURITY SEGMENT SEGMENT-LIMIT SELECT SEND SENTENCE SEPARATE SEQUENCE SEQUENTIAL SET SHARED SIGN SIZE SKIP1 SKIP2 SKIP3 SORT SORT-MERGE SORT-RETURN SOURCE SOURCE-COMPUTER SPACE-FILL SPECIAL-NAMES STANDARD STANDARD-1 STANDARD-2 START STARTING STATUS STOP STORE STRING SUB-QUEUE-1 SUB-QUEUE-2 SUB-QUEUE-3 SUB-SCHEMA SUBFILE SUBSTITUTE SUBTRACT SUM SUPPRESS SYMBOLIC SYNC SYNCHRONIZED SYSIN SYSOUT TABLE TALLYING TAPE TENANT TERMINAL TERMINATE TEST TEXT THAN THEN THROUGH THRU TIME TIMES TITLE TO TOP TRAILING TRAILING-SIGN TRANSACTION TYPE TYPEDEF UNDERLINE UNEQUAL UNIT UNSTRING UNTIL UP UPDATE UPON USAGE USAGE-MODE USE USING VALID VALIDATE VALUE VALUES VARYING VLR WAIT WHEN WHEN-COMPILED WITH WITHIN WORDS WORKING-STORAGE WRITE XML XML-CODE XML-EVENT XML-NTEXT XML-TEXT ZERO ZERO-FILL "),o=n("- * ** / + < <= = > >= "),a={digit:/\d/,digit_or_colon:/[\d:]/,hex:/[0-9a-f]/i,sign:/[+-]/,exponent:/e/i,keyword_char:/[^\s\(\[\;\)\]]/,symbol:/[\w*+\-]/};return{startState:function(){return{indentStack:null,indentation:0,mode:!1}},token:function(n,s){if(null==s.indentStack&&n.sol()&&(s.indentation=6),n.eatSpace())return null;var l=null;if("string"===s.mode){for(var u=!1;null!=(u=n.next());)if(('"'==u||"'"==u)&&!n.match(/['"]/,!1)){s.mode=!1;break}l=e}else{var c=n.next(),d=n.column();if(d>=0&&d<=5)l="def";else if(d>=72&&d<=79)n.skipToEnd(),l="header";else if("*"==c&&6==d)n.skipToEnd(),l="comment";else if('"'==c||"'"==c)s.mode="string",l=e;else if("'"!=c||a.digit_or_colon.test(n.peek()))if("."==c)l="link";else if(function(e,t){return"0"===e&&t.eat(/x/i)?(t.eatWhile(a.hex),!0):("+"!=e&&"-"!=e||!a.digit.test(t.peek())||(t.eat(a.sign),e=t.next()),!!a.digit.test(e)&&(t.eat(e),t.eatWhile(a.digit),"."==t.peek()&&(t.eat("."),t.eatWhile(a.digit)),t.eat(a.exponent)&&(t.eat(a.sign),t.eatWhile(a.digit)),!0))}(c,n))l="number";else{if(n.current().match(a.symbol))for(;d<71&&void 0!==n.eat(a.symbol);)d++;l=i&&i.propertyIsEnumerable(n.current().toUpperCase())?"keyword":o&&o.propertyIsEnumerable(n.current().toUpperCase())?"builtin":r&&r.propertyIsEnumerable(n.current().toUpperCase())?t:null}else l=t}return l},indent:function(e){return null==e.indentStack?e.indentation:e.indentStack.indent}}})),e.defineMIME("text/x-cobol","cobol")}(n(23326))},86386:(e,t,n)=>{!function(e){"use strict";e.defineMode("coffeescript",(function(e,t){var n="error";function r(e){return new RegExp("^(("+e.join(")|(")+"))\\b")}var i=/^(?:->|=>|\+[+=]?|-[\-=]?|\*[\*=]?|\/[\/=]?|[=!]=|<[><]?=?|>>?=?|%=?|&=?|\|=?|\^=?|\~|!|\?|(or|and|\|\||&&|\?)=)/,o=/^(?:[()\[\]{},:`=;]|\.\.?\.?)/,a=/^[_A-Za-z$][_A-Za-z$0-9]*/,s=/^@[_A-Za-z$][_A-Za-z$0-9]*/,l=r(["and","or","not","is","isnt","in","instanceof","typeof"]),u=["for","while","loop","if","unless","else","switch","try","catch","finally","class"],c=r(u.concat(["break","by","continue","debugger","delete","do","in","of","new","return","then","this","@","throw","when","until","extends"]));u=r(u);var d=/^('{3}|\"{3}|['\"])/,p=/^(\/{3}|\/)/,f=r(["Infinity","NaN","undefined","null","true","false","on","off","yes","no"]);function h(e,t){if(e.sol()){null===t.scope.align&&(t.scope.align=!1);var r=t.scope.offset;if(e.eatSpace()){var u=e.indentation();return u>r&&"coffee"==t.scope.type?"indent":u<r?"dedent":null}r>0&&y(e,t)}if(e.eatSpace())return null;var h=e.peek();if(e.match("####"))return e.skipToEnd(),"comment";if(e.match("###"))return t.tokenize=g,t.tokenize(e,t);if("#"===h)return e.skipToEnd(),"comment";if(e.match(/^-?[0-9\.]/,!1)){var v=!1;if(e.match(/^-?\d*\.\d+(e[\+\-]?\d+)?/i)&&(v=!0),e.match(/^-?\d+\.\d*/)&&(v=!0),e.match(/^-?\.\d+/)&&(v=!0),v)return"."==e.peek()&&e.backUp(1),"number";var b=!1;if(e.match(/^-?0x[0-9a-f]+/i)&&(b=!0),e.match(/^-?[1-9]\d*(e[\+\-]?\d+)?/)&&(b=!0),e.match(/^-?0(?![\dx])/i)&&(b=!0),b)return"number"}if(e.match(d))return t.tokenize=m(e.current(),!1,"string"),t.tokenize(e,t);if(e.match(p)){if("/"!=e.current()||e.match(/^.*\//,!1))return t.tokenize=m(e.current(),!0,"string-2"),t.tokenize(e,t);e.backUp(1)}return e.match(i)||e.match(l)?"operator":e.match(o)?"punctuation":e.match(f)?"atom":e.match(s)||t.prop&&e.match(a)?"property":e.match(c)?"keyword":e.match(a)?"variable":(e.next(),n)}function m(e,r,i){return function(o,a){for(;!o.eol();)if(o.eatWhile(/[^'"\/\\]/),o.eat("\\")){if(o.next(),r&&o.eol())return i}else{if(o.match(e))return a.tokenize=h,i;o.eat(/['"\/]/)}return r&&(t.singleLineStringErrors?i=n:a.tokenize=h),i}}function g(e,t){for(;!e.eol();){if(e.eatWhile(/[^#]/),e.match("###")){t.tokenize=h;break}e.eatWhile("#")}return"comment"}function v(t,n,r){r=r||"coffee";for(var i=0,o=!1,a=null,s=n.scope;s;s=s.prev)if("coffee"===s.type||"}"==s.type){i=s.offset+e.indentUnit;break}"coffee"!==r?(o=null,a=t.column()+t.current().length):n.scope.align&&(n.scope.align=!1),n.scope={offset:i,type:r,prev:n.scope,align:o,alignOffset:a}}function y(e,t){if(t.scope.prev){if("coffee"===t.scope.type){for(var n=e.indentation(),r=!1,i=t.scope;i;i=i.prev)if(n===i.offset){r=!0;break}if(!r)return!0;for(;t.scope.prev&&t.scope.offset!==n;)t.scope=t.scope.prev;return!1}return t.scope=t.scope.prev,!1}}return{startState:function(e){return{tokenize:h,scope:{offset:e||0,type:"coffee",prev:null,align:!1},prop:!1,dedent:0}},token:function(e,t){var r=null===t.scope.align&&t.scope;r&&e.sol()&&(r.align=!1);var i=function(e,t){var r=t.tokenize(e,t),i=e.current();"return"===i&&(t.dedent=!0),(("->"===i||"=>"===i)&&e.eol()||"indent"===r)&&v(e,t);var o="[({".indexOf(i);if(-1!==o&&v(e,t,"])}".slice(o,o+1)),u.exec(i)&&v(e,t),"then"==i&&y(e,t),"dedent"===r&&y(e,t))return n;if(-1!==(o="])}".indexOf(i))){for(;"coffee"==t.scope.type&&t.scope.prev;)t.scope=t.scope.prev;t.scope.type==i&&(t.scope=t.scope.prev)}return t.dedent&&e.eol()&&("coffee"==t.scope.type&&t.scope.prev&&(t.scope=t.scope.prev),t.dedent=!1),r}(e,t);return i&&"comment"!=i&&(r&&(r.align=!0),t.prop="punctuation"==i&&"."==e.current()),i},indent:function(e,t){if(e.tokenize!=h)return 0;var n=e.scope,r=t&&"])}".indexOf(t.charAt(0))>-1;if(r)for(;"coffee"==n.type&&n.prev;)n=n.prev;var i=r&&n.type===t.charAt(0);return n.align?n.alignOffset-(i?1:0):(i?n.prev:n).offset},lineComment:"#",fold:"indent"}})),e.defineMIME("application/vnd.coffeescript","coffeescript"),e.defineMIME("text/x-coffeescript","coffeescript"),e.defineMIME("text/coffeescript","coffeescript")}(n(23326))},98824:(e,t,n)=>{!function(e){"use strict";e.defineMode("commonlisp",(function(e){var t,n=/^(block|let*|return-from|catch|load-time-value|setq|eval-when|locally|symbol-macrolet|flet|macrolet|tagbody|function|multiple-value-call|the|go|multiple-value-prog1|throw|if|progn|unwind-protect|labels|progv|let|quote)$/,r=/^with|^def|^do|^prog|case$|^cond$|bind$|when$|unless$/,i=/^(?:[+\-]?(?:\d+|\d*\.\d+)(?:[efd][+\-]?\d+)?|[+\-]?\d+(?:\/[+\-]?\d+)?|#b[+\-]?[01]+|#o[+\-]?[0-7]+|#x[+\-]?[\da-f]+)/,o=/[^\s'`,@()\[\]";]/;function a(e){for(var t;t=e.next();)if("\\"==t)e.next();else if(!o.test(t)){e.backUp(1);break}return e.current()}function s(e,o){if(e.eatSpace())return t="ws",null;if(e.match(i))return"number";var s;if("\\"==(s=e.next())&&(s=e.next()),'"'==s)return(o.tokenize=l)(e,o);if("("==s)return t="open","bracket";if(")"==s||"]"==s)return t="close","bracket";if(";"==s)return e.skipToEnd(),t="ws","comment";if(/['`,@]/.test(s))return null;if("|"==s)return e.skipTo("|")?(e.next(),"symbol"):(e.skipToEnd(),"error");if("#"==s)return"("==(s=e.next())?(t="open","bracket"):/[+\-=\.']/.test(s)||/\d/.test(s)&&e.match(/^\d*#/)?null:"|"==s?(o.tokenize=u)(e,o):":"==s?(a(e),"meta"):"\\"==s?(e.next(),a(e),"string-2"):"error";var c=a(e);return"."==c?null:(t="symbol","nil"==c||"t"==c||":"==c.charAt(0)?"atom":"open"==o.lastType&&(n.test(c)||r.test(c))?"keyword":"&"==c.charAt(0)?"variable-2":"variable")}function l(e,t){for(var n,r=!1;n=e.next();){if('"'==n&&!r){t.tokenize=s;break}r=!r&&"\\"==n}return"string"}function u(e,n){for(var r,i;r=e.next();){if("#"==r&&"|"==i){n.tokenize=s;break}i=r}return t="ws","comment"}return{startState:function(){return{ctx:{prev:null,start:0,indentTo:0},lastType:null,tokenize:s}},token:function(n,i){n.sol()&&"number"!=typeof i.ctx.indentTo&&(i.ctx.indentTo=i.ctx.start+1),t=null;var o=i.tokenize(n,i);return"ws"!=t&&(null==i.ctx.indentTo?"symbol"==t&&r.test(n.current())?i.ctx.indentTo=i.ctx.start+e.indentUnit:i.ctx.indentTo="next":"next"==i.ctx.indentTo&&(i.ctx.indentTo=n.column()),i.lastType=t),"open"==t?i.ctx={prev:i.ctx,start:n.column(),indentTo:null}:"close"==t&&(i.ctx=i.ctx.prev||i.ctx),o},indent:function(e,t){var n=e.ctx.indentTo;return"number"==typeof n?n:e.ctx.start+1},closeBrackets:{pairs:'()[]{}""'},lineComment:";;",fold:"brace-paren",blockCommentStart:"#|",blockCommentEnd:"|#"}})),e.defineMIME("text/x-common-lisp","commonlisp")}(n(23326))},67400:(e,t,n)=>{!function(e){"use strict";e.defineMode("crystal",(function(e){function t(e,t){return new RegExp((t?"":"^")+"(?:"+e.join("|")+")"+(t?"$":"\\b"))}function n(e,t,n){return n.tokenize.push(e),e(t,n)}var r=/^(?:[-+/%|&^]|\*\*?|[<>]{2})/,i=/^(?:[=!]~|===|<=>|[<>=!]=?|[|&]{2}|~)/,o=/^(?:\[\][?=]?)/,a=/^(?:\.(?:\.{2})?|->|[?:])/,s=/^[a-z_\u009F-\uFFFF][a-zA-Z0-9_\u009F-\uFFFF]*/,l=/^[A-Z_\u009F-\uFFFF][a-zA-Z0-9_\u009F-\uFFFF]*/,u=t(["abstract","alias","as","asm","begin","break","case","class","def","do","else","elsif","end","ensure","enum","extend","for","fun","if","include","instance_sizeof","lib","macro","module","next","of","out","pointerof","private","protected","rescue","return","require","select","sizeof","struct","super","then","type","typeof","uninitialized","union","unless","until","when","while","with","yield","__DIR__","__END_LINE__","__FILE__","__LINE__"]),c=t(["true","false","nil","self"]),d=t(["def","fun","macro","class","module","struct","lib","enum","union","do","for"]),p=t(["if","unless","case","while","until","begin","then"]),f=["end","else","elsif","rescue","ensure"],h=t(f),m=["\\)","\\}","\\]"],g=new RegExp("^(?:"+m.join("|")+")$"),v={def:x,fun:x,macro:function(e,t){if(e.eatSpace())return null;var n;if(n=e.match(s)){if("def"==n)return"keyword";e.eat(/[?!]/)}return t.tokenize.pop(),"def"},class:k,module:k,struct:k,lib:k,enum:k,union:k},y={"[":"]","{":"}","(":")","<":">"};function b(e,t){if(e.eatSpace())return null;if("\\"!=t.lastToken&&e.match("{%",!1))return n(_("%","%"),e,t);if("\\"!=t.lastToken&&e.match("{{",!1))return n(_("{","}"),e,t);if("#"==e.peek())return e.skipToEnd(),"comment";var f;if(e.match(s))return e.eat(/[?!]/),f=e.current(),e.eat(":")?"atom":"."==t.lastToken?"property":u.test(f)?(d.test(f)?"fun"==f&&t.blocks.indexOf("lib")>=0||"def"==f&&"abstract"==t.lastToken||(t.blocks.push(f),t.currentIndent+=1):"operator"!=t.lastStyle&&t.lastStyle||!p.test(f)?"end"==f&&(t.blocks.pop(),t.currentIndent-=1):(t.blocks.push(f),t.currentIndent+=1),v.hasOwnProperty(f)&&t.tokenize.push(v[f]),"keyword"):c.test(f)?"atom":"variable";if(e.eat("@"))return"["==e.peek()?n(w("[","]","meta"),e,t):(e.eat("@"),e.match(s)||e.match(l),"variable-2");if(e.match(l))return"tag";if(e.eat(":"))return e.eat('"')?n(E('"',"atom",!1),e,t):e.match(s)||e.match(l)||e.match(r)||e.match(i)||e.match(o)?"atom":(e.eat(":"),"operator");if(e.eat('"'))return n(E('"',"string",!0),e,t);if("%"==e.peek()){var h,m="string",g=!0;if(e.match("%r"))m="string-2",h=e.next();else if(e.match("%w"))g=!1,h=e.next();else if(e.match("%q"))g=!1,h=e.next();else if(h=e.match(/^%([^\w\s=])/))h=h[1];else{if(e.match(/^%[a-zA-Z_\u009F-\uFFFF][\w\u009F-\uFFFF]*/))return"meta";if(e.eat("%"))return"operator"}return y.hasOwnProperty(h)&&(h=y[h]),n(E(h,m,g),e,t)}return(f=e.match(/^<<-('?)([A-Z]\w*)\1/))?n(function(e,t){return function(n,r){if(n.sol()&&(n.eatSpace(),n.match(e)))return r.tokenize.pop(),"string";for(var i=!1;n.peek();)if(i)n.next(),i=!1;else{if(n.match("{%",!1))return r.tokenize.push(_("%","%")),"string";if(n.match("{{",!1))return r.tokenize.push(_("{","}")),"string";if(t&&n.match("#{",!1))return r.tokenize.push(w("#{","}","meta")),"string";i=t&&"\\"==n.next()}return"string"}}(f[2],!f[1]),e,t):e.eat("'")?(e.match(/^(?:[^']|\\(?:[befnrtv0'"]|[0-7]{3}|u(?:[0-9a-fA-F]{4}|\{[0-9a-fA-F]{1,6}\})))/),e.eat("'"),"atom"):e.eat("0")?(e.eat("x")?e.match(/^[0-9a-fA-F_]+/):e.eat("o")?e.match(/^[0-7_]+/):e.eat("b")&&e.match(/^[01_]+/),"number"):e.eat(/^\d/)?(e.match(/^[\d_]*(?:\.[\d_]+)?(?:[eE][+-]?\d+)?/),"number"):e.match(r)?(e.eat("="),"operator"):e.match(i)||e.match(a)?"operator":(f=e.match(/[({[]/,!1))?n(w(f=f[0],y[f],null),e,t):e.eat("\\")?(e.next(),"meta"):(e.next(),null)}function w(e,t,n,r){return function(i,o){if(!r&&i.match(e))return o.tokenize[o.tokenize.length-1]=w(e,t,n,!0),o.currentIndent+=1,n;var a=b(i,o);return i.current()===t&&(o.tokenize.pop(),o.currentIndent-=1,a=n),a}}function _(e,t,n){return function(r,i){return!n&&r.match("{"+e)?(i.currentIndent+=1,i.tokenize[i.tokenize.length-1]=_(e,t,!0),"meta"):r.match(t+"}")?(i.currentIndent-=1,i.tokenize.pop(),"meta"):b(r,i)}}function x(e,t){return e.eatSpace()?null:(e.match(s)?e.eat(/[!?]/):e.match(r)||e.match(i)||e.match(o),t.tokenize.pop(),"def")}function k(e,t){return e.eatSpace()?null:(e.match(l),t.tokenize.pop(),"def")}function E(e,t,n){return function(r,i){for(var o=!1;r.peek();)if(o)r.next(),o=!1;else{if(r.match("{%",!1))return i.tokenize.push(_("%","%")),t;if(r.match("{{",!1))return i.tokenize.push(_("{","}")),t;if(n&&r.match("#{",!1))return i.tokenize.push(w("#{","}","meta")),t;var a=r.next();if(a==e)return i.tokenize.pop(),t;o=n&&"\\"==a}return t}}return{startState:function(){return{tokenize:[b],currentIndent:0,lastToken:null,lastStyle:null,blocks:[]}},token:function(e,t){var n=t.tokenize[t.tokenize.length-1](e,t),r=e.current();return n&&"comment"!=n&&(t.lastToken=r,t.lastStyle=n),n},indent:function(t,n){return n=n.replace(/^\s*(?:\{%)?\s*|\s*(?:%\})?\s*$/g,""),h.test(n)||g.test(n)?e.indentUnit*(t.currentIndent-1):e.indentUnit*t.currentIndent},fold:"indent",electricInput:t(m.concat(f),!0),lineComment:"#"}})),e.defineMIME("text/x-crystal","crystal")}(n(23326))},98627:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=0;n<e.length;++n)t[e[n].toLowerCase()]=!0;return t}e.defineMode("css",(function(t,n){var r=n.inline;n.propertyKeywords||(n=e.resolveMode("text/css"));var i,o,a=t.indentUnit,s=n.tokenHooks,l=n.documentTypes||{},u=n.mediaTypes||{},c=n.mediaFeatures||{},d=n.mediaValueKeywords||{},p=n.propertyKeywords||{},f=n.nonStandardPropertyKeywords||{},h=n.fontProperties||{},m=n.counterDescriptors||{},g=n.colorKeywords||{},v=n.valueKeywords||{},y=n.allowNested,b=n.lineComment,w=!0===n.supportsAtComponent,_=!1!==t.highlightNonStandardPropertyKeywords;function x(e,t){return i=t,e}function k(e,t){var n=e.next();if(s[n]){var r=s[n](e,t);if(!1!==r)return r}return"@"==n?(e.eatWhile(/[\w\\\-]/),x("def",e.current())):"="==n||("~"==n||"|"==n)&&e.eat("=")?x(null,"compare"):'"'==n||"'"==n?(t.tokenize=E(n),t.tokenize(e,t)):"#"==n?(e.eatWhile(/[\w\\\-]/),x("atom","hash")):"!"==n?(e.match(/^\s*\w*/),x("keyword","important")):/\d/.test(n)||"."==n&&e.eat(/\d/)?(e.eatWhile(/[\w.%]/),x("number","unit")):"-"!==n?/[,+>*\/]/.test(n)?x(null,"select-op"):"."==n&&e.match(/^-?[_a-z][_a-z0-9-]*/i)?x("qualifier","qualifier"):/[:;{}\[\]\(\)]/.test(n)?x(null,n):e.match(/^[\w-.]+(?=\()/)?(/^(url(-prefix)?|domain|regexp)$/i.test(e.current())&&(t.tokenize=C),x("variable callee","variable")):/[\w\\\-]/.test(n)?(e.eatWhile(/[\w\\\-]/),x("property","word")):x(null,null):/[\d.]/.test(e.peek())?(e.eatWhile(/[\w.%]/),x("number","unit")):e.match(/^-[\w\\\-]*/)?(e.eatWhile(/[\w\\\-]/),e.match(/^\s*:/,!1)?x("variable-2","variable-definition"):x("variable-2","variable")):e.match(/^\w+-/)?x("meta","meta"):void 0}function E(e){return function(t,n){for(var r,i=!1;null!=(r=t.next());){if(r==e&&!i){")"==e&&t.backUp(1);break}i=!i&&"\\"==r}return(r==e||!i&&")"!=e)&&(n.tokenize=null),x("string","string")}}function C(e,t){return e.next(),e.match(/^\s*[\"\')]/,!1)?t.tokenize=null:t.tokenize=E(")"),x(null,"(")}function S(e,t,n){this.type=e,this.indent=t,this.prev=n}function A(e,t,n,r){return e.context=new S(n,t.indentation()+(!1===r?0:a),e.context),n}function T(e){return e.context.prev&&(e.context=e.context.prev),e.context.type}function O(e,t,n){return R[n.context.type](e,t,n)}function P(e,t,n,r){for(var i=r||1;i>0;i--)n.context=n.context.prev;return O(e,t,n)}function D(e){var t=e.current().toLowerCase();o=v.hasOwnProperty(t)?"atom":g.hasOwnProperty(t)?"keyword":"variable"}var R={top:function(e,t,n){if("{"==e)return A(n,t,"block");if("}"==e&&n.context.prev)return T(n);if(w&&/@component/i.test(e))return A(n,t,"atComponentBlock");if(/^@(-moz-)?document$/i.test(e))return A(n,t,"documentTypes");if(/^@(media|supports|(-moz-)?document|import)$/i.test(e))return A(n,t,"atBlock");if(/^@(font-face|counter-style)/i.test(e))return n.stateArg=e,"restricted_atBlock_before";if(/^@(-(moz|ms|o|webkit)-)?keyframes$/i.test(e))return"keyframes";if(e&&"@"==e.charAt(0))return A(n,t,"at");if("hash"==e)o="builtin";else if("word"==e)o="tag";else{if("variable-definition"==e)return"maybeprop";if("interpolation"==e)return A(n,t,"interpolation");if(":"==e)return"pseudo";if(y&&"("==e)return A(n,t,"parens")}return n.context.type},block:function(e,t,n){if("word"==e){var r=t.current().toLowerCase();return p.hasOwnProperty(r)?(o="property","maybeprop"):f.hasOwnProperty(r)?(o=_?"string-2":"property","maybeprop"):y?(o=t.match(/^\s*:(?:\s|$)/,!1)?"property":"tag","block"):(o+=" error","maybeprop")}return"meta"==e?"block":y||"hash"!=e&&"qualifier"!=e?R.top(e,t,n):(o="error","block")},maybeprop:function(e,t,n){return":"==e?A(n,t,"prop"):O(e,t,n)},prop:function(e,t,n){if(";"==e)return T(n);if("{"==e&&y)return A(n,t,"propBlock");if("}"==e||"{"==e)return P(e,t,n);if("("==e)return A(n,t,"parens");if("hash"!=e||/^#([0-9a-fA-F]{3,4}|[0-9a-fA-F]{6}|[0-9a-fA-F]{8})$/.test(t.current())){if("word"==e)D(t);else if("interpolation"==e)return A(n,t,"interpolation")}else o+=" error";return"prop"},propBlock:function(e,t,n){return"}"==e?T(n):"word"==e?(o="property","maybeprop"):n.context.type},parens:function(e,t,n){return"{"==e||"}"==e?P(e,t,n):")"==e?T(n):"("==e?A(n,t,"parens"):"interpolation"==e?A(n,t,"interpolation"):("word"==e&&D(t),"parens")},pseudo:function(e,t,n){return"meta"==e?"pseudo":"word"==e?(o="variable-3",n.context.type):O(e,t,n)},documentTypes:function(e,t,n){return"word"==e&&l.hasOwnProperty(t.current())?(o="tag",n.context.type):R.atBlock(e,t,n)},atBlock:function(e,t,n){if("("==e)return A(n,t,"atBlock_parens");if("}"==e||";"==e)return P(e,t,n);if("{"==e)return T(n)&&A(n,t,y?"block":"top");if("interpolation"==e)return A(n,t,"interpolation");if("word"==e){var r=t.current().toLowerCase();o="only"==r||"not"==r||"and"==r||"or"==r?"keyword":u.hasOwnProperty(r)?"attribute":c.hasOwnProperty(r)?"property":d.hasOwnProperty(r)?"keyword":p.hasOwnProperty(r)?"property":f.hasOwnProperty(r)?_?"string-2":"property":v.hasOwnProperty(r)?"atom":g.hasOwnProperty(r)?"keyword":"error"}return n.context.type},atComponentBlock:function(e,t,n){return"}"==e?P(e,t,n):"{"==e?T(n)&&A(n,t,y?"block":"top",!1):("word"==e&&(o="error"),n.context.type)},atBlock_parens:function(e,t,n){return")"==e?T(n):"{"==e||"}"==e?P(e,t,n,2):R.atBlock(e,t,n)},restricted_atBlock_before:function(e,t,n){return"{"==e?A(n,t,"restricted_atBlock"):"word"==e&&"@counter-style"==n.stateArg?(o="variable","restricted_atBlock_before"):O(e,t,n)},restricted_atBlock:function(e,t,n){return"}"==e?(n.stateArg=null,T(n)):"word"==e?(o="@font-face"==n.stateArg&&!h.hasOwnProperty(t.current().toLowerCase())||"@counter-style"==n.stateArg&&!m.hasOwnProperty(t.current().toLowerCase())?"error":"property","maybeprop"):"restricted_atBlock"},keyframes:function(e,t,n){return"word"==e?(o="variable","keyframes"):"{"==e?A(n,t,"top"):O(e,t,n)},at:function(e,t,n){return";"==e?T(n):"{"==e||"}"==e?P(e,t,n):("word"==e?o="tag":"hash"==e&&(o="builtin"),"at")},interpolation:function(e,t,n){return"}"==e?T(n):"{"==e||";"==e?P(e,t,n):("word"==e?o="variable":"variable"!=e&&"("!=e&&")"!=e&&(o="error"),"interpolation")}};return{startState:function(e){return{tokenize:null,state:r?"block":"top",stateArg:null,context:new S(r?"block":"top",e||0,null)}},token:function(e,t){if(!t.tokenize&&e.eatSpace())return null;var n=(t.tokenize||k)(e,t);return n&&"object"==typeof n&&(i=n[1],n=n[0]),o=n,"comment"!=i&&(t.state=R[t.state](i,e,t)),o},indent:function(e,t){var n=e.context,r=t&&t.charAt(0),i=n.indent;return"prop"!=n.type||"}"!=r&&")"!=r||(n=n.prev),n.prev&&("}"!=r||"block"!=n.type&&"top"!=n.type&&"interpolation"!=n.type&&"restricted_atBlock"!=n.type?(")"!=r||"parens"!=n.type&&"atBlock_parens"!=n.type)&&("{"!=r||"at"!=n.type&&"atBlock"!=n.type)||(i=Math.max(0,n.indent-a)):i=(n=n.prev).indent),i},electricChars:"}",blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",lineComment:b,fold:"brace"}}));var n=["domain","regexp","url","url-prefix"],r=t(n),i=["all","aural","braille","handheld","print","projection","screen","tty","tv","embossed"],o=t(i),a=["width","min-width","max-width","height","min-height","max-height","device-width","min-device-width","max-device-width","device-height","min-device-height","max-device-height","aspect-ratio","min-aspect-ratio","max-aspect-ratio","device-aspect-ratio","min-device-aspect-ratio","max-device-aspect-ratio","color","min-color","max-color","color-index","min-color-index","max-color-index","monochrome","min-monochrome","max-monochrome","resolution","min-resolution","max-resolution","scan","grid","orientation","device-pixel-ratio","min-device-pixel-ratio","max-device-pixel-ratio","pointer","any-pointer","hover","any-hover","prefers-color-scheme","dynamic-range","video-dynamic-range"],s=t(a),l=["landscape","portrait","none","coarse","fine","on-demand","hover","interlace","progressive","dark","light","standard","high"],u=t(l),c=["align-content","align-items","align-self","alignment-adjust","alignment-baseline","all","anchor-point","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","azimuth","backdrop-filter","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-position-x","background-position-y","background-repeat","background-size","baseline-shift","binding","bleed","block-size","bookmark-label","bookmark-level","bookmark-state","bookmark-target","border","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","color","color-profile","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","counter-increment","counter-reset","crop","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","drop-initial-after-adjust","drop-initial-after-align","drop-initial-before-adjust","drop-initial-before-align","drop-initial-size","drop-initial-value","elevation","empty-cells","fit","fit-content","fit-position","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","float-offset","flow-from","flow-into","font","font-family","font-feature-settings","font-kerning","font-language-override","font-optical-sizing","font-size","font-size-adjust","font-stretch","font-style","font-synthesis","font-variant","font-variant-alternates","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-gap","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-gap","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","inline-box-align","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","justify-content","justify-items","justify-self","left","letter-spacing","line-break","line-height","line-height-step","line-stacking","line-stacking-ruby","line-stacking-shift","line-stacking-strategy","list-style","list-style-image","list-style-position","list-style-type","margin","margin-bottom","margin-left","margin-right","margin-top","marks","marquee-direction","marquee-loop","marquee-play-count","marquee-speed","marquee-style","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","move-to","nav-down","nav-index","nav-left","nav-right","nav-up","object-fit","object-position","offset","offset-anchor","offset-distance","offset-path","offset-position","offset-rotate","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-style","overflow-wrap","overflow-x","overflow-y","padding","padding-bottom","padding-left","padding-right","padding-top","page","page-break-after","page-break-before","page-break-inside","page-policy","pause","pause-after","pause-before","perspective","perspective-origin","pitch","pitch-range","place-content","place-items","place-self","play-during","position","presentation-level","punctuation-trim","quotes","region-break-after","region-break-before","region-break-inside","region-fragment","rendering-intent","resize","rest","rest-after","rest-before","richness","right","rotate","rotation","rotation-point","row-gap","ruby-align","ruby-overhang","ruby-position","ruby-span","scale","scroll-behavior","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-type","shape-image-threshold","shape-inside","shape-margin","shape-outside","size","speak","speak-as","speak-header","speak-numeral","speak-punctuation","speech-rate","stress","string-set","tab-size","table-layout","target","target-name","target-new","target-position","text-align","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip","text-decoration-skip-ink","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-height","text-indent","text-justify","text-orientation","text-outline","text-overflow","text-rendering","text-shadow","text-size-adjust","text-space-collapse","text-transform","text-underline-position","text-wrap","top","touch-action","transform","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","user-select","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index","clip-path","clip-rule","mask","enable-background","filter","flood-color","flood-opacity","lighting-color","stop-color","stop-opacity","pointer-events","color-interpolation","color-interpolation-filters","color-rendering","fill","fill-opacity","fill-rule","image-rendering","marker","marker-end","marker-mid","marker-start","paint-order","shape-rendering","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","text-rendering","baseline-shift","dominant-baseline","glyph-orientation-horizontal","glyph-orientation-vertical","text-anchor","writing-mode"],d=t(c),p=["accent-color","aspect-ratio","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","content-visibility","margin-block","margin-block-end","margin-block-start","margin-inline","margin-inline-end","margin-inline-start","overflow-anchor","overscroll-behavior","padding-block","padding-block-end","padding-block-start","padding-inline","padding-inline-end","padding-inline-start","scroll-snap-stop","scrollbar-3d-light-color","scrollbar-arrow-color","scrollbar-base-color","scrollbar-dark-shadow-color","scrollbar-face-color","scrollbar-highlight-color","scrollbar-shadow-color","scrollbar-track-color","searchfield-cancel-button","searchfield-decoration","searchfield-results-button","searchfield-results-decoration","shape-inside","zoom"],f=t(p),h=t(["font-display","font-family","src","unicode-range","font-variant","font-feature-settings","font-stretch","font-weight","font-style"]),m=t(["additive-symbols","fallback","negative","pad","prefix","range","speak-as","suffix","symbols","system"]),g=["aliceblue","antiquewhite","aqua","aquamarine","azure","beige","bisque","black","blanchedalmond","blue","blueviolet","brown","burlywood","cadetblue","chartreuse","chocolate","coral","cornflowerblue","cornsilk","crimson","cyan","darkblue","darkcyan","darkgoldenrod","darkgray","darkgreen","darkgrey","darkkhaki","darkmagenta","darkolivegreen","darkorange","darkorchid","darkred","darksalmon","darkseagreen","darkslateblue","darkslategray","darkslategrey","darkturquoise","darkviolet","deeppink","deepskyblue","dimgray","dimgrey","dodgerblue","firebrick","floralwhite","forestgreen","fuchsia","gainsboro","ghostwhite","gold","goldenrod","gray","grey","green","greenyellow","honeydew","hotpink","indianred","indigo","ivory","khaki","lavender","lavenderblush","lawngreen","lemonchiffon","lightblue","lightcoral","lightcyan","lightgoldenrodyellow","lightgray","lightgreen","lightgrey","lightpink","lightsalmon","lightseagreen","lightskyblue","lightslategray","lightslategrey","lightsteelblue","lightyellow","lime","limegreen","linen","magenta","maroon","mediumaquamarine","mediumblue","mediumorchid","mediumpurple","mediumseagreen","mediumslateblue","mediumspringgreen","mediumturquoise","mediumvioletred","midnightblue","mintcream","mistyrose","moccasin","navajowhite","navy","oldlace","olive","olivedrab","orange","orangered","orchid","palegoldenrod","palegreen","paleturquoise","palevioletred","papayawhip","peachpuff","peru","pink","plum","powderblue","purple","rebeccapurple","red","rosybrown","royalblue","saddlebrown","salmon","sandybrown","seagreen","seashell","sienna","silver","skyblue","slateblue","slategray","slategrey","snow","springgreen","steelblue","tan","teal","thistle","tomato","turquoise","violet","wheat","white","whitesmoke","yellow","yellowgreen"],v=t(g),y=["above","absolute","activeborder","additive","activecaption","afar","after-white-space","ahead","alias","all","all-scroll","alphabetic","alternate","always","amharic","amharic-abegede","antialiased","appworkspace","arabic-indic","armenian","asterisks","attr","auto","auto-flow","avoid","avoid-column","avoid-page","avoid-region","axis-pan","background","backwards","baseline","below","bidi-override","binary","bengali","blink","block","block-axis","blur","bold","bolder","border","border-box","both","bottom","break","break-all","break-word","brightness","bullets","button","buttonface","buttonhighlight","buttonshadow","buttontext","calc","cambodian","capitalize","caps-lock-indicator","caption","captiontext","caret","cell","center","checkbox","circle","cjk-decimal","cjk-earthly-branch","cjk-heavenly-stem","cjk-ideographic","clear","clip","close-quote","col-resize","collapse","color","color-burn","color-dodge","column","column-reverse","compact","condensed","conic-gradient","contain","content","contents","content-box","context-menu","continuous","contrast","copy","counter","counters","cover","crop","cross","crosshair","cubic-bezier","currentcolor","cursive","cyclic","darken","dashed","decimal","decimal-leading-zero","default","default-button","dense","destination-atop","destination-in","destination-out","destination-over","devanagari","difference","disc","discard","disclosure-closed","disclosure-open","document","dot-dash","dot-dot-dash","dotted","double","down","drop-shadow","e-resize","ease","ease-in","ease-in-out","ease-out","element","ellipse","ellipsis","embed","end","ethiopic","ethiopic-abegede","ethiopic-abegede-am-et","ethiopic-abegede-gez","ethiopic-abegede-ti-er","ethiopic-abegede-ti-et","ethiopic-halehame-aa-er","ethiopic-halehame-aa-et","ethiopic-halehame-am-et","ethiopic-halehame-gez","ethiopic-halehame-om-et","ethiopic-halehame-sid-et","ethiopic-halehame-so-et","ethiopic-halehame-ti-er","ethiopic-halehame-ti-et","ethiopic-halehame-tig","ethiopic-numeric","ew-resize","exclusion","expanded","extends","extra-condensed","extra-expanded","fantasy","fast","fill","fill-box","fixed","flat","flex","flex-end","flex-start","footnotes","forwards","from","geometricPrecision","georgian","grayscale","graytext","grid","groove","gujarati","gurmukhi","hand","hangul","hangul-consonant","hard-light","hebrew","help","hidden","hide","higher","highlight","highlighttext","hiragana","hiragana-iroha","horizontal","hsl","hsla","hue","hue-rotate","icon","ignore","inactiveborder","inactivecaption","inactivecaptiontext","infinite","infobackground","infotext","inherit","initial","inline","inline-axis","inline-block","inline-flex","inline-grid","inline-table","inset","inside","intrinsic","invert","italic","japanese-formal","japanese-informal","justify","kannada","katakana","katakana-iroha","keep-all","khmer","korean-hangul-formal","korean-hanja-formal","korean-hanja-informal","landscape","lao","large","larger","left","level","lighter","lighten","line-through","linear","linear-gradient","lines","list-item","listbox","listitem","local","logical","loud","lower","lower-alpha","lower-armenian","lower-greek","lower-hexadecimal","lower-latin","lower-norwegian","lower-roman","lowercase","ltr","luminosity","malayalam","manipulation","match","matrix","matrix3d","media-play-button","media-slider","media-sliderthumb","media-volume-slider","media-volume-sliderthumb","medium","menu","menulist","menulist-button","menutext","message-box","middle","min-intrinsic","mix","mongolian","monospace","move","multiple","multiple_mask_images","multiply","myanmar","n-resize","narrower","ne-resize","nesw-resize","no-close-quote","no-drop","no-open-quote","no-repeat","none","normal","not-allowed","nowrap","ns-resize","numbers","numeric","nw-resize","nwse-resize","oblique","octal","opacity","open-quote","optimizeLegibility","optimizeSpeed","oriya","oromo","outset","outside","outside-shape","overlay","overline","padding","padding-box","painted","page","paused","persian","perspective","pinch-zoom","plus-darker","plus-lighter","pointer","polygon","portrait","pre","pre-line","pre-wrap","preserve-3d","progress","push-button","radial-gradient","radio","read-only","read-write","read-write-plaintext-only","rectangle","region","relative","repeat","repeating-linear-gradient","repeating-radial-gradient","repeating-conic-gradient","repeat-x","repeat-y","reset","reverse","rgb","rgba","ridge","right","rotate","rotate3d","rotateX","rotateY","rotateZ","round","row","row-resize","row-reverse","rtl","run-in","running","s-resize","sans-serif","saturate","saturation","scale","scale3d","scaleX","scaleY","scaleZ","screen","scroll","scrollbar","scroll-position","se-resize","searchfield","searchfield-cancel-button","searchfield-decoration","searchfield-results-button","searchfield-results-decoration","self-start","self-end","semi-condensed","semi-expanded","separate","sepia","serif","show","sidama","simp-chinese-formal","simp-chinese-informal","single","skew","skewX","skewY","skip-white-space","slide","slider-horizontal","slider-vertical","sliderthumb-horizontal","sliderthumb-vertical","slow","small","small-caps","small-caption","smaller","soft-light","solid","somali","source-atop","source-in","source-out","source-over","space","space-around","space-between","space-evenly","spell-out","square","square-button","start","static","status-bar","stretch","stroke","stroke-box","sub","subpixel-antialiased","svg_masks","super","sw-resize","symbolic","symbols","system-ui","table","table-caption","table-cell","table-column","table-column-group","table-footer-group","table-header-group","table-row","table-row-group","tamil","telugu","text","text-bottom","text-top","textarea","textfield","thai","thick","thin","threeddarkshadow","threedface","threedhighlight","threedlightshadow","threedshadow","tibetan","tigre","tigrinya-er","tigrinya-er-abegede","tigrinya-et","tigrinya-et-abegede","to","top","trad-chinese-formal","trad-chinese-informal","transform","translate","translate3d","translateX","translateY","translateZ","transparent","ultra-condensed","ultra-expanded","underline","unidirectional-pan","unset","up","upper-alpha","upper-armenian","upper-greek","upper-hexadecimal","upper-latin","upper-norwegian","upper-roman","uppercase","urdu","url","var","vertical","vertical-text","view-box","visible","visibleFill","visiblePainted","visibleStroke","visual","w-resize","wait","wave","wider","window","windowframe","windowtext","words","wrap","wrap-reverse","x-large","x-small","xor","xx-large","xx-small"],b=t(y),w=n.concat(i).concat(a).concat(l).concat(c).concat(p).concat(g).concat(y);function _(e,t){for(var n,r=!1;null!=(n=e.next());){if(r&&"/"==n){t.tokenize=null;break}r="*"==n}return["comment","comment"]}e.registerHelper("hintWords","css",w),e.defineMIME("text/css",{documentTypes:r,mediaTypes:o,mediaFeatures:s,mediaValueKeywords:u,propertyKeywords:d,nonStandardPropertyKeywords:f,fontProperties:h,counterDescriptors:m,colorKeywords:v,valueKeywords:b,tokenHooks:{"/":function(e,t){return!!e.eat("*")&&(t.tokenize=_,_(e,t))}},name:"css"}),e.defineMIME("text/x-scss",{mediaTypes:o,mediaFeatures:s,mediaValueKeywords:u,propertyKeywords:d,nonStandardPropertyKeywords:f,colorKeywords:v,valueKeywords:b,fontProperties:h,allowNested:!0,lineComment:"//",tokenHooks:{"/":function(e,t){return e.eat("/")?(e.skipToEnd(),["comment","comment"]):e.eat("*")?(t.tokenize=_,_(e,t)):["operator","operator"]},":":function(e){return!!e.match(/^\s*\{/,!1)&&[null,null]},$:function(e){return e.match(/^[\w-]+/),e.match(/^\s*:/,!1)?["variable-2","variable-definition"]:["variable-2","variable"]},"#":function(e){return!!e.eat("{")&&[null,"interpolation"]}},name:"css",helperType:"scss"}),e.defineMIME("text/x-less",{mediaTypes:o,mediaFeatures:s,mediaValueKeywords:u,propertyKeywords:d,nonStandardPropertyKeywords:f,colorKeywords:v,valueKeywords:b,fontProperties:h,allowNested:!0,lineComment:"//",tokenHooks:{"/":function(e,t){return e.eat("/")?(e.skipToEnd(),["comment","comment"]):e.eat("*")?(t.tokenize=_,_(e,t)):["operator","operator"]},"@":function(e){return e.eat("{")?[null,"interpolation"]:!e.match(/^(charset|document|font-face|import|(-(moz|ms|o|webkit)-)?keyframes|media|namespace|page|supports)\b/i,!1)&&(e.eatWhile(/[\w\\\-]/),e.match(/^\s*:/,!1)?["variable-2","variable-definition"]:["variable-2","variable"])},"&":function(){return["atom","atom"]}},name:"css",helperType:"less"}),e.defineMIME("text/x-gss",{documentTypes:r,mediaTypes:o,mediaFeatures:s,propertyKeywords:d,nonStandardPropertyKeywords:f,fontProperties:h,counterDescriptors:m,colorKeywords:v,valueKeywords:b,supportsAtComponent:!0,tokenHooks:{"/":function(e,t){return!!e.eat("*")&&(t.tokenize=_,_(e,t))}},name:"css",helperType:"gss"})}(n(23326))},28320:(e,t,n)=>{!function(e){"use strict";var t=function(e){return new RegExp("^(?:"+e.join("|")+")$","i")};e.defineMode("cypher",(function(n){var r,i=function(e){r=null;var t=e.next();if('"'===t)return e.match(/^[^"]*"/),"string";if("'"===t)return e.match(/^[^']*'/),"string";if(/[{}\(\),\.;\[\]]/.test(t))return r=t,"node";if("/"===t&&e.eat("/"))return e.skipToEnd(),"comment";if(p.test(t))return e.eatWhile(p),null;if(e.eatWhile(/[_\w\d]/),e.eat(":"))return e.eatWhile(/[\w\d_\-]/),"atom";var n=e.current();return l.test(n)?"builtin":u.test(n)?"def":c.test(n)||d.test(n)?"keyword":"variable"},o=function(e,t,n){return e.context={prev:e.context,indent:e.indent,col:n,type:t}},a=function(e){return e.indent=e.context.indent,e.context=e.context.prev},s=n.indentUnit,l=t(["abs","acos","allShortestPaths","asin","atan","atan2","avg","ceil","coalesce","collect","cos","cot","count","degrees","e","endnode","exp","extract","filter","floor","haversin","head","id","keys","labels","last","left","length","log","log10","lower","ltrim","max","min","node","nodes","percentileCont","percentileDisc","pi","radians","rand","range","reduce","rel","relationship","relationships","replace","reverse","right","round","rtrim","shortestPath","sign","sin","size","split","sqrt","startnode","stdev","stdevp","str","substring","sum","tail","tan","timestamp","toFloat","toInt","toString","trim","type","upper"]),u=t(["all","and","any","contains","exists","has","in","none","not","or","single","xor"]),c=t(["as","asc","ascending","assert","by","case","commit","constraint","create","csv","cypher","delete","desc","descending","detach","distinct","drop","else","end","ends","explain","false","fieldterminator","foreach","from","headers","in","index","is","join","limit","load","match","merge","null","on","optional","order","periodic","profile","remove","return","scan","set","skip","start","starts","then","true","union","unique","unwind","using","when","where","with","call","yield"]),d=t(["access","active","assign","all","alter","as","catalog","change","copy","create","constraint","constraints","current","database","databases","dbms","default","deny","drop","element","elements","exists","from","grant","graph","graphs","if","index","indexes","label","labels","management","match","name","names","new","node","nodes","not","of","on","or","password","populated","privileges","property","read","relationship","relationships","remove","replace","required","revoke","role","roles","set","show","start","status","stop","suspended","to","traverse","type","types","user","users","with","write"]),p=/[*+\-<>=&|~%^]/;return{startState:function(){return{tokenize:i,context:null,indent:0,col:0}},token:function(e,t){if(e.sol()&&(t.context&&null==t.context.align&&(t.context.align=!1),t.indent=e.indentation()),e.eatSpace())return null;var n=t.tokenize(e,t);if("comment"!==n&&t.context&&null==t.context.align&&"pattern"!==t.context.type&&(t.context.align=!0),"("===r)o(t,")",e.column());else if("["===r)o(t,"]",e.column());else if("{"===r)o(t,"}",e.column());else if(/[\]\}\)]/.test(r)){for(;t.context&&"pattern"===t.context.type;)a(t);t.context&&r===t.context.type&&a(t)}else"."===r&&t.context&&"pattern"===t.context.type?a(t):/atom|string|variable/.test(n)&&t.context&&(/[\}\]]/.test(t.context.type)?o(t,"pattern",e.column()):"pattern"!==t.context.type||t.context.align||(t.context.align=!0,t.context.col=e.column()));return n},indent:function(t,n){var r=n&&n.charAt(0),i=t.context;if(/[\]\}]/.test(r))for(;i&&"pattern"===i.type;)i=i.prev;var o=i&&r===i.type;return i?"keywords"===i.type?e.commands.newlineAndIndent:i.align?i.col+(o?0:1):i.indent+(o?0:s):0}}})),e.modeExtensions.cypher={autoFormatLineBreaks:function(e){for(var t=e.split("\n"),n=/\s+\b(return|where|order by|match|with|skip|limit|create|delete|set)\b\s/g,r=0;r<t.length;r++)t[r]=t[r].replace(n," \n$1 ").trim();return t.join("\n")}},e.defineMIME("application/x-cypher-query","cypher")}(n(23326))},76184:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}e.defineMode("d",(function(t,n){var r,i=t.indentUnit,o=n.statementIndentUnit||i,a=n.keywords||{},s=n.builtin||{},l=n.blockKeywords||{},u=n.atoms||{},c=n.hooks||{},d=n.multiLineStrings,p=/[+\-*&%=<>!?|\/]/;function f(e,t){var n,i=e.next();if(c[i]){var o=c[i](e,t);if(!1!==o)return o}if('"'==i||"'"==i||"`"==i)return t.tokenize=(n=i,function(e,t){for(var r,i=!1,o=!1;null!=(r=e.next());){if(r==n&&!i){o=!0;break}i=!i&&"\\"==r}return(o||!i&&!d)&&(t.tokenize=null),"string"}),t.tokenize(e,t);if(/[\[\]{}\(\),;\:\.]/.test(i))return r=i,null;if(/\d/.test(i))return e.eatWhile(/[\w\.]/),"number";if("/"==i){if(e.eat("+"))return t.tokenize=m,m(e,t);if(e.eat("*"))return t.tokenize=h,h(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}if(p.test(i))return e.eatWhile(p),"operator";e.eatWhile(/[\w\$_\xa1-\uffff]/);var f=e.current();return a.propertyIsEnumerable(f)?(l.propertyIsEnumerable(f)&&(r="newstatement"),"keyword"):s.propertyIsEnumerable(f)?(l.propertyIsEnumerable(f)&&(r="newstatement"),"builtin"):u.propertyIsEnumerable(f)?"atom":"variable"}function h(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=null;break}r="*"==n}return"comment"}function m(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=null;break}r="+"==n}return"comment"}function g(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function v(e,t,n){var r=e.indented;return e.context&&"statement"==e.context.type&&(r=e.context.indented),e.context=new g(r,t,n,null,e.context)}function y(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return{startState:function(e){return{tokenize:null,context:new g((e||0)-i,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var n=t.context;if(e.sol()&&(null==n.align&&(n.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;r=null;var i=(t.tokenize||f)(e,t);if("comment"==i||"meta"==i)return i;if(null==n.align&&(n.align=!0),";"!=r&&":"!=r&&","!=r||"statement"!=n.type)if("{"==r)v(t,e.column(),"}");else if("["==r)v(t,e.column(),"]");else if("("==r)v(t,e.column(),")");else if("}"==r){for(;"statement"==n.type;)n=y(t);for("}"==n.type&&(n=y(t));"statement"==n.type;)n=y(t)}else r==n.type?y(t):(("}"==n.type||"top"==n.type)&&";"!=r||"statement"==n.type&&"newstatement"==r)&&v(t,e.column(),"statement");else y(t);return t.startOfLine=!1,i},indent:function(t,n){if(t.tokenize!=f&&null!=t.tokenize)return e.Pass;var r=t.context,a=n&&n.charAt(0);"statement"==r.type&&"}"==a&&(r=r.prev);var s=a==r.type;return"statement"==r.type?r.indented+("{"==a?0:o):r.align?r.column+(s?0:1):r.indented+(s?0:i)},electricChars:"{}",blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",lineComment:"//",fold:"brace"}}));var n="body catch class do else enum for foreach foreach_reverse if in interface mixin out scope struct switch try union unittest version while with";e.defineMIME("text/x-d",{name:"d",keywords:t("abstract alias align asm assert auto break case cast cdouble cent cfloat const continue debug default delegate delete deprecated export extern final finally function goto immutable import inout invariant is lazy macro module new nothrow override package pragma private protected public pure ref return shared short static super synchronized template this throw typedef typeid typeof volatile __FILE__ __LINE__ __gshared __traits __vector __parameters "+n),blockKeywords:t(n),builtin:t("bool byte char creal dchar double float idouble ifloat int ireal long real short ubyte ucent uint ulong ushort wchar wstring void size_t sizediff_t"),atoms:t("exit failure success true false null"),hooks:{"@":function(e,t){return e.eatWhile(/[\w\$_]/),"meta"}}})}(n(23326))},31061:(e,t,n)=>{!function(e){"use strict";var t="this super static final const abstract class extends external factory implements mixin get native set typedef with enum throw rethrow assert break case continue default in return new deferred async await covariant try catch finally do else for if switch while import library export part of show hide is as extension on yield late required sealed base interface when inline".split(" "),n="try catch finally do else for if switch while".split(" "),r="true false null".split(" "),i="void bool num int double dynamic var String Null Never".split(" ");function o(e){for(var t={},n=0;n<e.length;++n)t[e[n]]=!0;return t}function a(e){(e.interpolationStack||(e.interpolationStack=[])).push(e.tokenize)}function s(e){return(e.interpolationStack||(e.interpolationStack=[])).pop()}function l(e,t,n,r){var i=!1;if(t.eat(e)){if(!t.eat(e))return"string";i=!0}function o(t,n){for(var o=!1;!t.eol();){if(!r&&!o&&"$"==t.peek())return a(n),n.tokenize=u,"string";var s=t.next();if(s==e&&!o&&(!i||t.match(e+e))){n.tokenize=null;break}o=!r&&!o&&"\\"==s}return"string"}return n.tokenize=o,o(t,n)}function u(e,t){return e.eat("$"),e.eat("{")?t.tokenize=null:t.tokenize=c,null}function c(e,t){return e.eatWhile(/[\w_]/),t.tokenize=s(t),"variable"}function d(e){return function(t,n){for(var r;r=t.next();){if("*"==r&&t.eat("/")){if(1==e){n.tokenize=null;break}return n.tokenize=d(e-1),n.tokenize(t,n)}if("/"==r&&t.eat("*"))return n.tokenize=d(e+1),n.tokenize(t,n)}return"comment"}}e.defineMIME("application/dart",{name:"clike",keywords:o(t),blockKeywords:o(n),builtin:o(i),atoms:o(r),hooks:{"@":function(e){return e.eatWhile(/[\w\$_\.]/),"meta"},"'":function(e,t){return l("'",e,t,!1)},'"':function(e,t){return l('"',e,t,!1)},r:function(e,t){var n=e.peek();return("'"==n||'"'==n)&&l(e.next(),e,t,!0)},"}":function(e,t){return function(e){return e.interpolationStack?e.interpolationStack.length:0}(t)>0&&(t.tokenize=s(t),null)},"/":function(e,t){return!!e.eat("*")&&(t.tokenize=d(1),t.tokenize(e,t))},token:function(e,t,n){if("variable"==n&&RegExp("^[_$]*[A-Z][a-zA-Z0-9_$]*$","g").test(e.current()))return"variable-2"}}}),e.registerHelper("hintWords","application/dart",t.concat(r).concat(i)),e.defineMode("dart",(function(t){return e.getMode(t,"application/dart")}),"clike")}(n(23326),n(80011))},16357:(e,t,n)=>{!function(e){"use strict";e.defineMode("diff",(function(){var e={"+":"positive","-":"negative","@":"meta"};return{token:function(t){var n=t.string.search(/[\t ]+?$/);if(!t.sol()||0===n)return t.skipToEnd(),("error "+(e[t.string.charAt(0)]||"")).replace(/ $/,"");var r=e[t.peek()]||t.skipToEnd();return-1===n?t.skipToEnd():t.pos=n,r}}})),e.defineMIME("text/x-diff","diff")}(n(23326))},51872:(e,t,n)=>{!function(e){"use strict";e.defineMode("django:inner",(function(){var e=["block","endblock","for","endfor","true","false","filter","endfilter","loop","none","self","super","if","elif","endif","as","else","import","with","endwith","without","context","ifequal","endifequal","ifnotequal","endifnotequal","extends","include","load","comment","endcomment","empty","url","static","trans","blocktrans","endblocktrans","now","regroup","lorem","ifchanged","endifchanged","firstof","debug","cycle","csrf_token","autoescape","endautoescape","spaceless","endspaceless","ssi","templatetag","verbatim","endverbatim","widthratio"],t=["add","addslashes","capfirst","center","cut","date","default","default_if_none","dictsort","dictsortreversed","divisibleby","escape","escapejs","filesizeformat","first","floatformat","force_escape","get_digit","iriencode","join","last","length","length_is","linebreaks","linebreaksbr","linenumbers","ljust","lower","make_list","phone2numeric","pluralize","pprint","random","removetags","rjust","safe","safeseq","slice","slugify","stringformat","striptags","time","timesince","timeuntil","title","truncatechars","truncatechars_html","truncatewords","truncatewords_html","unordered_list","upper","urlencode","urlize","urlizetrunc","wordcount","wordwrap","yesno"],n=["==","!=","<",">","<=",">="],r=["in","not","or","and"];function i(e,t){if(e.match("{{"))return t.tokenize=a,"tag";if(e.match("{%"))return t.tokenize=s,"tag";if(e.match("{#"))return t.tokenize=l,"comment";for(;null!=e.next()&&!e.match(/\{[{%#]/,!1););return null}function o(e,t){return function(n,r){return!r.escapeNext&&n.eat(e)?r.tokenize=t:(r.escapeNext&&(r.escapeNext=!1),"\\"==n.next()&&(r.escapeNext=!0)),"string"}}function a(e,n){if(n.waitDot){if(n.waitDot=!1,"."!=e.peek())return"null";if(e.match(/\.\W+/))return"error";if(e.eat("."))return n.waitProperty=!0,"null";throw Error("Unexpected error while waiting for property.")}if(n.waitPipe){if(n.waitPipe=!1,"|"!=e.peek())return"null";if(e.match(/\.\W+/))return"error";if(e.eat("|"))return n.waitFilter=!0,"null";throw Error("Unexpected error while waiting for filter.")}return n.waitProperty&&(n.waitProperty=!1,e.match(/\b(\w+)\b/))?(n.waitDot=!0,n.waitPipe=!0,"property"):n.waitFilter&&(n.waitFilter=!1,e.match(t))?"variable-2":e.eatSpace()?(n.waitProperty=!1,"null"):e.match(/\b\d+(\.\d+)?\b/)?"number":e.match("'")?(n.tokenize=o("'",n.tokenize),"string"):e.match('"')?(n.tokenize=o('"',n.tokenize),"string"):e.match(/\b(\w+)\b/)&&!n.foundVariable?(n.waitDot=!0,n.waitPipe=!0,"variable"):e.match("}}")?(n.waitProperty=null,n.waitFilter=null,n.waitDot=null,n.waitPipe=null,n.tokenize=i,"tag"):(e.next(),"null")}function s(a,s){if(s.waitDot){if(s.waitDot=!1,"."!=a.peek())return"null";if(a.match(/\.\W+/))return"error";if(a.eat("."))return s.waitProperty=!0,"null";throw Error("Unexpected error while waiting for property.")}if(s.waitPipe){if(s.waitPipe=!1,"|"!=a.peek())return"null";if(a.match(/\.\W+/))return"error";if(a.eat("|"))return s.waitFilter=!0,"null";throw Error("Unexpected error while waiting for filter.")}if(s.waitProperty&&(s.waitProperty=!1,a.match(/\b(\w+)\b/)))return s.waitDot=!0,s.waitPipe=!0,"property";if(s.waitFilter&&(s.waitFilter=!1,a.match(t)))return"variable-2";if(a.eatSpace())return s.waitProperty=!1,"null";if(a.match(/\b\d+(\.\d+)?\b/))return"number";if(a.match("'"))return s.tokenize=o("'",s.tokenize),"string";if(a.match('"'))return s.tokenize=o('"',s.tokenize),"string";if(a.match(n))return"operator";if(a.match(r))return"keyword";var l=a.match(e);return l?("comment"==l[0]&&(s.blockCommentTag=!0),"keyword"):a.match(/\b(\w+)\b/)?(s.waitDot=!0,s.waitPipe=!0,"variable"):a.match("%}")?(s.waitProperty=null,s.waitFilter=null,s.waitDot=null,s.waitPipe=null,s.blockCommentTag?(s.blockCommentTag=!1,s.tokenize=u):s.tokenize=i,"tag"):(a.next(),"null")}function l(e,t){return e.match(/^.*?#\}/)?t.tokenize=i:e.skipToEnd(),"comment"}function u(e,t){return e.match(/\{%\s*endcomment\s*%\}/,!1)?(t.tokenize=s,e.match("{%"),"tag"):(e.next(),"comment")}return e=new RegExp("^\\b("+e.join("|")+")\\b"),t=new RegExp("^\\b("+t.join("|")+")\\b"),n=new RegExp("^\\b("+n.join("|")+")\\b"),r=new RegExp("^\\b("+r.join("|")+")\\b"),{startState:function(){return{tokenize:i}},token:function(e,t){return t.tokenize(e,t)},blockCommentStart:"{% comment %}",blockCommentEnd:"{% endcomment %}"}})),e.defineMode("django",(function(t){var n=e.getMode(t,"text/html"),r=e.getMode(t,"django:inner");return e.overlayMode(n,r)})),e.defineMIME("text/x-django","django")}(n(23326),n(38425),n(19962))},72694:(e,t,n)=>{!function(e){"use strict";var t="from",n=new RegExp("^(\\s*)\\b("+t+")\\b","i"),r=["run","cmd","entrypoint","shell"],i=new RegExp("^(\\s*)("+r.join("|")+")(\\s+\\[)","i"),o="expose",a=new RegExp("^(\\s*)("+o+")(\\s+)","i"),s="("+[t,o].concat(r).concat(["arg","from","maintainer","label","env","add","copy","volume","user","workdir","onbuild","stopsignal","healthcheck","shell"]).join("|")+")",l=new RegExp("^(\\s*)"+s+"(\\s*)(#.*)?$","i"),u=new RegExp("^(\\s*)"+s+"(\\s+)","i");e.defineSimpleMode("dockerfile",{start:[{regex:/^\s*#.*$/,sol:!0,token:"comment"},{regex:n,token:[null,"keyword"],sol:!0,next:"from"},{regex:l,token:[null,"keyword",null,"error"],sol:!0},{regex:i,token:[null,"keyword",null],sol:!0,next:"array"},{regex:a,token:[null,"keyword",null],sol:!0,next:"expose"},{regex:u,token:[null,"keyword",null],sol:!0,next:"arguments"},{regex:/./,token:null}],from:[{regex:/\s*$/,token:null,next:"start"},{regex:/(\s*)(#.*)$/,token:[null,"error"],next:"start"},{regex:/(\s*\S+\s+)(as)/i,token:[null,"keyword"],next:"start"},{token:null,next:"start"}],single:[{regex:/(?:[^\\']|\\.)/,token:"string"},{regex:/'/,token:"string",pop:!0}],double:[{regex:/(?:[^\\"]|\\.)/,token:"string"},{regex:/"/,token:"string",pop:!0}],array:[{regex:/\]/,token:null,next:"start"},{regex:/"(?:[^\\"]|\\.)*"?/,token:"string"}],expose:[{regex:/\d+$/,token:"number",next:"start"},{regex:/[^\d]+$/,token:null,next:"start"},{regex:/\d+/,token:"number"},{regex:/[^\d]+/,token:null},{token:null,next:"start"}],arguments:[{regex:/^\s*#.*$/,sol:!0,token:"comment"},{regex:/"(?:[^\\"]|\\.)*"?$/,token:"string",next:"start"},{regex:/"/,token:"string",push:"double"},{regex:/'(?:[^\\']|\\.)*'?$/,token:"string",next:"start"},{regex:/'/,token:"string",push:"single"},{regex:/[^#"']+[\\`]$/,token:null},{regex:/[^#"']+$/,token:null,next:"start"},{regex:/[^#"']+/,token:null},{token:null,next:"start"}],meta:{lineComment:"#"}}),e.defineMIME("text/x-dockerfile","dockerfile")}(n(23326),n(81094))},39974:(e,t,n)=>{!function(e){"use strict";e.defineMode("dtd",(function(e){var t,n=e.indentUnit;function r(e,n){return t=n,e}function i(e,t){var n,a,s,l=e.next();if("<"!=l||!e.eat("!")){if("<"==l&&e.eat("?"))return t.tokenize=(a="meta",s="?>",function(e,t){for(;!e.eol();){if(e.match(s)){t.tokenize=i;break}e.next()}return a}),r("meta",l);if("#"==l&&e.eatWhile(/[\w]/))return r("atom","tag");if("|"==l)return r("keyword","separator");if(l.match(/[\(\)\[\]\-\.,\+\?>]/))return r(null,l);if(l.match(/[\[\]]/))return r("rule",l);if('"'==l||"'"==l)return t.tokenize=(n=l,function(e,t){for(var o,a=!1;null!=(o=e.next());){if(o==n&&!a){t.tokenize=i;break}a=!a&&"\\"==o}return r("string","tag")}),t.tokenize(e,t);if(e.eatWhile(/[a-zA-Z\?\+\d]/)){var u=e.current();return null!==u.substr(u.length-1,u.length).match(/\?|\+/)&&e.backUp(1),r("tag","tag")}return"%"==l||"*"==l?r("number","number"):(e.eatWhile(/[\w\\\-_%.{,]/),r(null,null))}return e.eatWhile(/[\-]/)?(t.tokenize=o,o(e,t)):e.eatWhile(/[\w]/)?r("keyword","doindent"):void 0}function o(e,t){for(var n,o=0;null!=(n=e.next());){if(o>=2&&">"==n){t.tokenize=i;break}o="-"==n?o+1:0}return r("comment","comment")}return{startState:function(e){return{tokenize:i,baseIndent:e||0,stack:[]}},token:function(e,n){if(e.eatSpace())return null;var r=n.tokenize(e,n),i=n.stack[n.stack.length-1];return"["==e.current()||"doindent"===t||"["==t?n.stack.push("rule"):"endtag"===t?n.stack[n.stack.length-1]="endtag":"]"==e.current()||"]"==t||">"==t&&"rule"==i?n.stack.pop():"["==t&&n.stack.push("["),r},indent:function(e,r){var i=e.stack.length;return"]"===r.charAt(0)?i--:">"===r.substr(r.length-1,r.length)&&("<"===r.substr(0,1)||"doindent"==t&&r.length>1||("doindent"==t?i--:">"==t&&r.length>1||"tag"==t&&">"!==r||("tag"==t&&"rule"==e.stack[e.stack.length-1]?i--:"tag"==t?i++:">"===r&&"rule"==e.stack[e.stack.length-1]&&">"===t?i--:">"===r&&"rule"==e.stack[e.stack.length-1]||("<"!==r.substr(0,1)&&">"===r.substr(0,1)?i-=1:">"===r||(i-=1)))),null!=t&&"]"!=t||i--),e.baseIndent+i*n},electricChars:"]>"}})),e.defineMIME("application/xml-dtd","dtd")}(n(23326))},49024:(e,t,n)=>{!function(e){"use strict";function t(e,t){for(var n=0;n<e.length;n++)t(e[n],n)}function n(e,t){for(var n=0;n<e.length;n++)if(t(e[n],n))return!0;return!1}e.defineMode("dylan",(function(e){var r={unnamedDefinition:["interface"],namedDefinition:["module","library","macro","C-struct","C-union","C-function","C-callable-wrapper"],typeParameterizedDefinition:["class","C-subtype","C-mapped-subtype"],otherParameterizedDefinition:["method","function","C-variable","C-address"],constantSimpleDefinition:["constant"],variableSimpleDefinition:["variable"],otherSimpleDefinition:["generic","domain","C-pointer-type","table"],statement:["if","block","begin","method","case","for","select","when","unless","until","while","iterate","profiling","dynamic-bind"],separator:["finally","exception","cleanup","else","elseif","afterwards"],other:["above","below","by","from","handler","in","instance","let","local","otherwise","slot","subclass","then","to","keyed-by","virtual"],signalingCalls:["signal","error","cerror","break","check-type","abort"]};r.otherDefinition=r.unnamedDefinition.concat(r.namedDefinition).concat(r.otherParameterizedDefinition),r.definition=r.typeParameterizedDefinition.concat(r.otherDefinition),r.parameterizedDefinition=r.typeParameterizedDefinition.concat(r.otherParameterizedDefinition),r.simpleDefinition=r.constantSimpleDefinition.concat(r.variableSimpleDefinition).concat(r.otherSimpleDefinition),r.keyword=r.statement.concat(r.separator).concat(r.other);var i="[-_a-zA-Z?!*@<>$%]+",o=new RegExp("^"+i),a={symbolKeyword:i+":",symbolClass:"<"+i+">",symbolGlobal:"\\*"+i+"\\*",symbolConstant:"\\$"+i},s={symbolKeyword:"atom",symbolClass:"tag",symbolGlobal:"variable-2",symbolConstant:"variable-3"};for(var l in a)a.hasOwnProperty(l)&&(a[l]=new RegExp("^"+a[l]));a.keyword=[/^with(?:out)?-[-_a-zA-Z?!*@<>$%]+/];var u={keyword:"keyword",definition:"def",simpleDefinition:"def",signalingCalls:"builtin"},c={},d={};function p(e,t,n){return t.tokenize=n,n(e,t)}function f(e,t){var r=e.peek();if("'"==r||'"'==r)return e.next(),p(e,t,m(r,"string"));if("/"==r){if(e.next(),e.eat("*"))return p(e,t,h);if(e.eat("/"))return e.skipToEnd(),"comment";e.backUp(1)}else if(/[+\-\d\.]/.test(r)){if(e.match(/^[+-]?[0-9]*\.[0-9]*([esdx][+-]?[0-9]+)?/i)||e.match(/^[+-]?[0-9]+([esdx][+-]?[0-9]+)/i)||e.match(/^[+-]?\d+/))return"number"}else{if("#"==r)return e.next(),'"'==(r=e.peek())?(e.next(),p(e,t,m('"',"string"))):"b"==r?(e.next(),e.eatWhile(/[01]/),"number"):"x"==r?(e.next(),e.eatWhile(/[\da-f]/i),"number"):"o"==r?(e.next(),e.eatWhile(/[0-7]/),"number"):"#"==r?(e.next(),"punctuation"):"["==r||"("==r?(e.next(),"bracket"):e.match(/f|t|all-keys|include|key|next|rest/i)?"atom":(e.eatWhile(/[-a-zA-Z]/),"error");if("~"==r)return e.next(),"="==(r=e.peek())?(e.next(),"="==(r=e.peek())?(e.next(),"operator"):"operator"):"operator";if(":"==r){if(e.next(),"="==(r=e.peek()))return e.next(),"operator";if(":"==r)return e.next(),"punctuation"}else{if(-1!="[](){}".indexOf(r))return e.next(),"bracket";if(-1!=".,".indexOf(r))return e.next(),"punctuation";if(e.match("end"))return"keyword"}}for(var i in a)if(a.hasOwnProperty(i)){var l=a[i];if(l instanceof Array&&n(l,(function(t){return e.match(t)}))||e.match(l))return s[i]}return/[+\-*\/^=<>&|]/.test(r)?(e.next(),"operator"):e.match("define")?"def":(e.eatWhile(/[\w\-]/),c.hasOwnProperty(e.current())?d[e.current()]:e.current().match(o)?"variable":(e.next(),"variable-2"))}function h(e,t){for(var n,r=!1,i=!1,o=0;n=e.next();){if("/"==n&&r){if(!(o>0)){t.tokenize=f;break}o--}else"*"==n&&i&&o++;r="*"==n,i="/"==n}return"comment"}function m(e,t){return function(n,r){for(var i,o=!1,a=!1;null!=(i=n.next());){if(i==e&&!o){a=!0;break}o=!o&&"\\"==i}return!a&&o||(r.tokenize=f),t}}return t(["keyword","definition","simpleDefinition","signalingCalls"],(function(e){t(r[e],(function(t){c[t]=e,d[t]=u[e]}))})),{startState:function(){return{tokenize:f,currentIndent:0}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},blockCommentStart:"/*",blockCommentEnd:"*/"}})),e.defineMIME("text/x-dylan","dylan")}(n(23326))},5807:(e,t,n)=>{!function(e){"use strict";e.defineMode("ebnf",(function(t){var n=0,r=1,i=0,o=1,a=2,s=null;return t.bracesMode&&(s=e.getMode(t,t.bracesMode)),{startState:function(){return{stringType:null,commentType:null,braced:0,lhs:!0,localState:null,stack:[],inDefinition:!1}},token:function(t,l){if(t){switch(0===l.stack.length&&('"'==t.peek()||"'"==t.peek()?(l.stringType=t.peek(),t.next(),l.stack.unshift(o)):t.match("/*")?(l.stack.unshift(i),l.commentType=n):t.match("(*")&&(l.stack.unshift(i),l.commentType=r)),l.stack[0]){case o:for(;l.stack[0]===o&&!t.eol();)t.peek()===l.stringType?(t.next(),l.stack.shift()):"\\"===t.peek()?(t.next(),t.next()):t.match(/^.[^\\\"\']*/);return l.lhs?"property string":"string";case i:for(;l.stack[0]===i&&!t.eol();)l.commentType===n&&t.match("*/")||l.commentType===r&&t.match("*)")?(l.stack.shift(),l.commentType=null):t.match(/^.[^\*]*/);return"comment";case a:for(;l.stack[0]===a&&!t.eol();)t.match(/^[^\]\\]+/)||t.match(".")||l.stack.shift();return"operator"}var u=t.peek();if(null!==s&&(l.braced||"{"===u)){null===l.localState&&(l.localState=e.startState(s));var c=s.token(t,l.localState),d=t.current();if(!c)for(var p=0;p<d.length;p++)"{"===d[p]?(0===l.braced&&(c="matchingbracket"),l.braced++):"}"===d[p]&&(l.braced--,0===l.braced&&(c="matchingbracket"));return c}switch(u){case"[":return t.next(),l.stack.unshift(a),"bracket";case":":case"|":case";":return t.next(),"operator";case"%":if(t.match("%%"))return"header";if(t.match(/[%][A-Za-z]+/))return"keyword";if(t.match(/[%][}]/))return"matchingbracket";break;case"/":if(t.match(/[\/][A-Za-z]+/))return"keyword";case"\\":if(t.match(/[\][a-z]+/))return"string-2";case".":if(t.match("."))return"atom";case"*":case"-":case"+":case"^":if(t.match(u))return"atom";case"$":if(t.match("$$"))return"builtin";if(t.match(/[$][0-9]+/))return"variable-3";case"<":if(t.match(/<<[a-zA-Z_]+>>/))return"builtin"}return t.match("//")?(t.skipToEnd(),"comment"):t.match("return")?"operator":t.match(/^[a-zA-Z_][a-zA-Z0-9_]*/)?t.match(/(?=[\(.])/)?"variable":t.match(/(?=[\s\n]*[:=])/)?"def":"variable-2":-1!=["[","]","(",")"].indexOf(t.peek())?(t.next(),"bracket"):(t.eatSpace()||t.next(),null)}}}})),e.defineMIME("text/x-ebnf","ebnf")}(n(23326))},53002:(e,t,n)=>{!function(e){"use strict";e.defineMode("ecl",(function(e){function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var n,r=e.indentUnit,i=t("abs acos allnodes ascii asin asstring atan atan2 ave case choose choosen choosesets clustersize combine correlation cos cosh count covariance cron dataset dedup define denormalize distribute distributed distribution ebcdic enth error evaluate event eventextra eventname exists exp failcode failmessage fetch fromunicode getisvalid global graph group hash hash32 hash64 hashcrc hashmd5 having if index intformat isvalid iterate join keyunicode length library limit ln local log loop map matched matchlength matchposition matchtext matchunicode max merge mergejoin min nolocal nonempty normalize parse pipe power preload process project pull random range rank ranked realformat recordof regexfind regexreplace regroup rejected rollup round roundup row rowdiff sample set sin sinh sizeof soapcall sort sorted sqrt stepped stored sum table tan tanh thisnode topn tounicode transfer trim truncate typeof ungroup unicodeorder variance which workunit xmldecode xmlencode xmltext xmlunicode"),o=t("apply assert build buildindex evaluate fail keydiff keypatch loadxml nothor notify output parallel sequential soapcall wait"),a=t("__compressed__ all and any as atmost before beginc++ best between case const counter csv descend encrypt end endc++ endmacro except exclusive expire export extend false few first flat from full function group header heading hole ifblock import in interface joined keep keyed last left limit load local locale lookup macro many maxcount maxlength min skew module named nocase noroot noscan nosort not of only opt or outer overwrite packed partition penalty physicallength pipe quote record relationship repeat return right scan self separator service shared skew skip sql store terminator thor threshold token transform trim true type unicodeorder unsorted validate virtual whole wild within xml xpath"),s=t("ascii big_endian boolean data decimal ebcdic integer pattern qstring real record rule set of string token udecimal unicode unsigned varstring varunicode"),l=t("checkpoint deprecated failcode failmessage failure global independent onwarning persist priority recovery stored success wait when"),u=t("catch class do else finally for if switch try while"),c=t("true false null"),d={"#":function(e,t){return!!t.startOfLine&&(e.skipToEnd(),"meta")}},p=/[+\-*&%=<>!?|\/]/;function f(e,t){var r,m=e.next();if(d[m]){var g=d[m](e,t);if(!1!==g)return g}if('"'==m||"'"==m)return t.tokenize=(r=m,function(e,t){for(var n,i=!1,o=!1;null!=(n=e.next());){if(n==r&&!i){o=!0;break}i=!i&&"\\"==n}return!o&&i||(t.tokenize=f),"string"}),t.tokenize(e,t);if(/[\[\]{}\(\),;\:\.]/.test(m))return n=m,null;if(/\d/.test(m))return e.eatWhile(/[\w\.]/),"number";if("/"==m){if(e.eat("*"))return t.tokenize=h,h(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}if(p.test(m))return e.eatWhile(p),"operator";e.eatWhile(/[\w\$_]/);var v=e.current().toLowerCase();if(i.propertyIsEnumerable(v))return u.propertyIsEnumerable(v)&&(n="newstatement"),"keyword";if(o.propertyIsEnumerable(v))return u.propertyIsEnumerable(v)&&(n="newstatement"),"variable";if(a.propertyIsEnumerable(v))return u.propertyIsEnumerable(v)&&(n="newstatement"),"variable-2";if(s.propertyIsEnumerable(v))return u.propertyIsEnumerable(v)&&(n="newstatement"),"variable-3";if(l.propertyIsEnumerable(v))return u.propertyIsEnumerable(v)&&(n="newstatement"),"builtin";for(var y=v.length-1;y>=0&&(!isNaN(v[y])||"_"==v[y]);)--y;if(y>0){var b=v.substr(0,y+1);if(s.propertyIsEnumerable(b))return u.propertyIsEnumerable(b)&&(n="newstatement"),"variable-3"}return c.propertyIsEnumerable(v)?"atom":null}function h(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=f;break}r="*"==n}return"comment"}function m(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function g(e,t,n){return e.context=new m(e.indented,t,n,null,e.context)}function v(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return{startState:function(e){return{tokenize:null,context:new m((e||0)-r,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var r=t.context;if(e.sol()&&(null==r.align&&(r.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;n=null;var i=(t.tokenize||f)(e,t);if("comment"==i||"meta"==i)return i;if(null==r.align&&(r.align=!0),";"!=n&&":"!=n||"statement"!=r.type)if("{"==n)g(t,e.column(),"}");else if("["==n)g(t,e.column(),"]");else if("("==n)g(t,e.column(),")");else if("}"==n){for(;"statement"==r.type;)r=v(t);for("}"==r.type&&(r=v(t));"statement"==r.type;)r=v(t)}else n==r.type?v(t):("}"==r.type||"top"==r.type||"statement"==r.type&&"newstatement"==n)&&g(t,e.column(),"statement");else v(t);return t.startOfLine=!1,i},indent:function(e,t){if(e.tokenize!=f&&null!=e.tokenize)return 0;var n=e.context,i=t&&t.charAt(0);"statement"==n.type&&"}"==i&&(n=n.prev);var o=i==n.type;return"statement"==n.type?n.indented+("{"==i?0:r):n.align?n.column+(o?0:1):n.indented+(o?0:r)},electricChars:"{}"}})),e.defineMIME("text/x-ecl","ecl")}(n(23326))},57921:(e,t,n)=>{!function(e){"use strict";e.defineMode("eiffel",(function(){function e(e){for(var t={},n=0,r=e.length;n<r;++n)t[e[n]]=!0;return t}var t=e(["note","across","when","variant","until","unique","undefine","then","strip","select","retry","rescue","require","rename","reference","redefine","prefix","once","old","obsolete","loop","local","like","is","inspect","infix","include","if","frozen","from","external","export","ensure","end","elseif","else","do","creation","create","check","alias","agent","separate","invariant","inherit","indexing","feature","expanded","deferred","class","Void","True","Result","Precursor","False","Current","create","attached","detachable","as","and","implies","not","or"]),n=e([":=","and then","and","or","<<",">>"]);function r(e,t){if(e.eatSpace())return null;var n,r,i,o=e.next();return'"'==o||"'"==o?function(e,t,n){return n.tokenize.push(e),e(t,n)}((n=o,r="string",function(e,t){for(var o,a=!1;null!=(o=e.next());){if(o==n&&(i||!a)){t.tokenize.pop();break}a=!a&&"%"==o}return r}),e,t):"-"==o&&e.eat("-")?(e.skipToEnd(),"comment"):":"==o&&e.eat("=")?"operator":/[0-9]/.test(o)?(e.eatWhile(/[xXbBCc0-9\.]/),e.eat(/[\?\!]/),"ident"):/[a-zA-Z_0-9]/.test(o)?(e.eatWhile(/[a-zA-Z_0-9]/),e.eat(/[\?\!]/),"ident"):/[=+\-\/*^%<>~]/.test(o)?(e.eatWhile(/[=+\-\/*^%<>~]/),"operator"):null}return{startState:function(){return{tokenize:[r]}},token:function(e,r){var i=r.tokenize[r.tokenize.length-1](e,r);if("ident"==i){var o=e.current();i=t.propertyIsEnumerable(e.current())?"keyword":n.propertyIsEnumerable(e.current())?"operator":/^[A-Z][A-Z_0-9]*$/g.test(o)?"tag":/^0[bB][0-1]+$/g.test(o)||/^0[cC][0-7]+$/g.test(o)||/^0[xX][a-fA-F0-9]+$/g.test(o)||/^([0-9]+\.[0-9]*)|([0-9]*\.[0-9]+)$/g.test(o)||/^[0-9]+$/g.test(o)?"number":"variable"}return i},lineComment:"--"}})),e.defineMIME("text/x-eiffel","eiffel")}(n(23326))},12589:(e,t,n)=>{!function(e){"use strict";e.defineMode("elm",(function(){function e(e,t,n){return t(n),n(e,t)}var t=/[a-z]/,n=/[A-Z]/,r=/[a-zA-Z0-9_]/,i=/[0-9]/,o=/[0-9A-Fa-f]/,a=/[-&*+.\\/<>=?^|:]/,s=/[(),[\]{}]/,l=/[ \v\f]/;function u(){return function(u,m){if(u.eatWhile(l))return null;var g=u.next();if(s.test(g))return"{"===g&&u.eat("-")?e(u,m,c(1)):"["===g&&u.match("glsl|")?e(u,m,h):"builtin";if("'"===g)return e(u,m,f);if('"'===g)return u.eat('"')?u.eat('"')?e(u,m,d):"string":e(u,m,p);if(n.test(g))return u.eatWhile(r),"variable-2";if(t.test(g)){var v=1===u.pos;return u.eatWhile(r),v?"def":"variable"}if(i.test(g)){if("0"===g){if(u.eat(/[xX]/))return u.eatWhile(o),"number"}else u.eatWhile(i);return u.eat(".")&&u.eatWhile(i),u.eat(/[eE]/)&&(u.eat(/[-+]/),u.eatWhile(i)),"number"}return a.test(g)?"-"===g&&u.eat("-")?(u.skipToEnd(),"comment"):(u.eatWhile(a),"keyword"):"_"===g?"keyword":"error"}}function c(e){return 0==e?u():function(t,n){for(;!t.eol();){var r=t.next();if("{"==r&&t.eat("-"))++e;else if("-"==r&&t.eat("}")&&0==--e)return n(u()),"comment"}return n(c(e)),"comment"}}function d(e,t){for(;!e.eol();)if('"'===e.next()&&e.eat('"')&&e.eat('"'))return t(u()),"string";return"string"}function p(e,t){for(;e.skipTo('\\"');)e.next(),e.next();return e.skipTo('"')?(e.next(),t(u()),"string"):(e.skipToEnd(),t(u()),"error")}function f(e,t){for(;e.skipTo("\\'");)e.next(),e.next();return e.skipTo("'")?(e.next(),t(u()),"string"):(e.skipToEnd(),t(u()),"error")}function h(e,t){for(;!e.eol();)if("|"===e.next()&&e.eat("]"))return t(u()),"string";return"string"}var m={case:1,of:1,as:1,if:1,then:1,else:1,let:1,in:1,type:1,alias:1,module:1,where:1,import:1,exposing:1,port:1};return{startState:function(){return{f:u()}},copyState:function(e){return{f:e.f}},lineComment:"--",token:function(e,t){var n=t.f(e,(function(e){t.f=e})),r=e.current();return m.hasOwnProperty(r)?"keyword":n}}})),e.defineMIME("text/x-elm","elm")}(n(23326))},93710:(e,t,n)=>{!function(e){"use strict";e.defineMIME("text/x-erlang","erlang"),e.defineMode("erlang",(function(t){var n=["-type","-spec","-export_type","-opaque"],r=["after","begin","catch","case","cond","end","fun","if","let","of","query","receive","try","when"],i=/[\->,;]/,o=["->",";",","],a=["and","andalso","band","bnot","bor","bsl","bsr","bxor","div","not","or","orelse","rem","xor"],s=/[\+\-\*\/<>=\|:!]/,l=["=","+","-","*","/",">",">=","<","=<","=:=","==","=/=","/=","||","<-","!"],u=/[<\(\[\{]/,c=["<<","(","[","{"],d=/[>\)\]\}]/,p=["}","]",")",">>"],f=["is_atom","is_binary","is_bitstring","is_boolean","is_float","is_function","is_integer","is_list","is_number","is_pid","is_port","is_record","is_reference","is_tuple","atom","binary","bitstring","boolean","function","integer","list","number","pid","port","record","reference","tuple"],h=["abs","adler32","adler32_combine","alive","apply","atom_to_binary","atom_to_list","binary_to_atom","binary_to_existing_atom","binary_to_list","binary_to_term","bit_size","bitstring_to_list","byte_size","check_process_code","contact_binary","crc32","crc32_combine","date","decode_packet","delete_module","disconnect_node","element","erase","exit","float","float_to_list","garbage_collect","get","get_keys","group_leader","halt","hd","integer_to_list","internal_bif","iolist_size","iolist_to_binary","is_alive","is_atom","is_binary","is_bitstring","is_boolean","is_float","is_function","is_integer","is_list","is_number","is_pid","is_port","is_process_alive","is_record","is_reference","is_tuple","length","link","list_to_atom","list_to_binary","list_to_bitstring","list_to_existing_atom","list_to_float","list_to_integer","list_to_pid","list_to_tuple","load_module","make_ref","module_loaded","monitor_node","node","node_link","node_unlink","nodes","notalive","now","open_port","pid_to_list","port_close","port_command","port_connect","port_control","pre_loaded","process_flag","process_info","processes","purge_module","put","register","registered","round","self","setelement","size","spawn","spawn_link","spawn_monitor","spawn_opt","split_binary","statistics","term_to_binary","time","throw","tl","trunc","tuple_size","tuple_to_list","unlink","unregister","whereis"],m=/[\w@Ø-ÞÀ-Öß-öø-ÿ]/,g=/[0-7]{1,3}|[bdefnrstv\\"']|\^[a-zA-Z]|x[0-9a-zA-Z]{2}|x{[0-9a-zA-Z]+}/;function v(e,t,n){if(1==e.current().length&&t.test(e.current())){for(e.backUp(1);t.test(e.peek());)if(e.next(),x(e.current(),n))return!0;e.backUp(e.current().length-1)}return!1}function y(e,t,n){if(1==e.current().length&&t.test(e.current())){for(;t.test(e.peek());)e.next();for(;0<e.current().length;){if(x(e.current(),n))return!0;e.backUp(1)}e.next()}return!1}function b(e){return _(e,'"',"\\")}function w(e){return _(e,"'","\\")}function _(e,t,n){for(;!e.eol();){var r=e.next();if(r==t)return!0;r==n&&e.next()}return!1}function x(e,t){return-1<t.indexOf(e)}function k(e,t,n){switch(function(e,t){"comment"!=t.type&&"whitespace"!=t.type&&(e.tokenStack=function(e,t){var n=e.length-1;return 0<n&&"record"===e[n].type&&"dot"===t.type?e.pop():0<n&&"group"===e[n].type?(e.pop(),e.push(t)):e.push(t),e}(e.tokenStack,t),e.tokenStack=function(e){if(!e.length)return e;var t=e.length-1;if("dot"===e[t].type)return[];if(t>1&&"fun"===e[t].type&&"fun"===e[t-1].token)return e.slice(0,t-1);switch(e[t].token){case"}":return A(e,{g:["{"]});case"]":return A(e,{i:["["]});case")":return A(e,{i:["("]});case">>":return A(e,{i:["<<"]});case"end":return A(e,{i:["begin","case","fun","if","receive","try"]});case",":return A(e,{e:["begin","try","when","->",",","(","[","{","<<"]});case"->":return A(e,{r:["when"],m:["try","if","case","receive"]});case";":return A(e,{E:["case","fun","if","receive","try","when"]});case"catch":return A(e,{e:["try"]});case"of":return A(e,{e:["case"]});case"after":return A(e,{e:["receive","try"]});default:return e}}(e.tokenStack))}(e,function(e,t){return E(t.current(),t.column(),t.indentation(),e)}(n,t)),n){case"atom":case"boolean":return"atom";case"attribute":return"attribute";case"builtin":return"builtin";case"close_paren":case"colon":case"dot":case"open_paren":case"separator":default:return null;case"comment":return"comment";case"error":return"error";case"fun":return"meta";case"function":return"tag";case"guard":return"property";case"keyword":return"keyword";case"macro":return"variable-2";case"number":return"number";case"operator":return"operator";case"record":return"bracket";case"string":return"string";case"type":return"def";case"variable":return"variable"}}function E(e,t,n,r){return{token:e,column:t,indent:n,type:r}}function C(e){return E(e,0,0,e)}function S(e,t){var n=e.tokenStack.length,r=t||1;return!(n<r)&&e.tokenStack[n-r]}function A(e,t){for(var n in t)for(var r=e.length-1,i=t[n],o=r-1;-1<o;o--)if(x(e[o].token,i)){var a=e.slice(0,o);switch(n){case"m":return a.concat(e[o]).concat(e[r]);case"r":return a.concat(e[r]);case"i":return a;case"g":return a.concat(C("group"));case"E":case"e":return a.concat(e[o])}}return"E"==n?[]:e}function T(n,r){var i,o,a=t.indentUnit,s=D(o=r.match(/,|[a-z]+|\}|\]|\)|>>|\|+|\(/))&&0===o.index?o[0]:"",l=S(n,1),u=S(n,2);return n.in_string||n.in_atom?e.Pass:u?"when"==l.token?l.column+a:"when"===s&&"function"===u.type?u.indent+a:"("===s&&"fun"===l.token?l.column+3:"catch"===s&&(i=O(n,["try"]))?i.column:x(s,["end","after","of"])?(i=O(n,["begin","case","fun","if","receive","try"]))?i.column:e.Pass:x(s,p)?(i=O(n,c))?i.column:e.Pass:x(l.token,[",","|","||"])||x(s,[",","|","||"])?(i=function(e){var t=e.tokenStack.slice(0,-1),n=P(t,"type",["open_paren"]);return!!D(t[n])&&t[n]}(n))?i.column+i.token.length:a:"->"==l.token?x(u.token,["receive","case","if","try"])?u.column+a+a:u.column+a:x(l.token,c)?l.column+l.token.length:D(i=function(e){var t=e.tokenStack,n=P(t,"type",["open_paren","separator","keyword"]),r=P(t,"type",["operator"]);return D(n)&&D(r)&&n<r?t[n+1]:!!D(n)&&t[n]}(n))?i.column+a:0:0}function O(e,t){var n=e.tokenStack,r=P(n,"token",t);return!!D(n[r])&&n[r]}function P(e,t,n){for(var r=e.length-1;-1<r;r--)if(x(e[r][t],n))return r;return!1}function D(e){return!1!==e&&null!=e}return{startState:function(){return{tokenStack:[],in_string:!1,in_atom:!1}},token:function(e,t){return function(e,t){if(t.in_string)return t.in_string=!b(e),k(t,e,"string");if(t.in_atom)return t.in_atom=!w(e),k(t,e,"atom");if(e.eatSpace())return k(t,e,"whitespace");if(!S(t)&&e.match(/-\s*[a-zß-öø-ÿ][\wØ-ÞÀ-Öß-öø-ÿ]*/))return x(e.current(),n)?k(t,e,"type"):k(t,e,"attribute");var _=e.next();if("%"==_)return e.skipToEnd(),k(t,e,"comment");if(":"==_)return k(t,e,"colon");if("?"==_)return e.eatSpace(),e.eatWhile(m),k(t,e,"macro");if("#"==_)return e.eatSpace(),e.eatWhile(m),k(t,e,"record");if("$"==_)return"\\"!=e.next()||e.match(g)?k(t,e,"number"):k(t,e,"error");if("."==_)return k(t,e,"dot");if("'"==_){if(!(t.in_atom=!w(e))){if(e.match(/\s*\/\s*[0-9]/,!1))return e.match(/\s*\/\s*[0-9]/,!0),k(t,e,"fun");if(e.match(/\s*\(/,!1)||e.match(/\s*:/,!1))return k(t,e,"function")}return k(t,e,"atom")}if('"'==_)return t.in_string=!b(e),k(t,e,"string");if(/[A-Z_Ø-ÞÀ-Ö]/.test(_))return e.eatWhile(m),k(t,e,"variable");if(/[a-z_ß-öø-ÿ]/.test(_)){if(e.eatWhile(m),e.match(/\s*\/\s*[0-9]/,!1))return e.match(/\s*\/\s*[0-9]/,!0),k(t,e,"fun");var E=e.current();return x(E,r)?k(t,e,"keyword"):x(E,a)?k(t,e,"operator"):e.match(/\s*\(/,!1)?!x(E,h)||":"==S(t).token&&"erlang"!=S(t,2).token?x(E,f)?k(t,e,"guard"):k(t,e,"function"):k(t,e,"builtin"):":"==function(e){var t=e.match(/^\s*([^\s%])/,!1);return t?t[1]:""}(e)?k(t,e,"erlang"==E?"builtin":"function"):x(E,["true","false"])?k(t,e,"boolean"):k(t,e,"atom")}var C=/[0-9]/;return C.test(_)?(e.eatWhile(C),e.eat("#")?e.eatWhile(/[0-9a-zA-Z]/)||e.backUp(1):e.eat(".")&&(e.eatWhile(C)?e.eat(/[eE]/)&&(e.eat(/[-+]/)?e.eatWhile(C)||e.backUp(2):e.eatWhile(C)||e.backUp(1)):e.backUp(1)),k(t,e,"number")):v(e,u,c)?k(t,e,"open_paren"):v(e,d,p)?k(t,e,"close_paren"):y(e,i,o)?k(t,e,"separator"):y(e,s,l)?k(t,e,"operator"):k(t,e,null)}(e,t)},indent:function(e,t){return T(e,t)},lineComment:"%"}}))}(n(23326))},20601:(e,t,n)=>{!function(e){"use strict";e.defineSimpleMode("factor",{start:[{regex:/#?!.*/,token:"comment"},{regex:/"""/,token:"string",next:"string3"},{regex:/(STRING:)(\s)/,token:["keyword",null],next:"string2"},{regex:/\S*?"/,token:"string",next:"string"},{regex:/(?:0x[\d,a-f]+)|(?:0o[0-7]+)|(?:0b[0,1]+)|(?:\-?\d+.?\d*)(?=\s)/,token:"number"},{regex:/((?:GENERIC)|\:?\:)(\s+)(\S+)(\s+)(\()/,token:["keyword",null,"def",null,"bracket"],next:"stack"},{regex:/(M\:)(\s+)(\S+)(\s+)(\S+)/,token:["keyword",null,"def",null,"tag"]},{regex:/USING\:/,token:"keyword",next:"vocabulary"},{regex:/(USE\:|IN\:)(\s+)(\S+)(?=\s|$)/,token:["keyword",null,"tag"]},{regex:/(\S+\:)(\s+)(\S+)(?=\s|$)/,token:["keyword",null,"def"]},{regex:/(?:;|\\|t|f|if|loop|while|until|do|PRIVATE>|<PRIVATE|\.|\S*\[|\]|\S*\{|\})(?=\s|$)/,token:"keyword"},{regex:/\S+[\)>\.\*\?]+(?=\s|$)/,token:"builtin"},{regex:/[\)><]+\S+(?=\s|$)/,token:"builtin"},{regex:/(?:[\+\-\=\/\*<>])(?=\s|$)/,token:"keyword"},{regex:/\S+/,token:"variable"},{regex:/\s+|./,token:null}],vocabulary:[{regex:/;/,token:"keyword",next:"start"},{regex:/\S+/,token:"tag"},{regex:/\s+|./,token:null}],string:[{regex:/(?:[^\\]|\\.)*?"/,token:"string",next:"start"},{regex:/.*/,token:"string"}],string2:[{regex:/^;/,token:"keyword",next:"start"},{regex:/.*/,token:"string"}],string3:[{regex:/(?:[^\\]|\\.)*?"""/,token:"string",next:"start"},{regex:/.*/,token:"string"}],stack:[{regex:/\)/,token:"bracket",next:"start"},{regex:/--/,token:"bracket"},{regex:/\S+/,token:"meta"},{regex:/\s+|./,token:null}],meta:{dontIndentStates:["start","vocabulary","string","string3","stack"],lineComment:"!"}}),e.defineMIME("text/x-factor","factor")}(n(23326),n(81094))},5801:(e,t,n)=>{!function(e){"use strict";e.defineMode("fcl",(function(e){var t=e.indentUnit,n={term:!0,method:!0,accu:!0,rule:!0,then:!0,is:!0,and:!0,or:!0,if:!0,default:!0},r={var_input:!0,var_output:!0,fuzzify:!0,defuzzify:!0,function_block:!0,ruleblock:!0},i={end_ruleblock:!0,end_defuzzify:!0,end_function_block:!0,end_fuzzify:!0,end_var:!0},o={true:!0,false:!0,nan:!0,real:!0,min:!0,max:!0,cog:!0,cogs:!0},a=/[+\-*&^%:=<>!|\/]/;function s(e,t){var s=e.next();if(/[\d\.]/.test(s))return"."==s?e.match(/^[0-9]+([eE][\-+]?[0-9]+)?/):"0"==s?e.match(/^[xX][0-9a-fA-F]+/)||e.match(/^0[0-7]+/):e.match(/^[0-9]*\.?[0-9]*([eE][\-+]?[0-9]+)?/),"number";if("/"==s||"("==s){if(e.eat("*"))return t.tokenize=l,l(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}if(a.test(s))return e.eatWhile(a),"operator";e.eatWhile(/[\w\$_\xa1-\uffff]/);var u=e.current().toLowerCase();return n.propertyIsEnumerable(u)||r.propertyIsEnumerable(u)||i.propertyIsEnumerable(u)?"keyword":o.propertyIsEnumerable(u)?"atom":"variable"}function l(e,t){for(var n,r=!1;n=e.next();){if(("/"==n||")"==n)&&r){t.tokenize=s;break}r="*"==n}return"comment"}function u(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}return{startState:function(e){return{tokenize:null,context:new u((e||0)-t,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var n=t.context;if(e.sol()&&(null==n.align&&(n.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;var o=(t.tokenize||s)(e,t);if("comment"==o)return o;null==n.align&&(n.align=!0);var a=e.current().toLowerCase();return r.propertyIsEnumerable(a)?function(e,t,n){e.context=new u(e.indented,t,n,null,e.context)}(t,e.column(),"end_block"):i.propertyIsEnumerable(a)&&function(e){if(e.context.prev)"end_block"==e.context.type&&(e.indented=e.context.indented),e.context=e.context.prev}(t),t.startOfLine=!1,o},indent:function(e,n){if(e.tokenize!=s&&null!=e.tokenize)return 0;var r=e.context,o=i.propertyIsEnumerable(n);return r.align?r.column+(o?0:1):r.indented+(o?0:t)},electricChars:"ryk",fold:"brace",blockCommentStart:"(*",blockCommentEnd:"*)",lineComment:"//"}})),e.defineMIME("text/x-fcl","fcl")}(n(23326))},45729:(e,t,n)=>{!function(e){"use strict";function t(e){var t=[];return e.split(" ").forEach((function(e){t.push({name:e})})),t}var n=t("INVERT AND OR XOR 2* 2/ LSHIFT RSHIFT 0= = 0< < > U< MIN MAX 2DROP 2DUP 2OVER 2SWAP ?DUP DEPTH DROP DUP OVER ROT SWAP >R R> R@ + - 1+ 1- ABS NEGATE S>D * M* UM* FM/MOD SM/REM UM/MOD */ */MOD / /MOD MOD HERE , @ ! CELL+ CELLS C, C@ C! CHARS 2@ 2! ALIGN ALIGNED +! ALLOT CHAR [CHAR] [ ] BL FIND EXECUTE IMMEDIATE COUNT LITERAL STATE ; DOES> >BODY EVALUATE SOURCE >IN <# # #S #> HOLD SIGN BASE >NUMBER HEX DECIMAL FILL MOVE . CR EMIT SPACE SPACES TYPE U. .R U.R ACCEPT TRUE FALSE <> U> 0<> 0> NIP TUCK ROLL PICK 2>R 2R@ 2R> WITHIN UNUSED MARKER I J TO COMPILE, [COMPILE] SAVE-INPUT RESTORE-INPUT PAD ERASE 2LITERAL DNEGATE D- D+ D0< D0= D2* D2/ D< D= DMAX DMIN D>S DABS M+ M*/ D. D.R 2ROT DU< CATCH THROW FREE RESIZE ALLOCATE CS-PICK CS-ROLL GET-CURRENT SET-CURRENT FORTH-WORDLIST GET-ORDER SET-ORDER PREVIOUS SEARCH-WORDLIST WORDLIST FIND ALSO ONLY FORTH DEFINITIONS ORDER -TRAILING /STRING SEARCH COMPARE CMOVE CMOVE> BLANK SLITERAL"),r=t("IF ELSE THEN BEGIN WHILE REPEAT UNTIL RECURSE [IF] [ELSE] [THEN] ?DO DO LOOP +LOOP UNLOOP LEAVE EXIT AGAIN CASE OF ENDOF ENDCASE");e.defineMode("forth",(function(){function e(e,t){var n;for(n=e.length-1;n>=0;n--)if(e[n].name===t.toUpperCase())return e[n]}return{startState:function(){return{state:"",base:10,coreWordList:n,immediateWordList:r,wordList:[]}},token:function(t,n){var r;if(t.eatSpace())return null;if(""===n.state){if(t.match(/^(\]|:NONAME)(\s|$)/i))return n.state=" compilation","builtin compilation";if(r=t.match(/^(\:)\s+(\S+)(\s|$)+/))return n.wordList.push({name:r[2].toUpperCase()}),n.state=" compilation","def"+n.state;if(r=t.match(/^(VARIABLE|2VARIABLE|CONSTANT|2CONSTANT|CREATE|POSTPONE|VALUE|WORD)\s+(\S+)(\s|$)+/i))return n.wordList.push({name:r[2].toUpperCase()}),"def"+n.state;if(r=t.match(/^(\'|\[\'\])\s+(\S+)(\s|$)+/))return"builtin"+n.state}else{if(t.match(/^(\;|\[)(\s)/))return n.state="",t.backUp(1),"builtin compilation";if(t.match(/^(\;|\[)($)/))return n.state="","builtin compilation";if(t.match(/^(POSTPONE)\s+\S+(\s|$)+/))return"builtin"}return(r=t.match(/^(\S+)(\s+|$)/))?void 0!==e(n.wordList,r[1])?"variable"+n.state:"\\"===r[1]?(t.skipToEnd(),"comment"+n.state):void 0!==e(n.coreWordList,r[1])?"builtin"+n.state:void 0!==e(n.immediateWordList,r[1])?"keyword"+n.state:"("===r[1]?(t.eatWhile((function(e){return")"!==e})),t.eat(")"),"comment"+n.state):".("===r[1]?(t.eatWhile((function(e){return")"!==e})),t.eat(")"),"string"+n.state):'S"'===r[1]||'."'===r[1]||'C"'===r[1]?(t.eatWhile((function(e){return'"'!==e})),t.eat('"'),"string"+n.state):r[1]-68719476735?"number"+n.state:"atom"+n.state:void 0}}})),e.defineMIME("text/x-forth","forth")}(n(23326))},81640:(e,t,n)=>{!function(e){"use strict";e.defineMode("fortran",(function(){function e(e){for(var t={},n=0;n<e.length;++n)t[e[n]]=!0;return t}var t=e(["abstract","accept","allocatable","allocate","array","assign","asynchronous","backspace","bind","block","byte","call","case","class","close","common","contains","continue","cycle","data","deallocate","decode","deferred","dimension","do","elemental","else","encode","end","endif","entry","enumerator","equivalence","exit","external","extrinsic","final","forall","format","function","generic","go","goto","if","implicit","import","include","inquire","intent","interface","intrinsic","module","namelist","non_intrinsic","non_overridable","none","nopass","nullify","open","optional","options","parameter","pass","pause","pointer","print","private","program","protected","public","pure","read","recursive","result","return","rewind","save","select","sequence","stop","subroutine","target","then","to","type","use","value","volatile","where","while","write"]),n=e(["abort","abs","access","achar","acos","adjustl","adjustr","aimag","aint","alarm","all","allocated","alog","amax","amin","amod","and","anint","any","asin","associated","atan","besj","besjn","besy","besyn","bit_size","btest","cabs","ccos","ceiling","cexp","char","chdir","chmod","clog","cmplx","command_argument_count","complex","conjg","cos","cosh","count","cpu_time","cshift","csin","csqrt","ctime","c_funloc","c_loc","c_associated","c_null_ptr","c_null_funptr","c_f_pointer","c_null_char","c_alert","c_backspace","c_form_feed","c_new_line","c_carriage_return","c_horizontal_tab","c_vertical_tab","dabs","dacos","dasin","datan","date_and_time","dbesj","dbesj","dbesjn","dbesy","dbesy","dbesyn","dble","dcos","dcosh","ddim","derf","derfc","dexp","digits","dim","dint","dlog","dlog","dmax","dmin","dmod","dnint","dot_product","dprod","dsign","dsinh","dsin","dsqrt","dtanh","dtan","dtime","eoshift","epsilon","erf","erfc","etime","exit","exp","exponent","extends_type_of","fdate","fget","fgetc","float","floor","flush","fnum","fputc","fput","fraction","fseek","fstat","ftell","gerror","getarg","get_command","get_command_argument","get_environment_variable","getcwd","getenv","getgid","getlog","getpid","getuid","gmtime","hostnm","huge","iabs","iachar","iand","iargc","ibclr","ibits","ibset","ichar","idate","idim","idint","idnint","ieor","ierrno","ifix","imag","imagpart","index","int","ior","irand","isatty","ishft","ishftc","isign","iso_c_binding","is_iostat_end","is_iostat_eor","itime","kill","kind","lbound","len","len_trim","lge","lgt","link","lle","llt","lnblnk","loc","log","logical","long","lshift","lstat","ltime","matmul","max","maxexponent","maxloc","maxval","mclock","merge","move_alloc","min","minexponent","minloc","minval","mod","modulo","mvbits","nearest","new_line","nint","not","or","pack","perror","precision","present","product","radix","rand","random_number","random_seed","range","real","realpart","rename","repeat","reshape","rrspacing","rshift","same_type_as","scale","scan","second","selected_int_kind","selected_real_kind","set_exponent","shape","short","sign","signal","sinh","sin","sleep","sngl","spacing","spread","sqrt","srand","stat","sum","symlnk","system","system_clock","tan","tanh","time","tiny","transfer","transpose","trim","ttynam","ubound","umask","unlink","unpack","verify","xor","zabs","zcos","zexp","zlog","zsin","zsqrt"]),r=e(["c_bool","c_char","c_double","c_double_complex","c_float","c_float_complex","c_funptr","c_int","c_int16_t","c_int32_t","c_int64_t","c_int8_t","c_int_fast16_t","c_int_fast32_t","c_int_fast64_t","c_int_fast8_t","c_int_least16_t","c_int_least32_t","c_int_least64_t","c_int_least8_t","c_intmax_t","c_intptr_t","c_long","c_long_double","c_long_double_complex","c_long_long","c_ptr","c_short","c_signed_char","c_size_t","character","complex","double","integer","logical","real"]),i=/[+\-*&=<>\/\:]/,o=/^\.(and|or|eq|lt|le|gt|ge|ne|not|eqv|neqv)\./i;function a(e,a){if(e.match(o))return"operator";var s,l=e.next();if("!"==l)return e.skipToEnd(),"comment";if('"'==l||"'"==l)return a.tokenize=(s=l,function(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if(n==s&&!r){i=!0;break}r=!r&&"\\"==n}return!i&&r||(t.tokenize=null),"string"}),a.tokenize(e,a);if(/[\[\]\(\),]/.test(l))return null;if(/\d/.test(l))return e.eatWhile(/[\w\.]/),"number";if(i.test(l))return e.eatWhile(i),"operator";e.eatWhile(/[\w\$_]/);var u=e.current().toLowerCase();return t.hasOwnProperty(u)?"keyword":n.hasOwnProperty(u)||r.hasOwnProperty(u)?"builtin":"variable"}return{startState:function(){return{tokenize:null}},token:function(e,t){return e.eatSpace()?null:(t.tokenize||a)(e,t)}}})),e.defineMIME("text/x-fortran","fortran")}(n(23326))},37471:(e,t,n)=>{!function(e){"use strict";e.defineMode("gas",(function(e,t){var n=[],r="",i={".abort":"builtin",".align":"builtin",".altmacro":"builtin",".ascii":"builtin",".asciz":"builtin",".balign":"builtin",".balignw":"builtin",".balignl":"builtin",".bundle_align_mode":"builtin",".bundle_lock":"builtin",".bundle_unlock":"builtin",".byte":"builtin",".cfi_startproc":"builtin",".comm":"builtin",".data":"builtin",".def":"builtin",".desc":"builtin",".dim":"builtin",".double":"builtin",".eject":"builtin",".else":"builtin",".elseif":"builtin",".end":"builtin",".endef":"builtin",".endfunc":"builtin",".endif":"builtin",".equ":"builtin",".equiv":"builtin",".eqv":"builtin",".err":"builtin",".error":"builtin",".exitm":"builtin",".extern":"builtin",".fail":"builtin",".file":"builtin",".fill":"builtin",".float":"builtin",".func":"builtin",".global":"builtin",".gnu_attribute":"builtin",".hidden":"builtin",".hword":"builtin",".ident":"builtin",".if":"builtin",".incbin":"builtin",".include":"builtin",".int":"builtin",".internal":"builtin",".irp":"builtin",".irpc":"builtin",".lcomm":"builtin",".lflags":"builtin",".line":"builtin",".linkonce":"builtin",".list":"builtin",".ln":"builtin",".loc":"builtin",".loc_mark_labels":"builtin",".local":"builtin",".long":"builtin",".macro":"builtin",".mri":"builtin",".noaltmacro":"builtin",".nolist":"builtin",".octa":"builtin",".offset":"builtin",".org":"builtin",".p2align":"builtin",".popsection":"builtin",".previous":"builtin",".print":"builtin",".protected":"builtin",".psize":"builtin",".purgem":"builtin",".pushsection":"builtin",".quad":"builtin",".reloc":"builtin",".rept":"builtin",".sbttl":"builtin",".scl":"builtin",".section":"builtin",".set":"builtin",".short":"builtin",".single":"builtin",".size":"builtin",".skip":"builtin",".sleb128":"builtin",".space":"builtin",".stab":"builtin",".string":"builtin",".struct":"builtin",".subsection":"builtin",".symver":"builtin",".tag":"builtin",".text":"builtin",".title":"builtin",".type":"builtin",".uleb128":"builtin",".val":"builtin",".version":"builtin",".vtable_entry":"builtin",".vtable_inherit":"builtin",".warning":"builtin",".weak":"builtin",".weakref":"builtin",".word":"builtin"},o={};var a=(t.architecture||"x86").toLowerCase();function s(e,t){for(var n,r=!1;null!=(n=e.next());){if("/"===n&&r){t.tokenize=null;break}r="*"===n}return"comment"}return"x86"===a?(r="#",o.al="variable",o.ah="variable",o.ax="variable",o.eax="variable-2",o.rax="variable-3",o.bl="variable",o.bh="variable",o.bx="variable",o.ebx="variable-2",o.rbx="variable-3",o.cl="variable",o.ch="variable",o.cx="variable",o.ecx="variable-2",o.rcx="variable-3",o.dl="variable",o.dh="variable",o.dx="variable",o.edx="variable-2",o.rdx="variable-3",o.si="variable",o.esi="variable-2",o.rsi="variable-3",o.di="variable",o.edi="variable-2",o.rdi="variable-3",o.sp="variable",o.esp="variable-2",o.rsp="variable-3",o.bp="variable",o.ebp="variable-2",o.rbp="variable-3",o.ip="variable",o.eip="variable-2",o.rip="variable-3",o.cs="keyword",o.ds="keyword",o.ss="keyword",o.es="keyword",o.fs="keyword",o.gs="keyword"):"arm"!==a&&"armv6"!==a||(r="@",i.syntax="builtin",o.r0="variable",o.r1="variable",o.r2="variable",o.r3="variable",o.r4="variable",o.r5="variable",o.r6="variable",o.r7="variable",o.r8="variable",o.r9="variable",o.r10="variable",o.r11="variable",o.r12="variable",o.sp="variable-2",o.lr="variable-2",o.pc="variable-2",o.r13=o.sp,o.r14=o.lr,o.r15=o.pc,n.push((function(e,t){if("#"===e)return t.eatWhile(/\w/),"number"}))),{startState:function(){return{tokenize:null}},token:function(e,t){if(t.tokenize)return t.tokenize(e,t);if(e.eatSpace())return null;var a,l,u=e.next();if("/"===u&&e.eat("*"))return t.tokenize=s,s(e,t);if(u===r)return e.skipToEnd(),"comment";if('"'===u)return function(e,t){for(var n,r=!1;null!=(n=e.next());){if(n===t&&!r)return!1;r=!r&&"\\"===n}}(e,'"'),"string";if("."===u)return e.eatWhile(/\w/),l=e.current().toLowerCase(),(a=i[l])||null;if("="===u)return e.eatWhile(/\w/),"tag";if("{"===u)return"bracket";if("}"===u)return"bracket";if(/\d/.test(u))return"0"===u&&e.eat("x")?(e.eatWhile(/[0-9a-fA-F]/),"number"):(e.eatWhile(/\d/),"number");if(/\w/.test(u))return e.eatWhile(/\w/),e.eat(":")?"tag":(l=e.current().toLowerCase(),(a=o[l])||null);for(var c=0;c<n.length;c++)if(a=n[c](u,e,t))return a},lineComment:r,blockCommentStart:"/*",blockCommentEnd:"*/"}}))}(n(23326))},48239:(e,t,n)=>{!function(e){"use strict";var t=/^((?:(?:aaas?|about|acap|adiumxtra|af[ps]|aim|apt|attachment|aw|beshare|bitcoin|bolo|callto|cap|chrome(?:-extension)?|cid|coap|com-eventbrite-attendee|content|crid|cvs|data|dav|dict|dlna-(?:playcontainer|playsingle)|dns|doi|dtn|dvb|ed2k|facetime|feed|file|finger|fish|ftp|geo|gg|git|gizmoproject|go|gopher|gtalk|h323|hcp|https?|iax|icap|icon|im|imap|info|ipn|ipp|irc[6s]?|iris(?:\.beep|\.lwz|\.xpc|\.xpcs)?|itms|jar|javascript|jms|keyparc|lastfm|ldaps?|magnet|mailto|maps|market|message|mid|mms|ms-help|msnim|msrps?|mtqp|mumble|mupdate|mvn|news|nfs|nih?|nntp|notes|oid|opaquelocktoken|palm|paparazzi|platform|pop|pres|proxy|psyc|query|res(?:ource)?|rmi|rsync|rtmp|rtsp|secondlife|service|session|sftp|sgn|shttp|sieve|sips?|skype|sm[bs]|snmp|soap\.beeps?|soldat|spotify|ssh|steam|svn|tag|teamspeak|tel(?:net)?|tftp|things|thismessage|tip|tn3270|tv|udp|unreal|urn|ut2004|vemmi|ventrilo|view-source|webcal|wss?|wtai|wyciwyg|xcon(?:-userid)?|xfire|xmlrpc\.beeps?|xmpp|xri|ymsgr|z39\.50[rs]?):(?:\/{1,3}|[a-z0-9%])|www\d{0,3}[.]|[a-z0-9.\-]+[.][a-z]{2,4}\/)(?:[^\s()<>]|\([^\s()<>]*\))+(?:\([^\s()<>]*\)|[^\s`*!()\[\]{};:'".,<>?«»“”‘’]))/i;e.defineMode("gfm",(function(n,r){var i=0;var o={startState:function(){return{code:!1,codeBlock:!1,ateSpace:!1}},copyState:function(e){return{code:e.code,codeBlock:e.codeBlock,ateSpace:e.ateSpace}},token:function(e,n){if(n.combineTokens=null,n.codeBlock)return e.match(/^```+/)?(n.codeBlock=!1,null):(e.skipToEnd(),null);if(e.sol()&&(n.code=!1),e.sol()&&e.match(/^```+/))return e.skipToEnd(),n.codeBlock=!0,null;if("`"===e.peek()){e.next();var o=e.pos;e.eatWhile("`");var a=1+e.pos-o;return n.code?a===i&&(n.code=!1):(i=a,n.code=!0),null}if(n.code)return e.next(),null;if(e.eatSpace())return n.ateSpace=!0,null;if((e.sol()||n.ateSpace)&&(n.ateSpace=!1,!1!==r.gitHubSpice)){if(e.match(/^(?:[a-zA-Z0-9\-_]+\/)?(?:[a-zA-Z0-9\-_]+@)?(?=.{0,6}\d)(?:[a-f0-9]{7,40}\b)/))return n.combineTokens=!0,"link";if(e.match(/^(?:[a-zA-Z0-9\-_]+\/)?(?:[a-zA-Z0-9\-_]+)?#[0-9]+\b/))return n.combineTokens=!0,"link"}return e.match(t)&&"]("!=e.string.slice(e.start-2,e.start)&&(0==e.start||/\W/.test(e.string.charAt(e.start-1)))?(n.combineTokens=!0,"link"):(e.next(),null)},blankLine:function(e){return e.code=!1,null}},a={taskLists:!0,strikethrough:!0,emoji:!0};for(var s in r)a[s]=r[s];return a.name="markdown",e.overlayMode(e.getMode(n,a),o)}),"markdown"),e.defineMIME("text/x-gfm","gfm")}(n(23326),n(48033),n(19962))},41028:(e,t,n)=>{!function(e){"use strict";e.defineMode("gherkin",(function(){return{startState:function(){return{lineNumber:0,tableHeaderLine:!1,allowFeature:!0,allowBackground:!1,allowScenario:!1,allowSteps:!1,allowPlaceholders:!1,allowMultilineArgument:!1,inMultilineString:!1,inMultilineTable:!1,inKeywordLine:!1}},token:function(e,t){if(e.sol()&&(t.lineNumber++,t.inKeywordLine=!1,t.inMultilineTable&&(t.tableHeaderLine=!1,e.match(/\s*\|/,!1)||(t.allowMultilineArgument=!1,t.inMultilineTable=!1))),e.eatSpace(),t.allowMultilineArgument){if(t.inMultilineString)return e.match('"""')?(t.inMultilineString=!1,t.allowMultilineArgument=!1):e.match(/.*/),"string";if(t.inMultilineTable)return e.match(/\|\s*/)?"bracket":(e.match(/[^\|]*/),t.tableHeaderLine?"header":"string");if(e.match('"""'))return t.inMultilineString=!0,"string";if(e.match("|"))return t.inMultilineTable=!0,t.tableHeaderLine=!0,"bracket"}return e.match(/#.*/)?"comment":!t.inKeywordLine&&e.match(/@\S+/)?"tag":!t.inKeywordLine&&t.allowFeature&&e.match(/(機能|功能|フィーチャ|기능|โครงหลัก|ความสามารถ|ความต้องการทางธุรกิจ|ಹೆಚ್ಚಳ|గుణము|ਮੁਹਾਂਦਰਾ|ਨਕਸ਼ ਨੁਹਾਰ|ਖਾਸੀਅਤ|रूप लेख|وِیژگی|خاصية|תכונה|Функціонал|Функция|Функционалност|Функционал|Үзенчәлеклелек|Свойство|Особина|Мөмкинлек|Могућност|Λειτουργία|Δυνατότητα|Właściwość|Vlastnosť|Trajto|Tính năng|Savybė|Pretty much|Požiadavka|Požadavek|Potrzeba biznesowa|Özellik|Osobina|Ominaisuus|Omadus|OH HAI|Mogućnost|Mogucnost|Jellemző|Hwæt|Hwaet|Funzionalità|Funktionalitéit|Funktionalität|Funkcja|Funkcionalnost|Funkcionalitāte|Funkcia|Fungsi|Functionaliteit|Funcționalitate|Funcţionalitate|Functionalitate|Funcionalitat|Funcionalidade|Fonctionnalité|Fitur|Fīča|Feature|Eiginleiki|Egenskap|Egenskab|Característica|Caracteristica|Business Need|Aspekt|Arwedd|Ahoy matey!|Ability):/)?(t.allowScenario=!0,t.allowBackground=!0,t.allowPlaceholders=!1,t.allowSteps=!1,t.allowMultilineArgument=!1,t.inKeywordLine=!0,"keyword"):!t.inKeywordLine&&t.allowBackground&&e.match(/(背景|배경|แนวคิด|ಹಿನ್ನೆಲೆ|నేపథ్యం|ਪਿਛੋਕੜ|पृष्ठभूमि|زمینه|الخلفية|רקע|Тарих|Предыстория|Предистория|Позадина|Передумова|Основа|Контекст|Кереш|Υπόβαθρο|Założenia|Yo\-ho\-ho|Tausta|Taust|Situācija|Rerefons|Pozadina|Pozadie|Pozadí|Osnova|Latar Belakang|Kontext|Konteksts|Kontekstas|Kontekst|Háttér|Hannergrond|Grundlage|Geçmiş|Fundo|Fono|First off|Dis is what went down|Dasar|Contexto|Contexte|Context|Contesto|Cenário de Fundo|Cenario de Fundo|Cefndir|Bối cảnh|Bakgrunnur|Bakgrunn|Bakgrund|Baggrund|Background|B4|Antecedents|Antecedentes|Ær|Aer|Achtergrond):/)?(t.allowPlaceholders=!1,t.allowSteps=!0,t.allowBackground=!1,t.allowMultilineArgument=!1,t.inKeywordLine=!0,"keyword"):!t.inKeywordLine&&t.allowScenario&&e.match(/(場景大綱|场景大纲|劇本大綱|剧本大纲|テンプレ|シナリオテンプレート|シナリオテンプレ|シナリオアウトライン|시나리오 개요|สรุปเหตุการณ์|โครงสร้างของเหตุการณ์|ವಿವರಣೆ|కథనం|ਪਟਕਥਾ ਰੂਪ ਰੇਖਾ|ਪਟਕਥਾ ਢਾਂਚਾ|परिदृश्य रूपरेखा|سيناريو مخطط|الگوی سناریو|תבנית תרחיש|Сценарийның төзелеше|Сценарий структураси|Структура сценарію|Структура сценария|Структура сценарија|Скица|Рамка на сценарий|Концепт|Περιγραφή Σεναρίου|Wharrimean is|Template Situai|Template Senario|Template Keadaan|Tapausaihio|Szenariogrundriss|Szablon scenariusza|Swa hwær swa|Swa hwaer swa|Struktura scenarija|Structură scenariu|Structura scenariu|Skica|Skenario konsep|Shiver me timbers|Senaryo taslağı|Schema dello scenario|Scenariomall|Scenariomal|Scenario Template|Scenario Outline|Scenario Amlinellol|Scenārijs pēc parauga|Scenarijaus šablonas|Reckon it's like|Raamstsenaarium|Plang vum Szenario|Plan du Scénario|Plan du scénario|Osnova scénáře|Osnova Scenára|Náčrt Scenáru|Náčrt Scénáře|Náčrt Scenára|MISHUN SRSLY|Menggariskan Senario|Lýsing Dæma|Lýsing Atburðarásar|Konturo de la scenaro|Koncept|Khung tình huống|Khung kịch bản|Forgatókönyv vázlat|Esquema do Cenário|Esquema do Cenario|Esquema del escenario|Esquema de l'escenari|Esbozo do escenario|Delineação do Cenário|Delineacao do Cenario|All y'all|Abstrakt Scenario|Abstract Scenario):/)?(t.allowPlaceholders=!0,t.allowSteps=!0,t.allowMultilineArgument=!1,t.inKeywordLine=!0,"keyword"):t.allowScenario&&e.match(/(例子|例|サンプル|예|ชุดของเหตุการณ์|ชุดของตัวอย่าง|ಉದಾಹರಣೆಗಳು|ఉదాహరణలు|ਉਦਾਹਰਨਾਂ|उदाहरण|نمونه ها|امثلة|דוגמאות|Үрнәкләр|Сценарији|Примеры|Примери|Приклади|Мисоллар|Мисаллар|Σενάρια|Παραδείγματα|You'll wanna|Voorbeelden|Variantai|Tapaukset|Se þe|Se the|Se ðe|Scenarios|Scenariji|Scenarijai|Przykłady|Primjeri|Primeri|Příklady|Príklady|Piemēri|Példák|Pavyzdžiai|Paraugs|Örnekler|Juhtumid|Exemplos|Exemples|Exemple|Exempel|EXAMPLZ|Examples|Esempi|Enghreifftiau|Ekzemploj|Eksempler|Ejemplos|Dữ liệu|Dead men tell no tales|Dæmi|Contoh|Cenários|Cenarios|Beispiller|Beispiele|Atburðarásir):/)?(t.allowPlaceholders=!1,t.allowSteps=!0,t.allowBackground=!1,t.allowMultilineArgument=!0,"keyword"):!t.inKeywordLine&&t.allowScenario&&e.match(/(場景|场景|劇本|剧本|シナリオ|시나리오|เหตุการณ์|ಕಥಾಸಾರಾಂಶ|సన్నివేశం|ਪਟਕਥਾ|परिदृश्य|سيناريو|سناریو|תרחיש|Сценарій|Сценарио|Сценарий|Пример|Σενάριο|Tình huống|The thing of it is|Tapaus|Szenario|Swa|Stsenaarium|Skenario|Situai|Senaryo|Senario|Scenaro|Scenariusz|Scenariu|Scénario|Scenario|Scenarijus|Scenārijs|Scenarij|Scenarie|Scénář|Scenár|Primer|MISHUN|Kịch bản|Keadaan|Heave to|Forgatókönyv|Escenario|Escenari|Cenário|Cenario|Awww, look mate|Atburðarás):/)?(t.allowPlaceholders=!1,t.allowSteps=!0,t.allowBackground=!1,t.allowMultilineArgument=!1,t.inKeywordLine=!0,"keyword"):!t.inKeywordLine&&t.allowSteps&&e.match(/(那麼|那么|而且|當|当|并且|同時|同时|前提|假设|假設|假定|假如|但是|但し|並且|もし|ならば|ただし|しかし|かつ|하지만|조건|먼저|만일|만약|단|그리고|그러면|และ |เมื่อ |แต่ |ดังนั้น |กำหนดให้ |ಸ್ಥಿತಿಯನ್ನು |ಮತ್ತು |ನೀಡಿದ |ನಂತರ |ಆದರೆ |మరియు |చెప్పబడినది |కాని |ఈ పరిస్థితిలో |అప్పుడు |ਪਰ |ਤਦ |ਜੇਕਰ |ਜਿਵੇਂ ਕਿ |ਜਦੋਂ |ਅਤੇ |यदि |परन्तु |पर |तब |तदा |तथा |जब |चूंकि |किन्तु |कदा |और |अगर |و |هنگامی |متى |لكن |عندما |ثم |بفرض |با فرض |اما |اذاً |آنگاه |כאשר |וגם |בהינתן |אזי |אז |אבל |Якщо |Һәм |Унда |Тоді |Тогда |То |Также |Та |Пусть |Припустимо, що |Припустимо |Онда |Но |Нехай |Нәтиҗәдә |Лекин |Ләкин |Коли |Когда |Когато |Када |Кад |К тому же |І |И |Задато |Задати |Задате |Если |Допустим |Дано |Дадено |Вә |Ва |Бирок |Әмма |Әйтик |Әгәр |Аммо |Али |Але |Агар |А також |А |Τότε |Όταν |Και |Δεδομένου |Αλλά |Þurh |Þegar |Þa þe |Þá |Þa |Zatati |Zakładając |Zadato |Zadate |Zadano |Zadani |Zadan |Za předpokladu |Za predpokladu |Youse know when youse got |Youse know like when |Yna |Yeah nah |Y'know |Y |Wun |Wtedy |When y'all |When |Wenn |WEN |wann |Ve |Và |Und |Un |ugeholl |Too right |Thurh |Thì |Then y'all |Then |Tha the |Tha |Tetapi |Tapi |Tak |Tada |Tad |Stel |Soit |Siis |Și |Şi |Si |Sed |Se |Så |Quando |Quand |Quan |Pryd |Potom |Pokud |Pokiaľ |Però |Pero |Pak |Oraz |Onda |Ond |Oletetaan |Og |Och |O zaman |Niin |Nhưng |När |Når |Mutta |Men |Mas |Maka |Majd |Mając |Mais |Maar |mä |Ma |Lorsque |Lorsqu'|Logo |Let go and haul |Kun |Kuid |Kui |Kiedy |Khi |Ketika |Kemudian |Keď |Když |Kaj |Kai |Kada |Kad |Jeżeli |Jeśli |Ja |It's just unbelievable |Ir |I CAN HAZ |I |Ha |Givun |Givet |Given y'all |Given |Gitt |Gegeven |Gegeben seien |Gegeben sei |Gdy |Gangway! |Fakat |Étant donnés |Etant donnés |Étant données |Etant données |Étant donnée |Etant donnée |Étant donné |Etant donné |Et |És |Entonces |Entón |Então |Entao |En |Eğer ki |Ef |Eeldades |E |Ðurh |Duota |Dun |Donitaĵo |Donat |Donada |Do |Diyelim ki |Diberi |Dengan |Den youse gotta |DEN |De |Dato |Dați fiind |Daţi fiind |Dati fiind |Dati |Date fiind |Date |Data |Dat fiind |Dar |Dann |dann |Dan |Dados |Dado |Dadas |Dada |Ða ðe |Ða |Cuando |Cho |Cando |Când |Cand |Cal |But y'all |But at the end of the day I reckon |BUT |But |Buh |Blimey! |Biết |Bet |Bagi |Aye |awer |Avast! |Atunci |Atesa |Atès |Apabila |Anrhegedig a |Angenommen |And y'all |And |AN |An |an |Amikor |Amennyiben |Ama |Als |Alors |Allora |Ali |Aleshores |Ale |Akkor |Ak |Adott |Ac |Aber |A zároveň |A tiež |A taktiež |A také |A |a |7 |\* )/)?(t.inStep=!0,t.allowPlaceholders=!0,t.allowMultilineArgument=!0,t.inKeywordLine=!0,"keyword"):e.match(/"[^"]*"?/)?"string":t.allowPlaceholders&&e.match(/<[^>]*>?/)?"variable":(e.next(),e.eatWhile(/[^@"<#]/),null)}}})),e.defineMIME("text/x-feature","gherkin")}(n(23326))},68459:(e,t,n)=>{!function(e){"use strict";e.defineMode("go",(function(t){var n,r=t.indentUnit,i={break:!0,case:!0,chan:!0,const:!0,continue:!0,default:!0,defer:!0,else:!0,fallthrough:!0,for:!0,func:!0,go:!0,goto:!0,if:!0,import:!0,interface:!0,map:!0,package:!0,range:!0,return:!0,select:!0,struct:!0,switch:!0,type:!0,var:!0,bool:!0,byte:!0,complex64:!0,complex128:!0,float32:!0,float64:!0,int8:!0,int16:!0,int32:!0,int64:!0,string:!0,uint8:!0,uint16:!0,uint32:!0,uint64:!0,int:!0,uint:!0,uintptr:!0,error:!0,rune:!0,any:!0,comparable:!0},o={true:!0,false:!0,iota:!0,nil:!0,append:!0,cap:!0,close:!0,complex:!0,copy:!0,delete:!0,imag:!0,len:!0,make:!0,new:!0,panic:!0,print:!0,println:!0,real:!0,recover:!0},a=/[+\-*&^%:=<>!|\/]/;function s(e,t){var r,u=e.next();if('"'==u||"'"==u||"`"==u)return t.tokenize=(r=u,function(e,t){for(var n,i=!1,o=!1;null!=(n=e.next());){if(n==r&&!i){o=!0;break}i=!i&&"`"!=r&&"\\"==n}return(o||!i&&"`"!=r)&&(t.tokenize=s),"string"}),t.tokenize(e,t);if(/[\d\.]/.test(u))return"."==u?e.match(/^[0-9]+([eE][\-+]?[0-9]+)?/):"0"==u?e.match(/^[xX][0-9a-fA-F]+/)||e.match(/^0[0-7]+/):e.match(/^[0-9]*\.?[0-9]*([eE][\-+]?[0-9]+)?/),"number";if(/[\[\]{}\(\),;\:\.]/.test(u))return n=u,null;if("/"==u){if(e.eat("*"))return t.tokenize=l,l(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}if(a.test(u))return e.eatWhile(a),"operator";e.eatWhile(/[\w\$_\xa1-\uffff]/);var c=e.current();return i.propertyIsEnumerable(c)?("case"!=c&&"default"!=c||(n="case"),"keyword"):o.propertyIsEnumerable(c)?"atom":"variable"}function l(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=s;break}r="*"==n}return"comment"}function u(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function c(e,t,n){return e.context=new u(e.indented,t,n,null,e.context)}return{startState:function(e){return{tokenize:null,context:new u((e||0)-r,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var r=t.context;if(e.sol()&&(null==r.align&&(r.align=!1),t.indented=e.indentation(),t.startOfLine=!0,"case"==r.type&&(r.type="}")),e.eatSpace())return null;n=null;var i=(t.tokenize||s)(e,t);return"comment"==i||(null==r.align&&(r.align=!0),"{"==n?c(t,e.column(),"}"):"["==n?c(t,e.column(),"]"):"("==n?c(t,e.column(),")"):"case"==n?r.type="case":("}"==n&&"}"==r.type||n==r.type)&&function(e){if(e.context.prev){var t=e.context.type;")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}}(t),t.startOfLine=!1),i},indent:function(t,n){if(t.tokenize!=s&&null!=t.tokenize)return e.Pass;var i=t.context,o=n&&n.charAt(0);if("case"==i.type&&/^(?:case|default)\b/.test(n))return t.context.type="}",i.indented;var a=o==i.type;return i.align?i.column+(a?0:1):i.indented+(a?0:r)},electricChars:"{}):",closeBrackets:"()[]{}''\"\"``",fold:"brace",blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//"}})),e.defineMIME("text/x-go","go")}(n(23326))},36275:(e,t,n)=>{!function(e){"use strict";e.defineMode("groovy",(function(t){function n(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var r,i=n("abstract as assert boolean break byte case catch char class const continue def default do double else enum extends final finally float for goto if implements import in instanceof int interface long native new package private protected public return short static strictfp super switch synchronized threadsafe throw throws trait transient try void volatile while"),o=n("catch class def do else enum finally for if interface switch trait try while"),a=n("return break continue"),s=n("null true false this");function l(e,t){var n=e.next();if('"'==n||"'"==n)return u(n,e,t);if(/[\[\]{}\(\),;\:\.]/.test(n))return r=n,null;if(/\d/.test(n))return e.eatWhile(/[\w\.]/),e.eat(/eE/)&&(e.eat(/\+\-/),e.eatWhile(/\d/)),"number";if("/"==n){if(e.eat("*"))return t.tokenize.push(p),p(e,t);if(e.eat("/"))return e.skipToEnd(),"comment";if(f(t.lastToken,!1))return u(n,e,t)}if("-"==n&&e.eat(">"))return r="->",null;if(/[+\-*&%=<>!?|\/~]/.test(n))return e.eatWhile(/[+\-*&%=<>|~]/),"operator";if(e.eatWhile(/[\w\$_]/),"@"==n)return e.eatWhile(/[\w\$_\.]/),"meta";if("."==t.lastToken)return"property";if(e.eat(":"))return r="proplabel","property";var l=e.current();return s.propertyIsEnumerable(l)?"atom":i.propertyIsEnumerable(l)?(o.propertyIsEnumerable(l)?r="newstatement":a.propertyIsEnumerable(l)&&(r="standalone"),"keyword"):"variable"}function u(e,t,n){var r=!1;if("/"!=e&&t.eat(e)){if(!t.eat(e))return"string";r=!0}function i(t,n){for(var i,o=!1,a=!r;null!=(i=t.next());){if(i==e&&!o){if(!r)break;if(t.match(e+e)){a=!0;break}}if('"'==e&&"$"==i&&!o){if(t.eat("{"))return n.tokenize.push(c()),"string";if(t.match(/^\w/,!1))return n.tokenize.push(d),"string"}o=!o&&"\\"==i}return a&&n.tokenize.pop(),"string"}return n.tokenize.push(i),i(t,n)}function c(){var e=1;function t(t,n){if("}"==t.peek()){if(0==--e)return n.tokenize.pop(),n.tokenize[n.tokenize.length-1](t,n)}else"{"==t.peek()&&e++;return l(t,n)}return t.isBase=!0,t}function d(e,t){var n=e.match(/^(\.|[\w\$_]+)/);return n?"."==n[0]?null:"variable":(t.tokenize.pop(),t.tokenize[t.tokenize.length-1](e,t))}function p(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize.pop();break}r="*"==n}return"comment"}function f(e,t){return!e||"operator"==e||"->"==e||/[\.\[\{\(,;:]/.test(e)||"newstatement"==e||"keyword"==e||"proplabel"==e||"standalone"==e&&!t}function h(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function m(e,t,n){return e.context=new h(e.indented,t,n,null,e.context)}function g(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return l.isBase=!0,{startState:function(e){return{tokenize:[l],context:new h((e||0)-t.indentUnit,0,"top",!1),indented:0,startOfLine:!0,lastToken:null}},token:function(e,t){var n=t.context;if(e.sol()&&(null==n.align&&(n.align=!1),t.indented=e.indentation(),t.startOfLine=!0,"statement"!=n.type||f(t.lastToken,!0)||(g(t),n=t.context)),e.eatSpace())return null;r=null;var i=t.tokenize[t.tokenize.length-1](e,t);if("comment"==i)return i;if(null==n.align&&(n.align=!0),";"!=r&&":"!=r||"statement"!=n.type)if("->"==r&&"statement"==n.type&&"}"==n.prev.type)g(t),t.context.align=!1;else if("{"==r)m(t,e.column(),"}");else if("["==r)m(t,e.column(),"]");else if("("==r)m(t,e.column(),")");else if("}"==r){for(;"statement"==n.type;)n=g(t);for("}"==n.type&&(n=g(t));"statement"==n.type;)n=g(t)}else r==n.type?g(t):("}"==n.type||"top"==n.type||"statement"==n.type&&"newstatement"==r)&&m(t,e.column(),"statement");else g(t);return t.startOfLine=!1,t.lastToken=r||i,i},indent:function(n,r){if(!n.tokenize[n.tokenize.length-1].isBase)return e.Pass;var i=r&&r.charAt(0),o=n.context;"statement"!=o.type||f(n.lastToken,!0)||(o=o.prev);var a=i==o.type;return"statement"==o.type?o.indented+("{"==i?0:t.indentUnit):o.align?o.column+(a?0:1):o.indented+(a?0:t.indentUnit)},electricChars:"{}",closeBrackets:{triples:"'\""},fold:"brace",blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//"}})),e.defineMIME("text/x-groovy","groovy")}(n(23326))},88099:(e,t,n)=>{!function(e){"use strict";e.defineMode("haml",(function(t){var n=e.getMode(t,{name:"htmlmixed"}),r=e.getMode(t,"ruby");function i(e){return function(t,n){return t.peek()==e&&1==n.rubyState.tokenize.length?(t.next(),n.tokenize=a,"closeAttributeTag"):o(t,n)}}function o(e,t){return e.match("-#")?(e.skipToEnd(),"comment"):r.token(e,t.rubyState)}function a(e,t){var r=e.peek();if("comment"==t.previousToken.style&&t.indented>t.previousToken.indented)return e.skipToEnd(),"commentLine";if(t.startOfLine){if("!"==r&&e.match("!!"))return e.skipToEnd(),"tag";if(e.match(/^%[\w:#\.]+=/))return t.tokenize=o,"hamlTag";if(e.match(/^%[\w:]+/))return"hamlTag";if("/"==r)return e.skipToEnd(),"comment"}if((t.startOfLine||"hamlTag"==t.previousToken.style)&&("#"==r||"."==r))return e.match(/[\w-#\.]*/),"hamlAttribute";if(t.startOfLine&&!e.match("--\x3e",!1)&&("="==r||"-"==r))return t.tokenize=o,t.tokenize(e,t);if("hamlTag"==t.previousToken.style||"closeAttributeTag"==t.previousToken.style||"hamlAttribute"==t.previousToken.style){if("("==r)return t.tokenize=i(")"),t.tokenize(e,t);if("{"==r&&!e.match(/^\{%.*/))return t.tokenize=i("}"),t.tokenize(e,t)}return n.token(e,t.htmlState)}return{startState:function(){return{htmlState:e.startState(n),rubyState:e.startState(r),indented:0,previousToken:{style:null,indented:0},tokenize:a}},copyState:function(t){return{htmlState:e.copyState(n,t.htmlState),rubyState:e.copyState(r,t.rubyState),indented:t.indented,previousToken:t.previousToken,tokenize:t.tokenize}},token:function(e,t){if(e.sol()&&(t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;var n=t.tokenize(e,t);if(t.startOfLine=!1,n&&"commentLine"!=n&&(t.previousToken={style:n,indented:t.indented}),e.eol()&&t.tokenize==o){e.backUp(1);var r=e.peek();e.next(),r&&","!=r&&(t.tokenize=a)}return"hamlTag"==n?n="tag":"commentLine"==n?n="comment":"hamlAttribute"==n?n="attribute":"closeAttributeTag"==n&&(n=null),n}}}),"htmlmixed","ruby"),e.defineMIME("text/x-haml","haml")}(n(23326),n(38425),n(53019))},16791:(e,t,n)=>{!function(e){"use strict";e.defineSimpleMode("handlebars-tags",{start:[{regex:/\{\{\{/,push:"handlebars_raw",token:"tag"},{regex:/\{\{!--/,push:"dash_comment",token:"comment"},{regex:/\{\{!/,push:"comment",token:"comment"},{regex:/\{\{/,push:"handlebars",token:"tag"}],handlebars_raw:[{regex:/\}\}\}/,pop:!0,token:"tag"}],handlebars:[{regex:/\}\}/,pop:!0,token:"tag"},{regex:/"(?:[^\\"]|\\.)*"?/,token:"string"},{regex:/'(?:[^\\']|\\.)*'?/,token:"string"},{regex:/>|[#\/]([A-Za-z_]\w*)/,token:"keyword"},{regex:/(?:else|this)\b/,token:"keyword"},{regex:/\d+/i,token:"number"},{regex:/=|~|@|true|false/,token:"atom"},{regex:/(?:\.\.\/)*(?:[A-Za-z_][\w\.]*)+/,token:"variable-2"}],dash_comment:[{regex:/--\}\}/,pop:!0,token:"comment"},{regex:/./,token:"comment"}],comment:[{regex:/\}\}/,pop:!0,token:"comment"},{regex:/./,token:"comment"}],meta:{blockCommentStart:"{{--",blockCommentEnd:"--}}"}}),e.defineMode("handlebars",(function(t,n){var r=e.getMode(t,"handlebars-tags");return n&&n.base?e.multiplexingMode(e.getMode(t,n.base),{open:"{{",close:/\}\}\}?/,mode:r,parseDelimiters:!0}):r})),e.defineMIME("text/x-handlebars-template","handlebars")}(n(23326),n(81094),n(15718))},93476:(e,t,n)=>{!function(e){"use strict";e.defineMode("haskell-literate",(function(t,n){var r=e.getMode(t,n&&n.base||"haskell");return{startState:function(){return{inCode:!1,baseState:e.startState(r)}},token:function(e,t){return e.sol()&&(t.inCode=e.eat(">"))?"meta":t.inCode?r.token(e,t.baseState):(e.skipToEnd(),"comment")},innerMode:function(e){return e.inCode?{state:e.baseState,mode:r}:null}}}),"haskell"),e.defineMIME("text/x-literate-haskell","haskell-literate")}(n(23326),n(88216))},88216:(e,t,n)=>{!function(e){"use strict";e.defineMode("haskell",(function(e,t){function n(e,t,n){return t(n),n(e,t)}var r=/[a-z_]/,i=/[A-Z]/,o=/\d/,a=/[0-9A-Fa-f]/,s=/[0-7]/,l=/[a-z_A-Z0-9'\xa1-\uffff]/,u=/[-!#$%&*+.\/<=>?@\\^|~:]/,c=/[(),;[\]`{}]/,d=/[ \t\v\f]/;function p(e,t){if(e.eatWhile(d))return null;var p=e.next();if(c.test(p)){if("{"==p&&e.eat("-")){var m="comment";return e.eat("#")&&(m="meta"),n(e,t,f(m,1))}return null}if("'"==p)return e.eat("\\"),e.next(),e.eat("'")?"string":"string error";if('"'==p)return n(e,t,h);if(i.test(p))return e.eatWhile(l),e.eat(".")?"qualifier":"variable-2";if(r.test(p))return e.eatWhile(l),"variable";if(o.test(p)){if("0"==p){if(e.eat(/[xX]/))return e.eatWhile(a),"integer";if(e.eat(/[oO]/))return e.eatWhile(s),"number"}return e.eatWhile(o),m="number",e.match(/^\.\d+/)&&(m="number"),e.eat(/[eE]/)&&(m="number",e.eat(/[-+]/),e.eatWhile(o)),m}return"."==p&&e.eat(".")?"keyword":u.test(p)?"-"==p&&e.eat(/-/)&&(e.eatWhile(/-/),!e.eat(u))?(e.skipToEnd(),"comment"):(m="variable",":"==p&&(m="variable-2"),e.eatWhile(u),m):"error"}function f(e,t){return 0==t?p:function(n,r){for(var i=t;!n.eol();){var o=n.next();if("{"==o&&n.eat("-"))++i;else if("-"==o&&n.eat("}")&&0==--i)return r(p),e}return r(f(e,i)),e}}function h(e,t){for(;!e.eol();){var n=e.next();if('"'==n)return t(p),"string";if("\\"==n){if(e.eol()||e.eat(d))return t(m),"string";e.eat("&")||e.next()}}return t(p),"string error"}function m(e,t){return e.eat("\\")?n(e,t,h):(e.next(),t(p),"error")}var g=function(){var e={};function n(t){return function(){for(var n=0;n<arguments.length;n++)e[arguments[n]]=t}}n("keyword")("case","class","data","default","deriving","do","else","foreign","if","import","in","infix","infixl","infixr","instance","let","module","newtype","of","then","type","where","_"),n("keyword")("..",":","::","=","\\","<-","->","@","~","=>"),n("builtin")("!!","$!","$","&&","+","++","-",".","/","/=","<","<*","<=","<$>","<*>","=<<","==",">",">=",">>",">>=","^","^^","||","*","*>","**"),n("builtin")("Applicative","Bool","Bounded","Char","Double","EQ","Either","Enum","Eq","False","FilePath","Float","Floating","Fractional","Functor","GT","IO","IOError","Int","Integer","Integral","Just","LT","Left","Maybe","Monad","Nothing","Num","Ord","Ordering","Rational","Read","ReadS","Real","RealFloat","RealFrac","Right","Show","ShowS","String","True"),n("builtin")("abs","acos","acosh","all","and","any","appendFile","asTypeOf","asin","asinh","atan","atan2","atanh","break","catch","ceiling","compare","concat","concatMap","const","cos","cosh","curry","cycle","decodeFloat","div","divMod","drop","dropWhile","either","elem","encodeFloat","enumFrom","enumFromThen","enumFromThenTo","enumFromTo","error","even","exp","exponent","fail","filter","flip","floatDigits","floatRadix","floatRange","floor","fmap","foldl","foldl1","foldr","foldr1","fromEnum","fromInteger","fromIntegral","fromRational","fst","gcd","getChar","getContents","getLine","head","id","init","interact","ioError","isDenormalized","isIEEE","isInfinite","isNaN","isNegativeZero","iterate","last","lcm","length","lex","lines","log","logBase","lookup","map","mapM","mapM_","max","maxBound","maximum","maybe","min","minBound","minimum","mod","negate","not","notElem","null","odd","or","otherwise","pi","pred","print","product","properFraction","pure","putChar","putStr","putStrLn","quot","quotRem","read","readFile","readIO","readList","readLn","readParen","reads","readsPrec","realToFrac","recip","rem","repeat","replicate","return","reverse","round","scaleFloat","scanl","scanl1","scanr","scanr1","seq","sequence","sequence_","show","showChar","showList","showParen","showString","shows","showsPrec","significand","signum","sin","sinh","snd","span","splitAt","sqrt","subtract","succ","sum","tail","take","takeWhile","tan","tanh","toEnum","toInteger","toRational","truncate","uncurry","undefined","unlines","until","unwords","unzip","unzip3","userError","words","writeFile","zip","zip3","zipWith","zipWith3");var r=t.overrideKeywords;if(r)for(var i in r)r.hasOwnProperty(i)&&(e[i]=r[i]);return e}();return{startState:function(){return{f:p}},copyState:function(e){return{f:e.f}},token:function(e,t){var n=t.f(e,(function(e){t.f=e})),r=e.current();return g.hasOwnProperty(r)?g[r]:n},blockCommentStart:"{-",blockCommentEnd:"-}",lineComment:"--"}})),e.defineMIME("text/x-haskell","haskell")}(n(23326))},45930:(e,t,n)=>{!function(e){"use strict";e.defineMode("haxe",(function(e,t){var n=e.indentUnit;function r(e){return{type:e,style:"keyword"}}var i,o=r("keyword a"),a=r("keyword b"),s=r("keyword c"),l=r("operator"),u={type:"atom",style:"atom"},c={type:"attribute",style:"attribute"},d=r("typedef"),p={if:o,while:o,else:a,do:a,try:a,return:s,break:s,continue:s,new:s,throw:s,var:r("var"),inline:c,static:c,using:r("import"),public:c,private:c,cast:r("cast"),import:r("import"),macro:r("macro"),function:r("function"),catch:r("catch"),untyped:r("untyped"),callback:r("cb"),for:r("for"),switch:r("switch"),case:r("case"),default:r("default"),in:l,never:r("property_access"),trace:r("trace"),class:d,abstract:d,enum:d,interface:d,typedef:d,extends:d,implements:d,dynamic:d,true:u,false:u,null:u},f=/[+\-*&%=<>!?|]/;function h(e,t,n){return t.tokenize=n,n(e,t)}function m(e,t){for(var n,r=!1;null!=(n=e.next());){if(n==t&&!r)return!0;r=!r&&"\\"==n}}function g(e,t,n){return d=e,i=n,t}function v(e,t){var n,r=e.next();if('"'==r||"'"==r)return h(e,t,(n=r,function(e,t){return m(e,n)&&(t.tokenize=v),g("string","string")}));if(/[\[\]{}\(\),;\:\.]/.test(r))return g(r);if("0"==r&&e.eat(/x/i))return e.eatWhile(/[\da-f]/i),g("number","number");if(/\d/.test(r)||"-"==r&&e.eat(/\d/))return e.match(/^\d*(?:\.\d*(?!\.))?(?:[eE][+\-]?\d+)?/),g("number","number");if(t.reAllowed&&"~"==r&&e.eat(/\//))return m(e,"/"),e.eatWhile(/[gimsu]/),g("regexp","string-2");if("/"==r)return e.eat("*")?h(e,t,y):e.eat("/")?(e.skipToEnd(),g("comment","comment")):(e.eatWhile(f),g("operator",null,e.current()));if("#"==r)return e.skipToEnd(),g("conditional","meta");if("@"==r)return e.eat(/:/),e.eatWhile(/[\w_]/),g("metadata","meta");if(f.test(r))return e.eatWhile(f),g("operator",null,e.current());if(/[A-Z]/.test(r))return e.eatWhile(/[\w_<>]/),g("type","variable-3",i=e.current());e.eatWhile(/[\w_]/);var i=e.current(),o=p.propertyIsEnumerable(i)&&p[i];return o&&t.kwAllowed?g(o.type,o.style,i):g("variable","variable",i)}function y(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=v;break}r="*"==n}return g("comment","comment")}var b={atom:!0,number:!0,variable:!0,string:!0,regexp:!0};function w(e,t,n,r,i,o){this.indented=e,this.column=t,this.type=n,this.prev=i,this.info=o,null!=r&&(this.align=r)}function _(e,t){for(var n=e.localVars;n;n=n.next)if(n.name==t)return!0}function x(e,t){if(/[a-z]/.test(t.charAt(0)))return!1;for(var n=e.importedtypes.length,r=0;r<n;r++)if(e.importedtypes[r]==t)return!0}function k(e){for(var t=E.state,n=t.importedtypes;n;n=n.next)if(n.name==e)return;t.importedtypes={name:e,next:t.importedtypes}}var E={state:null,column:null,marked:null,cc:null};function C(){for(var e=arguments.length-1;e>=0;e--)E.cc.push(arguments[e])}function S(){return C.apply(null,arguments),!0}function A(e,t){for(var n=t;n;n=n.next)if(n.name==e)return!0;return!1}function T(e){var t=E.state;if(t.context){if(E.marked="def",A(e,t.localVars))return;t.localVars={name:e,next:t.localVars}}else if(t.globalVars){if(A(e,t.globalVars))return;t.globalVars={name:e,next:t.globalVars}}}var O={name:"this",next:null};function P(){E.state.context||(E.state.localVars=O),E.state.context={prev:E.state.context,vars:E.state.localVars}}function D(){E.state.localVars=E.state.context.vars,E.state.context=E.state.context.prev}function R(e,t){var n=function(){var n=E.state;n.lexical=new w(n.indented,E.stream.column(),e,null,n.lexical,t)};return n.lex=!0,n}function I(){var e=E.state;e.lexical.prev&&(")"==e.lexical.type&&(e.indented=e.lexical.indented),e.lexical=e.lexical.prev)}function M(e){return function t(n){return n==e?S():";"==e?C():S(t)}}function L(e){return"@"==e?S(z):"var"==e?S(R("vardef"),Y,M(";"),I):"keyword a"==e?S(R("form"),N,L,I):"keyword b"==e?S(R("form"),L,I):"{"==e?S(R("}"),P,K,I,D):";"==e?S():"attribute"==e?S(B):"function"==e?S(J):"for"==e?S(R("form"),M("("),R(")"),X,M(")"),I,L,I):"variable"==e?S(R("stat"),W):"switch"==e?S(R("form"),N,R("}","switch"),M("{"),K,I,I):"case"==e?S(N,M(":")):"default"==e?S(M(":")):"catch"==e?S(R("form"),P,M("("),re,M(")"),L,I,D):"import"==e?S(q,M(";")):"typedef"==e?S($):C(R("stat"),N,M(";"),I)}function N(e){return b.hasOwnProperty(e)||"type"==e?S(F):"function"==e?S(J):"keyword c"==e?S(j):"("==e?S(R(")"),j,M(")"),I,F):"operator"==e?S(N):"["==e?S(R("]"),G(j,"]"),I,F):"{"==e?S(R("}"),G(V,"}"),I,F):S()}function j(e){return e.match(/[;\}\)\],]/)?C():C(N)}function F(e,t){return"operator"==e&&/\+\+|--/.test(t)?S(F):"operator"==e||":"==e?S(N):";"!=e?"("==e?S(R(")"),G(N,")"),I,F):"."==e?S(H,F):"["==e?S(R("]"),N,M("]"),I,F):void 0:void 0}function B(e){return"attribute"==e?S(B):"function"==e?S(J):"var"==e?S(Y):void 0}function z(e){return":"==e||"variable"==e?S(z):"("==e?S(R(")"),G(U,")"),I,L):void 0}function U(e){if("variable"==e)return S()}function q(e,t){return"variable"==e&&/[A-Z]/.test(t.charAt(0))?(k(t),S()):"variable"==e||"property"==e||"."==e||"*"==t?S(q):void 0}function $(e,t){return"variable"==e&&/[A-Z]/.test(t.charAt(0))?(k(t),S()):"type"==e&&/[A-Z]/.test(t.charAt(0))?S():void 0}function W(e){return":"==e?S(I,L):C(F,M(";"),I)}function H(e){if("variable"==e)return E.marked="property",S()}function V(e){if("variable"==e&&(E.marked="property"),b.hasOwnProperty(e))return S(M(":"),N)}function G(e,t){function n(r){return","==r?S(e,n):r==t?S():S(M(t))}return function(r){return r==t?S():C(e,n)}}function K(e){return"}"==e?S():C(L,K)}function Y(e,t){return"variable"==e?(T(t),S(ee,Z)):S()}function Z(e,t){return"="==t?S(N,Z):","==e?S(Y):void 0}function X(e,t){return"variable"==e?(T(t),S(Q,N)):C()}function Q(e,t){if("in"==t)return S()}function J(e,t){return"variable"==e||"type"==e?(T(t),S(J)):"new"==t?S(J):"("==e?S(R(")"),P,G(re,")"),I,ee,L,D):void 0}function ee(e){if(":"==e)return S(te)}function te(e){return"type"==e||"variable"==e?S():"{"==e?S(R("}"),G(ne,"}"),I):void 0}function ne(e){if("variable"==e)return S(ee)}function re(e,t){if("variable"==e)return T(t),S(ee)}return D.lex=!0,I.lex=!0,{startState:function(e){var r={tokenize:v,reAllowed:!0,kwAllowed:!0,cc:[],lexical:new w((e||0)-n,0,"block",!1),localVars:t.localVars,importedtypes:["Int","Float","String","Void","Std","Bool","Dynamic","Array"],context:t.localVars&&{vars:t.localVars},indented:0};return t.globalVars&&"object"==typeof t.globalVars&&(r.globalVars=t.globalVars),r},token:function(e,t){if(e.sol()&&(t.lexical.hasOwnProperty("align")||(t.lexical.align=!1),t.indented=e.indentation()),e.eatSpace())return null;var n=t.tokenize(e,t);return"comment"==d?n:(t.reAllowed=!("operator"!=d&&"keyword c"!=d&&!d.match(/^[\[{}\(,;:]$/)),t.kwAllowed="."!=d,function(e,t,n,r,i){var o=e.cc;for(E.state=e,E.stream=i,E.marked=null,E.cc=o,e.lexical.hasOwnProperty("align")||(e.lexical.align=!0);;)if((o.length?o.pop():L)(n,r)){for(;o.length&&o[o.length-1].lex;)o.pop()();return E.marked?E.marked:"variable"==n&&_(e,r)?"variable-2":"variable"==n&&x(e,r)?"variable-3":t}}(t,n,d,i,e))},indent:function(e,t){if(e.tokenize!=v)return 0;var r=t&&t.charAt(0),i=e.lexical;"stat"==i.type&&"}"==r&&(i=i.prev);var o=i.type,a=r==o;return"vardef"==o?i.indented+4:"form"==o&&"{"==r?i.indented:"stat"==o||"form"==o?i.indented+n:"switch"!=i.info||a?i.align?i.column+(a?0:1):i.indented+(a?0:n):i.indented+(/^(?:case|default)\b/.test(t)?n:2*n)},electricChars:"{}",blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//"}})),e.defineMIME("text/x-haxe","haxe"),e.defineMode("hxml",(function(){return{startState:function(){return{define:!1,inString:!1}},token:function(e,t){var n=e.peek(),r=e.sol();if("#"==n)return e.skipToEnd(),"comment";if(r&&"-"==n){var i="variable-2";return e.eat(/-/),"-"==e.peek()&&(e.eat(/-/),i="keyword a"),"D"==e.peek()&&(e.eat(/[D]/),i="keyword c",t.define=!0),e.eatWhile(/[A-Z]/i),i}return n=e.peek(),0==t.inString&&"'"==n&&(t.inString=!0,e.next()),1==t.inString?(e.skipTo("'")||e.skipToEnd(),"'"==e.peek()&&(e.next(),t.inString=!1),"string"):(e.next(),null)},lineComment:"#"}})),e.defineMIME("text/x-hxml","hxml")}(n(23326))},39119:(e,t,n)=>{!function(e){"use strict";e.defineMode("htmlembedded",(function(t,n){var r=n.closeComment||"--%>";return e.multiplexingMode(e.getMode(t,"htmlmixed"),{open:n.openComment||"<%--",close:r,delimStyle:"comment",mode:{token:function(e){return e.skipTo(r)||e.skipToEnd(),"comment"}}},{open:n.open||n.scriptStartRegex||"<%",close:n.close||n.scriptEndRegex||"%>",mode:e.getMode(t,n.scriptingModeSpec)})}),"htmlmixed"),e.defineMIME("application/x-ejs",{name:"htmlembedded",scriptingModeSpec:"javascript"}),e.defineMIME("application/x-aspx",{name:"htmlembedded",scriptingModeSpec:"text/x-csharp"}),e.defineMIME("application/x-jsp",{name:"htmlembedded",scriptingModeSpec:"text/x-java"}),e.defineMIME("application/x-erb",{name:"htmlembedded",scriptingModeSpec:"ruby"})}(n(23326),n(38425),n(15718))},38425:(e,t,n)=>{!function(e){"use strict";var t={script:[["lang",/(javascript|babel)/i,"javascript"],["type",/^(?:text|application)\/(?:x-)?(?:java|ecma)script$|^module$|^$/i,"javascript"],["type",/./,"text/plain"],[null,null,"javascript"]],style:[["lang",/^css$/i,"css"],["type",/^(text\/)?(x-)?(stylesheet|css)$/i,"css"],["type",/./,"text/plain"],[null,null,"css"]]};var n={};function r(e,t){var r=e.match(function(e){return n[e]||(n[e]=new RegExp("\\s+"+e+"\\s*=\\s*('|\")?([^'\"]+)('|\")?\\s*"))}(t));return r?/^\s*(.*?)\s*$/.exec(r[2])[1]:""}function i(e,t){return new RegExp((t?"^":"")+"</\\s*"+e+"\\s*>","i")}function o(e,t){for(var n in e)for(var r=t[n]||(t[n]=[]),i=e[n],o=i.length-1;o>=0;o--)r.unshift(i[o])}e.defineMode("htmlmixed",(function(n,a){var s=e.getMode(n,{name:"xml",htmlMode:!0,multilineTagIndentFactor:a.multilineTagIndentFactor,multilineTagIndentPastTag:a.multilineTagIndentPastTag,allowMissingTagName:a.allowMissingTagName}),l={},u=a&&a.tags,c=a&&a.scriptTypes;if(o(t,l),u&&o(u,l),c)for(var d=c.length-1;d>=0;d--)l.script.unshift(["type",c[d].matches,c[d].mode]);function p(t,o){var a,u=s.token(t,o.htmlState),c=/\btag\b/.test(u);if(c&&!/[<>\s\/]/.test(t.current())&&(a=o.htmlState.tagName&&o.htmlState.tagName.toLowerCase())&&l.hasOwnProperty(a))o.inTag=a+" ";else if(o.inTag&&c&&/>$/.test(t.current())){var d=/^([\S]+) (.*)/.exec(o.inTag);o.inTag=null;var f=">"==t.current()&&function(e,t){for(var n=0;n<e.length;n++){var i=e[n];if(!i[0]||i[1].test(r(t,i[0])))return i[2]}}(l[d[1]],d[2]),h=e.getMode(n,f),m=i(d[1],!0),g=i(d[1],!1);o.token=function(e,t){return e.match(m,!1)?(t.token=p,t.localState=t.localMode=null,null):function(e,t,n){var r=e.current(),i=r.search(t);return i>-1?e.backUp(r.length-i):r.match(/<\/?$/)&&(e.backUp(r.length),e.match(t,!1)||e.match(r)),n}(e,g,t.localMode.token(e,t.localState))},o.localMode=h,o.localState=e.startState(h,s.indent(o.htmlState,"",""))}else o.inTag&&(o.inTag+=t.current(),t.eol()&&(o.inTag+=" "));return u}return{startState:function(){return{token:p,inTag:null,localMode:null,localState:null,htmlState:e.startState(s)}},copyState:function(t){var n;return t.localState&&(n=e.copyState(t.localMode,t.localState)),{token:t.token,inTag:t.inTag,localMode:t.localMode,localState:n,htmlState:e.copyState(s,t.htmlState)}},token:function(e,t){return t.token(e,t)},indent:function(t,n,r){return!t.localMode||/^\s*<\//.test(n)?s.indent(t.htmlState,n,r):t.localMode.indent?t.localMode.indent(t.localState,n,r):e.Pass},innerMode:function(e){return{state:e.localState||e.htmlState,mode:e.localMode||s}}}}),"xml","javascript","css"),e.defineMIME("text/html","htmlmixed")}(n(23326),n(9812),n(49055),n(98627))},58527:(e,t,n)=>{!function(e){"use strict";e.defineMode("http",(function(){function e(e,t){return e.skipToEnd(),t.cur=a,"error"}function t(t,r){return t.match(/^HTTP\/\d\.\d/)?(r.cur=n,"keyword"):t.match(/^[A-Z]+/)&&/[ \t]/.test(t.peek())?(r.cur=i,"keyword"):e(t,r)}function n(t,n){var i=t.match(/^\d+/);if(!i)return e(t,n);n.cur=r;var o=Number(i[0]);return o>=100&&o<200?"positive informational":o>=200&&o<300?"positive success":o>=300&&o<400?"positive redirect":o>=400&&o<500?"negative client-error":o>=500&&o<600?"negative server-error":"error"}function r(e,t){return e.skipToEnd(),t.cur=a,null}function i(e,t){return e.eatWhile(/\S/),t.cur=o,"string-2"}function o(t,n){return t.match(/^HTTP\/\d\.\d$/)?(n.cur=a,"keyword"):e(t,n)}function a(e){return e.sol()&&!e.eat(/[ \t]/)?e.match(/^.*?:/)?"atom":(e.skipToEnd(),"error"):(e.skipToEnd(),"string")}function s(e){return e.skipToEnd(),null}return{token:function(e,t){var n=t.cur;return n!=a&&n!=s&&e.eatSpace()?null:n(e,t)},blankLine:function(e){e.cur=s},startState:function(){return{cur:t}}}})),e.defineMIME("message/http","http")}(n(23326))},63647:(e,t,n)=>{!function(e){"use strict";function t(e){return new RegExp("^(("+e.join(")|(")+"))\\b","i")}var n=["a_correlate","abs","acos","adapt_hist_equal","alog","alog2","alog10","amoeba","annotate","app_user_dir","app_user_dir_query","arg_present","array_equal","array_indices","arrow","ascii_template","asin","assoc","atan","axis","axis","bandpass_filter","bandreject_filter","barplot","bar_plot","beseli","beselj","beselk","besely","beta","biginteger","bilinear","bin_date","binary_template","bindgen","binomial","bit_ffs","bit_population","blas_axpy","blk_con","boolarr","boolean","boxplot","box_cursor","breakpoint","broyden","bubbleplot","butterworth","bytarr","byte","byteorder","bytscl","c_correlate","calendar","caldat","call_external","call_function","call_method","call_procedure","canny","catch","cd","cdf","ceil","chebyshev","check_math","chisqr_cvf","chisqr_pdf","choldc","cholsol","cindgen","cir_3pnt","clipboard","close","clust_wts","cluster","cluster_tree","cmyk_convert","code_coverage","color_convert","color_exchange","color_quan","color_range_map","colorbar","colorize_sample","colormap_applicable","colormap_gradient","colormap_rotation","colortable","comfit","command_line_args","common","compile_opt","complex","complexarr","complexround","compute_mesh_normals","cond","congrid","conj","constrained_min","contour","contour","convert_coord","convol","convol_fft","coord2to3","copy_lun","correlate","cos","cosh","cpu","cramer","createboxplotdata","create_cursor","create_struct","create_view","crossp","crvlength","ct_luminance","cti_test","cursor","curvefit","cv_coord","cvttobm","cw_animate","cw_animate_getp","cw_animate_load","cw_animate_run","cw_arcball","cw_bgroup","cw_clr_index","cw_colorsel","cw_defroi","cw_field","cw_filesel","cw_form","cw_fslider","cw_light_editor","cw_light_editor_get","cw_light_editor_set","cw_orient","cw_palette_editor","cw_palette_editor_get","cw_palette_editor_set","cw_pdmenu","cw_rgbslider","cw_tmpl","cw_zoom","db_exists","dblarr","dcindgen","dcomplex","dcomplexarr","define_key","define_msgblk","define_msgblk_from_file","defroi","defsysv","delvar","dendro_plot","dendrogram","deriv","derivsig","determ","device","dfpmin","diag_matrix","dialog_dbconnect","dialog_message","dialog_pickfile","dialog_printersetup","dialog_printjob","dialog_read_image","dialog_write_image","dictionary","digital_filter","dilate","dindgen","dissolve","dist","distance_measure","dlm_load","dlm_register","doc_library","double","draw_roi","edge_dog","efont","eigenql","eigenvec","ellipse","elmhes","emboss","empty","enable_sysrtn","eof","eos","erase","erf","erfc","erfcx","erode","errorplot","errplot","estimator_filter","execute","exit","exp","expand","expand_path","expint","extract","extract_slice","f_cvf","f_pdf","factorial","fft","file_basename","file_chmod","file_copy","file_delete","file_dirname","file_expand_path","file_gunzip","file_gzip","file_info","file_lines","file_link","file_mkdir","file_move","file_poll_input","file_readlink","file_same","file_search","file_tar","file_test","file_untar","file_unzip","file_which","file_zip","filepath","findgen","finite","fix","flick","float","floor","flow3","fltarr","flush","format_axis_values","forward_function","free_lun","fstat","fulstr","funct","function","fv_test","fx_root","fz_roots","gamma","gamma_ct","gauss_cvf","gauss_pdf","gauss_smooth","gauss2dfit","gaussfit","gaussian_function","gaussint","get_drive_list","get_dxf_objects","get_kbrd","get_login_info","get_lun","get_screen_size","getenv","getwindows","greg2jul","grib","grid_input","grid_tps","grid3","griddata","gs_iter","h_eq_ct","h_eq_int","hanning","hash","hdf","hdf5","heap_free","heap_gc","heap_nosave","heap_refcount","heap_save","help","hilbert","hist_2d","hist_equal","histogram","hls","hough","hqr","hsv","i18n_multibytetoutf8","i18n_multibytetowidechar","i18n_utf8tomultibyte","i18n_widechartomultibyte","ibeta","icontour","iconvertcoord","idelete","identity","idl_base64","idl_container","idl_validname","idlexbr_assistant","idlitsys_createtool","idlunit","iellipse","igamma","igetcurrent","igetdata","igetid","igetproperty","iimage","image","image_cont","image_statistics","image_threshold","imaginary","imap","indgen","int_2d","int_3d","int_tabulated","intarr","interpol","interpolate","interval_volume","invert","ioctl","iopen","ir_filter","iplot","ipolygon","ipolyline","iputdata","iregister","ireset","iresolve","irotate","isa","isave","iscale","isetcurrent","isetproperty","ishft","isocontour","isosurface","isurface","itext","itranslate","ivector","ivolume","izoom","journal","json_parse","json_serialize","jul2greg","julday","keyword_set","krig2d","kurtosis","kw_test","l64indgen","la_choldc","la_cholmprove","la_cholsol","la_determ","la_eigenproblem","la_eigenql","la_eigenvec","la_elmhes","la_gm_linear_model","la_hqr","la_invert","la_least_square_equality","la_least_squares","la_linear_equation","la_ludc","la_lumprove","la_lusol","la_svd","la_tridc","la_trimprove","la_triql","la_trired","la_trisol","label_date","label_region","ladfit","laguerre","lambda","lambdap","lambertw","laplacian","least_squares_filter","leefilt","legend","legendre","linbcg","lindgen","linfit","linkimage","list","ll_arc_distance","lmfit","lmgr","lngamma","lnp_test","loadct","locale_get","logical_and","logical_or","logical_true","lon64arr","lonarr","long","long64","lsode","lu_complex","ludc","lumprove","lusol","m_correlate","machar","make_array","make_dll","make_rt","map","mapcontinents","mapgrid","map_2points","map_continents","map_grid","map_image","map_patch","map_proj_forward","map_proj_image","map_proj_info","map_proj_init","map_proj_inverse","map_set","matrix_multiply","matrix_power","max","md_test","mean","meanabsdev","mean_filter","median","memory","mesh_clip","mesh_decimate","mesh_issolid","mesh_merge","mesh_numtriangles","mesh_obj","mesh_smooth","mesh_surfacearea","mesh_validate","mesh_volume","message","min","min_curve_surf","mk_html_help","modifyct","moment","morph_close","morph_distance","morph_gradient","morph_hitormiss","morph_open","morph_thin","morph_tophat","multi","n_elements","n_params","n_tags","ncdf","newton","noise_hurl","noise_pick","noise_scatter","noise_slur","norm","obj_class","obj_destroy","obj_hasmethod","obj_isa","obj_new","obj_valid","objarr","on_error","on_ioerror","online_help","openr","openu","openw","oplot","oploterr","orderedhash","p_correlate","parse_url","particle_trace","path_cache","path_sep","pcomp","plot","plot3d","plot","plot_3dbox","plot_field","ploterr","plots","polar_contour","polar_surface","polyfill","polyshade","pnt_line","point_lun","polarplot","poly","poly_2d","poly_area","poly_fit","polyfillv","polygon","polyline","polywarp","popd","powell","pref_commit","pref_get","pref_set","prewitt","primes","print","printf","printd","pro","product","profile","profiler","profiles","project_vol","ps_show_fonts","psafm","pseudo","ptr_free","ptr_new","ptr_valid","ptrarr","pushd","qgrid3","qhull","qromb","qromo","qsimp","query_*","query_ascii","query_bmp","query_csv","query_dicom","query_gif","query_image","query_jpeg","query_jpeg2000","query_mrsid","query_pict","query_png","query_ppm","query_srf","query_tiff","query_video","query_wav","r_correlate","r_test","radon","randomn","randomu","ranks","rdpix","read","readf","read_ascii","read_binary","read_bmp","read_csv","read_dicom","read_gif","read_image","read_interfile","read_jpeg","read_jpeg2000","read_mrsid","read_pict","read_png","read_ppm","read_spr","read_srf","read_sylk","read_tiff","read_video","read_wav","read_wave","read_x11_bitmap","read_xwd","reads","readu","real_part","rebin","recall_commands","recon3","reduce_colors","reform","region_grow","register_cursor","regress","replicate","replicate_inplace","resolve_all","resolve_routine","restore","retall","return","reverse","rk4","roberts","rot","rotate","round","routine_filepath","routine_info","rs_test","s_test","save","savgol","scale3","scale3d","scatterplot","scatterplot3d","scope_level","scope_traceback","scope_varfetch","scope_varname","search2d","search3d","sem_create","sem_delete","sem_lock","sem_release","set_plot","set_shading","setenv","sfit","shade_surf","shade_surf_irr","shade_volume","shift","shift_diff","shmdebug","shmmap","shmunmap","shmvar","show3","showfont","signum","simplex","sin","sindgen","sinh","size","skewness","skip_lun","slicer3","slide_image","smooth","sobel","socket","sort","spawn","sph_4pnt","sph_scat","spher_harm","spl_init","spl_interp","spline","spline_p","sprsab","sprsax","sprsin","sprstp","sqrt","standardize","stddev","stop","strarr","strcmp","strcompress","streamline","streamline","stregex","stretch","string","strjoin","strlen","strlowcase","strmatch","strmessage","strmid","strpos","strput","strsplit","strtrim","struct_assign","struct_hide","strupcase","surface","surface","surfr","svdc","svdfit","svsol","swap_endian","swap_endian_inplace","symbol","systime","t_cvf","t_pdf","t3d","tag_names","tan","tanh","tek_color","temporary","terminal_size","tetra_clip","tetra_surface","tetra_volume","text","thin","thread","threed","tic","time_test2","timegen","timer","timestamp","timestamptovalues","tm_test","toc","total","trace","transpose","tri_surf","triangulate","trigrid","triql","trired","trisol","truncate_lun","ts_coef","ts_diff","ts_fcast","ts_smooth","tv","tvcrs","tvlct","tvrd","tvscl","typename","uindgen","uint","uintarr","ul64indgen","ulindgen","ulon64arr","ulonarr","ulong","ulong64","uniq","unsharp_mask","usersym","value_locate","variance","vector","vector_field","vel","velovect","vert_t3d","voigt","volume","voronoi","voxel_proj","wait","warp_tri","watershed","wdelete","wf_draw","where","widget_base","widget_button","widget_combobox","widget_control","widget_displaycontextmenu","widget_draw","widget_droplist","widget_event","widget_info","widget_label","widget_list","widget_propertysheet","widget_slider","widget_tab","widget_table","widget_text","widget_tree","widget_tree_move","widget_window","wiener_filter","window","window","write_bmp","write_csv","write_gif","write_image","write_jpeg","write_jpeg2000","write_nrif","write_pict","write_png","write_ppm","write_spr","write_srf","write_sylk","write_tiff","write_video","write_wav","write_wave","writeu","wset","wshow","wtn","wv_applet","wv_cwt","wv_cw_wavelet","wv_denoise","wv_dwt","wv_fn_coiflet","wv_fn_daubechies","wv_fn_gaussian","wv_fn_haar","wv_fn_morlet","wv_fn_paul","wv_fn_symlet","wv_import_data","wv_import_wavelet","wv_plot3d_wps","wv_plot_multires","wv_pwt","wv_tool_denoise","xbm_edit","xdisplayfile","xdxf","xfont","xinteranimate","xloadct","xmanager","xmng_tmpl","xmtool","xobjview","xobjview_rotate","xobjview_write_image","xpalette","xpcolor","xplot3d","xregistered","xroi","xsq_test","xsurface","xvaredit","xvolume","xvolume_rotate","xvolume_write_image","xyouts","zlib_compress","zlib_uncompress","zoom","zoom_24"],r=t(n),i=["begin","end","endcase","endfor","endwhile","endif","endrep","endforeach","break","case","continue","for","foreach","goto","if","then","else","repeat","until","switch","while","do","pro","function"],o=t(i);e.registerHelper("hintWords","idl",n.concat(i));var a=new RegExp("^[_a-z¡-￿][_a-z0-9¡-￿]*","i"),s=/[+\-*&=<>\/@#~$]/,l=new RegExp("(and|or|eq|lt|le|gt|ge|ne|not)","i");e.defineMode("idl",(function(){return{token:function(e){return function(e){if(e.eatSpace())return null;if(e.match(";"))return e.skipToEnd(),"comment";if(e.match(/^[0-9\.+-]/,!1)){if(e.match(/^[+-]?0x[0-9a-fA-F]+/))return"number";if(e.match(/^[+-]?\d*\.\d+([EeDd][+-]?\d+)?/))return"number";if(e.match(/^[+-]?\d+([EeDd][+-]?\d+)?/))return"number"}return e.match(/^"([^"]|(""))*"/)||e.match(/^'([^']|(''))*'/)?"string":e.match(o)?"keyword":e.match(r)?"builtin":e.match(a)?"variable":e.match(s)||e.match(l)?"operator":(e.next(),null)}(e)}}})),e.defineMIME("text/x-idl","idl")}(n(23326))},49055:(e,t,n)=>{!function(e){"use strict";e.defineMode("javascript",(function(t,n){var r,i,o=t.indentUnit,a=n.statementIndent,s=n.jsonld,l=n.json||s,u=!1!==n.trackScope,c=n.typescript,d=n.wordCharacters||/[\w$\xa1-\uffff]/,p=function(){function e(e){return{type:e,style:"keyword"}}var t=e("keyword a"),n=e("keyword b"),r=e("keyword c"),i=e("keyword d"),o=e("operator"),a={type:"atom",style:"atom"};return{if:e("if"),while:t,with:t,else:n,do:n,try:n,finally:n,return:i,break:i,continue:i,new:e("new"),delete:r,void:r,throw:r,debugger:e("debugger"),var:e("var"),const:e("var"),let:e("var"),function:e("function"),catch:e("catch"),for:e("for"),switch:e("switch"),case:e("case"),default:e("default"),in:o,typeof:o,instanceof:o,true:a,false:a,null:a,undefined:a,NaN:a,Infinity:a,this:e("this"),class:e("class"),super:e("atom"),yield:r,export:e("export"),import:e("import"),extends:r,await:r}}(),f=/[+\-*&%=<>!?|~^@]/,h=/^@(context|id|value|language|type|container|list|set|reverse|index|base|vocab|graph)"/;function m(e,t,n){return r=e,i=n,t}function g(e,t){var n,r=e.next();if('"'==r||"'"==r)return t.tokenize=(n=r,function(e,t){var r,i=!1;if(s&&"@"==e.peek()&&e.match(h))return t.tokenize=g,m("jsonld-keyword","meta");for(;null!=(r=e.next())&&(r!=n||i);)i=!i&&"\\"==r;return i||(t.tokenize=g),m("string","string")}),t.tokenize(e,t);if("."==r&&e.match(/^\d[\d_]*(?:[eE][+\-]?[\d_]+)?/))return m("number","number");if("."==r&&e.match(".."))return m("spread","meta");if(/[\[\]{}\(\),;\:\.]/.test(r))return m(r);if("="==r&&e.eat(">"))return m("=>","operator");if("0"==r&&e.match(/^(?:x[\dA-Fa-f_]+|o[0-7_]+|b[01_]+)n?/))return m("number","number");if(/\d/.test(r))return e.match(/^[\d_]*(?:n|(?:\.[\d_]*)?(?:[eE][+\-]?[\d_]+)?)?/),m("number","number");if("/"==r)return e.eat("*")?(t.tokenize=v,v(e,t)):e.eat("/")?(e.skipToEnd(),m("comment","comment")):et(e,t,1)?(function(e){for(var t,n=!1,r=!1;null!=(t=e.next());){if(!n){if("/"==t&&!r)return;"["==t?r=!0:r&&"]"==t&&(r=!1)}n=!n&&"\\"==t}}(e),e.match(/^\b(([gimyus])(?![gimyus]*\2))+\b/),m("regexp","string-2")):(e.eat("="),m("operator","operator",e.current()));if("`"==r)return t.tokenize=y,y(e,t);if("#"==r&&"!"==e.peek())return e.skipToEnd(),m("meta","meta");if("#"==r&&e.eatWhile(d))return m("variable","property");if("<"==r&&e.match("!--")||"-"==r&&e.match("->")&&!/\S/.test(e.string.slice(0,e.start)))return e.skipToEnd(),m("comment","comment");if(f.test(r))return">"==r&&t.lexical&&">"==t.lexical.type||(e.eat("=")?"!"!=r&&"="!=r||e.eat("="):/[<>*+\-|&?]/.test(r)&&(e.eat(r),">"==r&&e.eat(r))),"?"==r&&e.eat(".")?m("."):m("operator","operator",e.current());if(d.test(r)){e.eatWhile(d);var i=e.current();if("."!=t.lastType){if(p.propertyIsEnumerable(i)){var o=p[i];return m(o.type,o.style,i)}if("async"==i&&e.match(/^(\s|\/\*([^*]|\*(?!\/))*?\*\/)*[\[\(\w]/,!1))return m("async","keyword",i)}return m("variable","variable",i)}}function v(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=g;break}r="*"==n}return m("comment","comment")}function y(e,t){for(var n,r=!1;null!=(n=e.next());){if(!r&&("`"==n||"$"==n&&e.eat("{"))){t.tokenize=g;break}r=!r&&"\\"==n}return m("quasi","string-2",e.current())}var b="([{}])";function w(e,t){t.fatArrowAt&&(t.fatArrowAt=null);var n=e.string.indexOf("=>",e.start);if(!(n<0)){if(c){var r=/:\s*(?:\w+(?:<[^>]*>|\[\])?|\{[^}]*\})\s*$/.exec(e.string.slice(e.start,n));r&&(n=r.index)}for(var i=0,o=!1,a=n-1;a>=0;--a){var s=e.string.charAt(a),l=b.indexOf(s);if(l>=0&&l<3){if(!i){++a;break}if(0==--i){"("==s&&(o=!0);break}}else if(l>=3&&l<6)++i;else if(d.test(s))o=!0;else if(/["'\/`]/.test(s))for(;;--a){if(0==a)return;if(e.string.charAt(a-1)==s&&"\\"!=e.string.charAt(a-2)){a--;break}}else if(o&&!i){++a;break}}o&&!i&&(t.fatArrowAt=a)}}var _={atom:!0,number:!0,variable:!0,string:!0,regexp:!0,this:!0,import:!0,"jsonld-keyword":!0};function x(e,t,n,r,i,o){this.indented=e,this.column=t,this.type=n,this.prev=i,this.info=o,null!=r&&(this.align=r)}function k(e,t){if(!u)return!1;for(var n=e.localVars;n;n=n.next)if(n.name==t)return!0;for(var r=e.context;r;r=r.prev)for(n=r.vars;n;n=n.next)if(n.name==t)return!0}function E(e,t,n,r,i){var o=e.cc;for(C.state=e,C.stream=i,C.marked=null,C.cc=o,C.style=t,e.lexical.hasOwnProperty("align")||(e.lexical.align=!0);;)if((o.length?o.pop():l?$:U)(n,r)){for(;o.length&&o[o.length-1].lex;)o.pop()();return C.marked?C.marked:"variable"==n&&k(e,r)?"variable-2":t}}var C={state:null,column:null,marked:null,cc:null};function S(){for(var e=arguments.length-1;e>=0;e--)C.cc.push(arguments[e])}function A(){return S.apply(null,arguments),!0}function T(e,t){for(var n=t;n;n=n.next)if(n.name==e)return!0;return!1}function O(e){var t=C.state;if(C.marked="def",u){if(t.context)if("var"==t.lexical.info&&t.context&&t.context.block){var r=P(e,t.context);if(null!=r)return void(t.context=r)}else if(!T(e,t.localVars))return void(t.localVars=new I(e,t.localVars));n.globalVars&&!T(e,t.globalVars)&&(t.globalVars=new I(e,t.globalVars))}}function P(e,t){if(t){if(t.block){var n=P(e,t.prev);return n?n==t.prev?t:new R(n,t.vars,!0):null}return T(e,t.vars)?t:new R(t.prev,new I(e,t.vars),!1)}return null}function D(e){return"public"==e||"private"==e||"protected"==e||"abstract"==e||"readonly"==e}function R(e,t,n){this.prev=e,this.vars=t,this.block=n}function I(e,t){this.name=e,this.next=t}var M=new I("this",new I("arguments",null));function L(){C.state.context=new R(C.state.context,C.state.localVars,!1),C.state.localVars=M}function N(){C.state.context=new R(C.state.context,C.state.localVars,!0),C.state.localVars=null}function j(){C.state.localVars=C.state.context.vars,C.state.context=C.state.context.prev}function F(e,t){var n=function(){var n=C.state,r=n.indented;if("stat"==n.lexical.type)r=n.lexical.indented;else for(var i=n.lexical;i&&")"==i.type&&i.align;i=i.prev)r=i.indented;n.lexical=new x(r,C.stream.column(),e,null,n.lexical,t)};return n.lex=!0,n}function B(){var e=C.state;e.lexical.prev&&(")"==e.lexical.type&&(e.indented=e.lexical.indented),e.lexical=e.lexical.prev)}function z(e){return function t(n){return n==e?A():";"==e||"}"==n||")"==n||"]"==n?S():A(t)}}function U(e,t){return"var"==e?A(F("vardef",t),Ce,z(";"),B):"keyword a"==e?A(F("form"),H,U,B):"keyword b"==e?A(F("form"),U,B):"keyword d"==e?C.stream.match(/^\s*$/,!1)?A():A(F("stat"),G,z(";"),B):"debugger"==e?A(z(";")):"{"==e?A(F("}"),N,ue,B,j):";"==e?A():"if"==e?("else"==C.state.lexical.info&&C.state.cc[C.state.cc.length-1]==B&&C.state.cc.pop()(),A(F("form"),H,U,B,De)):"function"==e?A(Le):"for"==e?A(F("form"),N,Re,U,j,B):"class"==e||c&&"interface"==t?(C.marked="keyword",A(F("form","class"==e?e:t),ze,B)):"variable"==e?c&&"declare"==t?(C.marked="keyword",A(U)):c&&("module"==t||"enum"==t||"type"==t)&&C.stream.match(/^\s*\w/,!1)?(C.marked="keyword","enum"==t?A(Qe):"type"==t?A(je,z("operator"),he,z(";")):A(F("form"),Se,z("{"),F("}"),ue,B,B)):c&&"namespace"==t?(C.marked="keyword",A(F("form"),$,U,B)):c&&"abstract"==t?(C.marked="keyword",A(U)):A(F("stat"),ne):"switch"==e?A(F("form"),H,z("{"),F("}","switch"),N,ue,B,B,j):"case"==e?A($,z(":")):"default"==e?A(z(":")):"catch"==e?A(F("form"),L,q,U,B,j):"export"==e?A(F("stat"),We,B):"import"==e?A(F("stat"),Ve,B):"async"==e?A(U):"@"==t?A($,U):S(F("stat"),$,z(";"),B)}function q(e){if("("==e)return A(Fe,z(")"))}function $(e,t){return V(e,t,!1)}function W(e,t){return V(e,t,!0)}function H(e){return"("!=e?S():A(F(")"),G,z(")"),B)}function V(e,t,n){if(C.state.fatArrowAt==C.stream.start){var r=n?J:Q;if("("==e)return A(L,F(")"),se(Fe,")"),B,z("=>"),r,j);if("variable"==e)return S(L,Se,z("=>"),r,j)}var i=n?Y:K;return _.hasOwnProperty(e)?A(i):"function"==e?A(Le,i):"class"==e||c&&"interface"==t?(C.marked="keyword",A(F("form"),Be,B)):"keyword c"==e||"async"==e?A(n?W:$):"("==e?A(F(")"),G,z(")"),B,i):"operator"==e||"spread"==e?A(n?W:$):"["==e?A(F("]"),Xe,B,i):"{"==e?le(ie,"}",null,i):"quasi"==e?S(Z,i):"new"==e?A(function(e){return function(t){return"."==t?A(e?te:ee):"variable"==t&&c?A(xe,e?Y:K):S(e?W:$)}}(n)):A()}function G(e){return e.match(/[;\}\)\],]/)?S():S($)}function K(e,t){return","==e?A(G):Y(e,t,!1)}function Y(e,t,n){var r=0==n?K:Y,i=0==n?$:W;return"=>"==e?A(L,n?J:Q,j):"operator"==e?/\+\+|--/.test(t)||c&&"!"==t?A(r):c&&"<"==t&&C.stream.match(/^([^<>]|<[^<>]*>)*>\s*\(/,!1)?A(F(">"),se(he,">"),B,r):"?"==t?A($,z(":"),i):A(i):"quasi"==e?S(Z,r):";"!=e?"("==e?le(W,")","call",r):"."==e?A(re,r):"["==e?A(F("]"),G,z("]"),B,r):c&&"as"==t?(C.marked="keyword",A(he,r)):"regexp"==e?(C.state.lastType=C.marked="operator",C.stream.backUp(C.stream.pos-C.stream.start-1),A(i)):void 0:void 0}function Z(e,t){return"quasi"!=e?S():"${"!=t.slice(t.length-2)?A(Z):A(G,X)}function X(e){if("}"==e)return C.marked="string-2",C.state.tokenize=y,A(Z)}function Q(e){return w(C.stream,C.state),S("{"==e?U:$)}function J(e){return w(C.stream,C.state),S("{"==e?U:W)}function ee(e,t){if("target"==t)return C.marked="keyword",A(K)}function te(e,t){if("target"==t)return C.marked="keyword",A(Y)}function ne(e){return":"==e?A(B,U):S(K,z(";"),B)}function re(e){if("variable"==e)return C.marked="property",A()}function ie(e,t){return"async"==e?(C.marked="property",A(ie)):"variable"==e||"keyword"==C.style?(C.marked="property","get"==t||"set"==t?A(oe):(c&&C.state.fatArrowAt==C.stream.start&&(n=C.stream.match(/^\s*:\s*/,!1))&&(C.state.fatArrowAt=C.stream.pos+n[0].length),A(ae))):"number"==e||"string"==e?(C.marked=s?"property":C.style+" property",A(ae)):"jsonld-keyword"==e?A(ae):c&&D(t)?(C.marked="keyword",A(ie)):"["==e?A($,ce,z("]"),ae):"spread"==e?A(W,ae):"*"==t?(C.marked="keyword",A(ie)):":"==e?S(ae):void 0;var n}function oe(e){return"variable"!=e?S(ae):(C.marked="property",A(Le))}function ae(e){return":"==e?A(W):"("==e?S(Le):void 0}function se(e,t,n){function r(i,o){if(n?n.indexOf(i)>-1:","==i){var a=C.state.lexical;return"call"==a.info&&(a.pos=(a.pos||0)+1),A((function(n,r){return n==t||r==t?S():S(e)}),r)}return i==t||o==t?A():n&&n.indexOf(";")>-1?S(e):A(z(t))}return function(n,i){return n==t||i==t?A():S(e,r)}}function le(e,t,n){for(var r=3;r<arguments.length;r++)C.cc.push(arguments[r]);return A(F(t,n),se(e,t),B)}function ue(e){return"}"==e?A():S(U,ue)}function ce(e,t){if(c){if(":"==e)return A(he);if("?"==t)return A(ce)}}function de(e,t){if(c&&(":"==e||"in"==t))return A(he)}function pe(e){if(c&&":"==e)return C.stream.match(/^\s*\w+\s+is\b/,!1)?A($,fe,he):A(he)}function fe(e,t){if("is"==t)return C.marked="keyword",A()}function he(e,t){return"keyof"==t||"typeof"==t||"infer"==t||"readonly"==t?(C.marked="keyword",A("typeof"==t?W:he)):"variable"==e||"void"==t?(C.marked="type",A(_e)):"|"==t||"&"==t?A(he):"string"==e||"number"==e||"atom"==e?A(_e):"["==e?A(F("]"),se(he,"]",","),B,_e):"{"==e?A(F("}"),ge,B,_e):"("==e?A(se(we,")"),me,_e):"<"==e?A(se(he,">"),he):"quasi"==e?S(ye,_e):void 0}function me(e){if("=>"==e)return A(he)}function ge(e){return e.match(/[\}\)\]]/)?A():","==e||";"==e?A(ge):S(ve,ge)}function ve(e,t){return"variable"==e||"keyword"==C.style?(C.marked="property",A(ve)):"?"==t||"number"==e||"string"==e?A(ve):":"==e?A(he):"["==e?A(z("variable"),de,z("]"),ve):"("==e?S(Ne,ve):e.match(/[;\}\)\],]/)?void 0:A()}function ye(e,t){return"quasi"!=e?S():"${"!=t.slice(t.length-2)?A(ye):A(he,be)}function be(e){if("}"==e)return C.marked="string-2",C.state.tokenize=y,A(ye)}function we(e,t){return"variable"==e&&C.stream.match(/^\s*[?:]/,!1)||"?"==t?A(we):":"==e?A(he):"spread"==e?A(we):S(he)}function _e(e,t){return"<"==t?A(F(">"),se(he,">"),B,_e):"|"==t||"."==e||"&"==t?A(he):"["==e?A(he,z("]"),_e):"extends"==t||"implements"==t?(C.marked="keyword",A(he)):"?"==t?A(he,z(":"),he):void 0}function xe(e,t){if("<"==t)return A(F(">"),se(he,">"),B,_e)}function ke(){return S(he,Ee)}function Ee(e,t){if("="==t)return A(he)}function Ce(e,t){return"enum"==t?(C.marked="keyword",A(Qe)):S(Se,ce,Oe,Pe)}function Se(e,t){return c&&D(t)?(C.marked="keyword",A(Se)):"variable"==e?(O(t),A()):"spread"==e?A(Se):"["==e?le(Te,"]"):"{"==e?le(Ae,"}"):void 0}function Ae(e,t){return"variable"!=e||C.stream.match(/^\s*:/,!1)?("variable"==e&&(C.marked="property"),"spread"==e?A(Se):"}"==e?S():"["==e?A($,z("]"),z(":"),Ae):A(z(":"),Se,Oe)):(O(t),A(Oe))}function Te(){return S(Se,Oe)}function Oe(e,t){if("="==t)return A(W)}function Pe(e){if(","==e)return A(Ce)}function De(e,t){if("keyword b"==e&&"else"==t)return A(F("form","else"),U,B)}function Re(e,t){return"await"==t?A(Re):"("==e?A(F(")"),Ie,B):void 0}function Ie(e){return"var"==e?A(Ce,Me):"variable"==e?A(Me):S(Me)}function Me(e,t){return")"==e?A():";"==e?A(Me):"in"==t||"of"==t?(C.marked="keyword",A($,Me)):S($,Me)}function Le(e,t){return"*"==t?(C.marked="keyword",A(Le)):"variable"==e?(O(t),A(Le)):"("==e?A(L,F(")"),se(Fe,")"),B,pe,U,j):c&&"<"==t?A(F(">"),se(ke,">"),B,Le):void 0}function Ne(e,t){return"*"==t?(C.marked="keyword",A(Ne)):"variable"==e?(O(t),A(Ne)):"("==e?A(L,F(")"),se(Fe,")"),B,pe,j):c&&"<"==t?A(F(">"),se(ke,">"),B,Ne):void 0}function je(e,t){return"keyword"==e||"variable"==e?(C.marked="type",A(je)):"<"==t?A(F(">"),se(ke,">"),B):void 0}function Fe(e,t){return"@"==t&&A($,Fe),"spread"==e?A(Fe):c&&D(t)?(C.marked="keyword",A(Fe)):c&&"this"==e?A(ce,Oe):S(Se,ce,Oe)}function Be(e,t){return"variable"==e?ze(e,t):Ue(e,t)}function ze(e,t){if("variable"==e)return O(t),A(Ue)}function Ue(e,t){return"<"==t?A(F(">"),se(ke,">"),B,Ue):"extends"==t||"implements"==t||c&&","==e?("implements"==t&&(C.marked="keyword"),A(c?he:$,Ue)):"{"==e?A(F("}"),qe,B):void 0}function qe(e,t){return"async"==e||"variable"==e&&("static"==t||"get"==t||"set"==t||c&&D(t))&&C.stream.match(/^\s+#?[\w$\xa1-\uffff]/,!1)?(C.marked="keyword",A(qe)):"variable"==e||"keyword"==C.style?(C.marked="property",A($e,qe)):"number"==e||"string"==e?A($e,qe):"["==e?A($,ce,z("]"),$e,qe):"*"==t?(C.marked="keyword",A(qe)):c&&"("==e?S(Ne,qe):";"==e||","==e?A(qe):"}"==e?A():"@"==t?A($,qe):void 0}function $e(e,t){if("!"==t)return A($e);if("?"==t)return A($e);if(":"==e)return A(he,Oe);if("="==t)return A(W);var n=C.state.lexical.prev;return S(n&&"interface"==n.info?Ne:Le)}function We(e,t){return"*"==t?(C.marked="keyword",A(Ze,z(";"))):"default"==t?(C.marked="keyword",A($,z(";"))):"{"==e?A(se(He,"}"),Ze,z(";")):S(U)}function He(e,t){return"as"==t?(C.marked="keyword",A(z("variable"))):"variable"==e?S(W,He):void 0}function Ve(e){return"string"==e?A():"("==e?S($):"."==e?S(K):S(Ge,Ke,Ze)}function Ge(e,t){return"{"==e?le(Ge,"}"):("variable"==e&&O(t),"*"==t&&(C.marked="keyword"),A(Ye))}function Ke(e){if(","==e)return A(Ge,Ke)}function Ye(e,t){if("as"==t)return C.marked="keyword",A(Ge)}function Ze(e,t){if("from"==t)return C.marked="keyword",A($)}function Xe(e){return"]"==e?A():S(se(W,"]"))}function Qe(){return S(F("form"),Se,z("{"),F("}"),se(Je,"}"),B,B)}function Je(){return S(Se,Oe)}function et(e,t,n){return t.tokenize==g&&/^(?:operator|sof|keyword [bcd]|case|new|export|default|spread|[\[{}\(,;:]|=>)$/.test(t.lastType)||"quasi"==t.lastType&&/\{\s*$/.test(e.string.slice(0,e.pos-(n||0)))}return L.lex=N.lex=!0,j.lex=!0,B.lex=!0,{startState:function(e){var t={tokenize:g,lastType:"sof",cc:[],lexical:new x((e||0)-o,0,"block",!1),localVars:n.localVars,context:n.localVars&&new R(null,null,!1),indented:e||0};return n.globalVars&&"object"==typeof n.globalVars&&(t.globalVars=n.globalVars),t},token:function(e,t){if(e.sol()&&(t.lexical.hasOwnProperty("align")||(t.lexical.align=!1),t.indented=e.indentation(),w(e,t)),t.tokenize!=v&&e.eatSpace())return null;var n=t.tokenize(e,t);return"comment"==r?n:(t.lastType="operator"!=r||"++"!=i&&"--"!=i?r:"incdec",E(t,n,r,i,e))},indent:function(t,r){if(t.tokenize==v||t.tokenize==y)return e.Pass;if(t.tokenize!=g)return 0;var i,s=r&&r.charAt(0),l=t.lexical;if(!/^\s*else\b/.test(r))for(var u=t.cc.length-1;u>=0;--u){var c=t.cc[u];if(c==B)l=l.prev;else if(c!=De&&c!=j)break}for(;("stat"==l.type||"form"==l.type)&&("}"==s||(i=t.cc[t.cc.length-1])&&(i==K||i==Y)&&!/^[,\.=+\-*:?[\(]/.test(r));)l=l.prev;a&&")"==l.type&&"stat"==l.prev.type&&(l=l.prev);var d=l.type,p=s==d;return"vardef"==d?l.indented+("operator"==t.lastType||","==t.lastType?l.info.length+1:0):"form"==d&&"{"==s?l.indented:"form"==d?l.indented+o:"stat"==d?l.indented+(function(e,t){return"operator"==e.lastType||","==e.lastType||f.test(t.charAt(0))||/[,.]/.test(t.charAt(0))}(t,r)?a||o:0):"switch"!=l.info||p||0==n.doubleIndentSwitch?l.align?l.column+(p?0:1):l.indented+(p?0:o):l.indented+(/^(?:case|default)\b/.test(r)?o:2*o)},electricInput:/^\s*(?:case .*?:|default:|\{|\})$/,blockCommentStart:l?null:"/*",blockCommentEnd:l?null:"*/",blockCommentContinue:l?null:" * ",lineComment:l?null:"//",fold:"brace",closeBrackets:"()[]{}''\"\"``",helperType:l?"json":"javascript",jsonldMode:s,jsonMode:l,expressionAllowed:et,skipExpression:function(t){E(t,"atom","atom","true",new e.StringStream("",2,null))}}})),e.registerHelper("wordChars","javascript",/[\w$]/),e.defineMIME("text/javascript","javascript"),e.defineMIME("text/ecmascript","javascript"),e.defineMIME("application/javascript","javascript"),e.defineMIME("application/x-javascript","javascript"),e.defineMIME("application/ecmascript","javascript"),e.defineMIME("application/json",{name:"javascript",json:!0}),e.defineMIME("application/x-json",{name:"javascript",json:!0}),e.defineMIME("application/manifest+json",{name:"javascript",json:!0}),e.defineMIME("application/ld+json",{name:"javascript",jsonld:!0}),e.defineMIME("text/typescript",{name:"javascript",typescript:!0}),e.defineMIME("application/typescript",{name:"javascript",typescript:!0})}(n(23326))},81032:(e,t,n)=>{!function(e){"use strict";e.defineMode("jinja2",(function(){var e=["and","as","block","endblock","by","cycle","debug","else","elif","extends","filter","endfilter","firstof","do","for","endfor","if","endif","ifchanged","endifchanged","ifequal","endifequal","ifnotequal","set","raw","endraw","endifnotequal","in","include","load","not","now","or","parsed","regroup","reversed","spaceless","call","endcall","macro","endmacro","endspaceless","ssi","templatetag","openblock","closeblock","openvariable","closevariable","without","context","openbrace","closebrace","opencomment","closecomment","widthratio","url","with","endwith","get_current_language","trans","endtrans","noop","blocktrans","endblocktrans","get_available_languages","get_current_language_bidi","pluralize","autoescape","endautoescape"],t=/^[+\-*&%=<>!?|~^]/,n=/^[:\[\(\{]/,r=["true","false"],i=/^(\d[+\-\*\/])?\d+(\.\d+)?/;function o(o,a){var s=o.peek();if(a.incomment)return o.skipTo("#}")?(o.eatWhile(/\#|}/),a.incomment=!1):o.skipToEnd(),"comment";if(a.intag){if(a.operator){if(a.operator=!1,o.match(r))return"atom";if(o.match(i))return"number"}if(a.sign){if(a.sign=!1,o.match(r))return"atom";if(o.match(i))return"number"}if(a.instring)return s==a.instring&&(a.instring=!1),o.next(),"string";if("'"==s||'"'==s)return a.instring=s,o.next(),"string";if(a.inbraces>0&&")"==s)o.next(),a.inbraces--;else if("("==s)o.next(),a.inbraces++;else if(a.inbrackets>0&&"]"==s)o.next(),a.inbrackets--;else if("["==s)o.next(),a.inbrackets++;else{if(!a.lineTag&&(o.match(a.intag+"}")||o.eat("-")&&o.match(a.intag+"}")))return a.intag=!1,"tag";if(o.match(t))return a.operator=!0,"operator";if(o.match(n))a.sign=!0;else{if(1==o.column()&&a.lineTag&&o.match(e))return"keyword";if(o.eat(" ")||o.sol()){if(o.match(e))return"keyword";if(o.match(r))return"atom";if(o.match(i))return"number";o.sol()&&o.next()}else o.next()}}return"variable"}if(o.eat("{")){if(o.eat("#"))return a.incomment=!0,o.skipTo("#}")?(o.eatWhile(/\#|}/),a.incomment=!1):o.skipToEnd(),"comment";if(s=o.eat(/\{|%/))return a.intag=s,a.inbraces=0,a.inbrackets=0,"{"==s&&(a.intag="}"),o.eat("-"),"tag"}else if(o.eat("#")){if("#"==o.peek())return o.skipToEnd(),"comment";if(!o.eol())return a.intag=!0,a.lineTag=!0,a.inbraces=0,a.inbrackets=0,"tag"}o.next()}return e=new RegExp("(("+e.join(")|(")+"))\\b"),r=new RegExp("(("+r.join(")|(")+"))\\b"),{startState:function(){return{tokenize:o,inbrackets:0,inbraces:0}},token:function(e,t){var n=t.tokenize(e,t);return e.eol()&&t.lineTag&&!t.instring&&0==t.inbraces&&0==t.inbrackets&&(t.intag=!1,t.lineTag=!1),n},blockCommentStart:"{#",blockCommentEnd:"#}",lineComment:"##"}})),e.defineMIME("text/jinja2","jinja2")}(n(23326))},27968:(e,t,n)=>{!function(e){"use strict";function t(e,t,n,r){this.state=e,this.mode=t,this.depth=n,this.prev=r}function n(r){return new t(e.copyState(r.mode,r.state),r.mode,r.depth,r.prev&&n(r.prev))}e.defineMode("jsx",(function(r,i){var o=e.getMode(r,{name:"xml",allowMissing:!0,multilineTagIndentPastTag:!1,allowMissingTagName:!0}),a=e.getMode(r,i&&i.base||"javascript");function s(e){var t=e.tagName;e.tagName=null;var n=o.indent(e,"","");return e.tagName=t,n}function l(n,i){return i.context.mode==o?function(n,i,u){if(2==u.depth)return n.match(/^.*?\*\//)?u.depth=1:n.skipToEnd(),"comment";if("{"==n.peek()){o.skipAttribute(u.state);var c=s(u.state),d=u.state.context;if(d&&n.match(/^[^>]*>\s*$/,!1)){for(;d.prev&&!d.startOfLine;)d=d.prev;d.startOfLine?c-=r.indentUnit:u.prev.state.lexical&&(c=u.prev.state.lexical.indented)}else 1==u.depth&&(c+=r.indentUnit);return i.context=new t(e.startState(a,c),a,0,i.context),null}if(1==u.depth){if("<"==n.peek())return o.skipAttribute(u.state),i.context=new t(e.startState(o,s(u.state)),o,0,i.context),null;if(n.match("//"))return n.skipToEnd(),"comment";if(n.match("/*"))return u.depth=2,l(n,i)}var p,f=o.token(n,u.state),h=n.current();return/\btag\b/.test(f)?/>$/.test(h)?u.state.context?u.depth=0:i.context=i.context.prev:/^</.test(h)&&(u.depth=1):!f&&(p=h.indexOf("{"))>-1&&n.backUp(h.length-p),f}(n,i,i.context):function(n,r,i){if("<"==n.peek()&&a.expressionAllowed(n,i.state))return r.context=new t(e.startState(o,a.indent(i.state,"","")),o,0,r.context),a.skipExpression(i.state),null;var s=a.token(n,i.state);if(!s&&null!=i.depth){var l=n.current();"{"==l?i.depth++:"}"==l&&0==--i.depth&&(r.context=r.context.prev)}return s}(n,i,i.context)}return{startState:function(){return{context:new t(e.startState(a),a)}},copyState:function(e){return{context:n(e.context)}},token:l,indent:function(e,t,n){return e.context.mode.indent(e.context.state,t,n)},innerMode:function(e){return e.context}}}),"xml","javascript"),e.defineMIME("text/jsx","jsx"),e.defineMIME("text/typescript-jsx",{name:"jsx",base:{name:"javascript",typescript:!0}})}(n(23326),n(9812),n(49055))},38519:(e,t,n)=>{!function(e){"use strict";e.defineMode("julia",(function(t,n){function r(e,t,n){return void 0===n&&(n=""),void 0===t&&(t="\\b"),new RegExp("^"+n+"(("+e.join(")|(")+"))"+t)}var i=["[<>]:","[<>=]=","<<=?",">>>?=?","=>","--?>","<--[->]?","\\/\\/","\\.{2,3}","[\\.\\\\%*+\\-<>!\\/^|&]=?","\\?","\\$","~",":"],o=n.operators||r(["[<>]:","[<>=]=","[!=]==","<<=?",">>>?=?","=>?","--?>","<--[->]?","\\/\\/","[\\\\%*+\\-<>!\\/^|&\\u00F7\\u22BB]=?","\\?","\\$","~",":","\\u00D7","\\u2208","\\u2209","\\u220B","\\u220C","\\u2218","\\u221A","\\u221B","\\u2229","\\u222A","\\u2260","\\u2264","\\u2265","\\u2286","\\u2288","\\u228A","\\u22C5","\\b(in|isa)\\b(?!.?\\()"],""),a=n.delimiters||/^[;,()[\]{}]/,s=n.identifiers||/^[_A-Za-z\u00A1-\u2217\u2219-\uFFFF][\w\u00A1-\u2217\u2219-\uFFFF]*!*/,l=r(["\\\\[0-7]{1,3}","\\\\x[A-Fa-f0-9]{1,2}","\\\\[abefnrtv0%?'\"\\\\]","([^\\u0027\\u005C\\uD800-\\uDFFF]|[\\uD800-\\uDFFF][\\uDC00-\\uDFFF])"],"'"),u=["if","else","elseif","while","for","begin","let","end","do","try","catch","finally","return","break","continue","global","local","const","export","import","importall","using","function","where","macro","module","baremodule","struct","type","mutable","immutable","quote","typealias","abstract","primitive","bitstype"],c=["true","false","nothing","NaN","Inf"];e.registerHelper("hintWords","julia",u.concat(c));var d=r(["begin","function","type","struct","immutable","let","macro","for","while","quote","if","else","elseif","try","finally","catch","do"]),p=r(["end","else","elseif","catch","finally"]),f=r(u),h=r(c),m=/^@[_A-Za-z\u00A1-\uFFFF][\w\u00A1-\uFFFF]*!*/,g=/^:[_A-Za-z\u00A1-\uFFFF][\w\u00A1-\uFFFF]*!*/,v=/^(`|([_A-Za-z\u00A1-\uFFFF]*"("")?))/,y=r(i,"","@"),b=r(i,"",":");function w(e){return e.nestedArrays>0}function _(e,t){return void 0===t&&(t=0),e.scopes.length<=t?null:e.scopes[e.scopes.length-(t+1)]}function x(e,t){if(e.match("#=",!1))return t.tokenize=E,t.tokenize(e,t);var n=t.leavingExpr;if(e.sol()&&(n=!1),t.leavingExpr=!1,n&&e.match(/^'+/))return"operator";if(e.match(/\.{4,}/))return"error";if(e.match(/\.{1,3}/))return"operator";if(e.eatSpace())return null;var r,i=e.peek();if("#"===i)return e.skipToEnd(),"comment";if("["===i&&(t.scopes.push("["),t.nestedArrays++),"("===i&&(t.scopes.push("("),t.nestedGenerators++),w(t)&&"]"===i){for(;t.scopes.length&&"["!==_(t);)t.scopes.pop();t.scopes.pop(),t.nestedArrays--,t.leavingExpr=!0}if(function(e){return e.nestedGenerators>0}(t)&&")"===i){for(;t.scopes.length&&"("!==_(t);)t.scopes.pop();t.scopes.pop(),t.nestedGenerators--,t.leavingExpr=!0}if(w(t)){if("end"==t.lastToken&&e.match(":"))return"operator";if(e.match("end"))return"number"}if((r=e.match(d,!1))&&t.scopes.push(r[0]),e.match(p,!1)&&t.scopes.pop(),e.match(/^::(?![:\$])/))return t.tokenize=k,t.tokenize(e,t);if(!n&&(e.match(g)||e.match(b)))return"builtin";if(e.match(o))return"operator";if(e.match(/^\.?\d/,!1)){var l=RegExp(/^im\b/),u=!1;if(e.match(/^0x\.[0-9a-f_]+p[\+\-]?[_\d]+/i)&&(u=!0),e.match(/^0x[0-9a-f_]+/i)&&(u=!0),e.match(/^0b[01_]+/i)&&(u=!0),e.match(/^0o[0-7_]+/i)&&(u=!0),e.match(/^(?:(?:\d[_\d]*)?\.(?!\.)(?:\d[_\d]*)?|\d[_\d]*\.(?!\.)(?:\d[_\d]*))?([Eef][\+\-]?[_\d]+)?/i)&&(u=!0),e.match(/^\d[_\d]*(e[\+\-]?\d+)?/i)&&(u=!0),u)return e.match(l),t.leavingExpr=!0,"number"}if(e.match("'"))return t.tokenize=C,t.tokenize(e,t);if(e.match(v))return t.tokenize=function(e){function t(t,n){if(t.eat("\\"))t.next();else{if(t.match(e))return n.tokenize=x,n.leavingExpr=!0,"string";t.eat(/[`"]/)}return t.eatWhile(/[^\\`"]/),"string"}return'"""'===e.substr(-3)?e='"""':'"'===e.substr(-1)&&(e='"'),t}(e.current()),t.tokenize(e,t);if(e.match(m)||e.match(y))return"meta";if(e.match(a))return null;if(e.match(f))return"keyword";if(e.match(h))return"builtin";var c=t.isDefinition||"function"==t.lastToken||"macro"==t.lastToken||"type"==t.lastToken||"struct"==t.lastToken||"immutable"==t.lastToken;return e.match(s)?c?"."===e.peek()?(t.isDefinition=!0,"variable"):(t.isDefinition=!1,"def"):(t.leavingExpr=!0,"variable"):(e.next(),"error")}function k(e,t){return e.match(/.*?(?=[,;{}()=\s]|$)/),e.match("{")?t.nestedParameters++:e.match("}")&&t.nestedParameters>0&&t.nestedParameters--,t.nestedParameters>0?e.match(/.*?(?={|})/)||e.next():0==t.nestedParameters&&(t.tokenize=x),"builtin"}function E(e,t){return e.match("#=")&&t.nestedComments++,e.match(/.*?(?=(#=|=#))/)||e.skipToEnd(),e.match("=#")&&(t.nestedComments--,0==t.nestedComments&&(t.tokenize=x)),"comment"}function C(e,t){var n,r=!1;if(e.match(l))r=!0;else if(n=e.match(/\\u([a-f0-9]{1,4})(?=')/i))((i=parseInt(n[1],16))<=55295||i>=57344)&&(r=!0,e.next());else if(n=e.match(/\\U([A-Fa-f0-9]{5,8})(?=')/)){var i;(i=parseInt(n[1],16))<=1114111&&(r=!0,e.next())}return r?(t.leavingExpr=!0,t.tokenize=x,"string"):(e.match(/^[^']+(?=')/)||e.skipToEnd(),e.match("'")&&(t.tokenize=x),"error")}return{startState:function(){return{tokenize:x,scopes:[],lastToken:null,leavingExpr:!1,isDefinition:!1,nestedArrays:0,nestedComments:0,nestedGenerators:0,nestedParameters:0,firstParenPos:-1}},token:function(e,t){var n=t.tokenize(e,t),r=e.current();return r&&n&&(t.lastToken=r),n},indent:function(e,n){var r=0;return("]"===n||")"===n||/^end\b/.test(n)||/^else/.test(n)||/^catch\b/.test(n)||/^elseif\b/.test(n)||/^finally/.test(n))&&(r=-1),(e.scopes.length+r)*t.indentUnit},electricInput:/\b(end|else|catch|finally)\b/,blockCommentStart:"#=",blockCommentEnd:"=#",lineComment:"#",closeBrackets:'()[]{}""',fold:"indent"}})),e.defineMIME("text/x-julia","julia")}(n(23326))},70688:(e,t,n)=>{!function(e){"use strict";e.defineMode("livescript",(function(){var e=function(e,t){var n=t.next||"start";if(n){t.next=t.next;var r=o[n];if(r.splice){for(var i=0;i<r.length;++i){var a=r[i];if(a.regex&&e.match(a.regex))return t.next=a.next||t.next,a.token}return e.next(),"error"}if(e.match(a=o[n]))return a.regex&&e.match(a.regex)?(t.next=a.next,a.token):(e.next(),"error")}return e.next(),"error"};return{startState:function(){return{next:"start",lastToken:{style:null,indent:0,content:""}}},token:function(t,n){for(;t.pos==t.start;)var r=e(t,n);return n.lastToken={style:r,indent:t.indentation(),content:t.current()},r.replace(/\./g," ")},indent:function(e){var t=e.lastToken.indent;return e.lastToken.content.match(n)&&(t+=2),t}}}));var t="(?![\\d\\s])[$\\w\\xAA-\\uFFDC](?:(?!\\s)[$\\w\\xAA-\\uFFDC]|-[A-Za-z])*",n=RegExp("(?:[({[=:]|[-~]>|\\b(?:e(?:lse|xport)|d(?:o|efault)|t(?:ry|hen)|finally|import(?:\\s*all)?|const|var|let|new|catch(?:\\s*"+t+")?))\\s*$"),r="(?![$\\w]|-[A-Za-z]|\\s*:(?![:=]))",i={token:"string",regex:".+"},o={start:[{token:"comment.doc",regex:"/\\*",next:"comment"},{token:"comment",regex:"#.*"},{token:"keyword",regex:"(?:t(?:h(?:is|row|en)|ry|ypeof!?)|c(?:on(?:tinue|st)|a(?:se|tch)|lass)|i(?:n(?:stanceof)?|mp(?:ort(?:\\s+all)?|lements)|[fs])|d(?:e(?:fault|lete|bugger)|o)|f(?:or(?:\\s+own)?|inally|unction)|s(?:uper|witch)|e(?:lse|x(?:tends|port)|val)|a(?:nd|rguments)|n(?:ew|ot)|un(?:less|til)|w(?:hile|ith)|o[fr]|return|break|let|var|loop)"+r},{token:"constant.language",regex:"(?:true|false|yes|no|on|off|null|void|undefined)"+r},{token:"invalid.illegal",regex:"(?:p(?:ackage|r(?:ivate|otected)|ublic)|i(?:mplements|nterface)|enum|static|yield)"+r},{token:"language.support.class",regex:"(?:R(?:e(?:gExp|ferenceError)|angeError)|S(?:tring|yntaxError)|E(?:rror|valError)|Array|Boolean|Date|Function|Number|Object|TypeError|URIError)"+r},{token:"language.support.function",regex:"(?:is(?:NaN|Finite)|parse(?:Int|Float)|Math|JSON|(?:en|de)codeURI(?:Component)?)"+r},{token:"variable.language",regex:"(?:t(?:hat|il|o)|f(?:rom|allthrough)|it|by|e)"+r},{token:"identifier",regex:t+"\\s*:(?![:=])"},{token:"variable",regex:t},{token:"keyword.operator",regex:"(?:\\.{3}|\\s+\\?)"},{token:"keyword.variable",regex:"(?:@+|::|\\.\\.)",next:"key"},{token:"keyword.operator",regex:"\\.\\s*",next:"key"},{token:"string",regex:"\\\\\\S[^\\s,;)}\\]]*"},{token:"string.doc",regex:"'''",next:"qdoc"},{token:"string.doc",regex:'"""',next:"qqdoc"},{token:"string",regex:"'",next:"qstring"},{token:"string",regex:'"',next:"qqstring"},{token:"string",regex:"`",next:"js"},{token:"string",regex:"<\\[",next:"words"},{token:"string.regex",regex:"//",next:"heregex"},{token:"string.regex",regex:"\\/(?:[^[\\/\\n\\\\]*(?:(?:\\\\.|\\[[^\\]\\n\\\\]*(?:\\\\.[^\\]\\n\\\\]*)*\\])[^[\\/\\n\\\\]*)*)\\/[gimy$]{0,4}",next:"key"},{token:"constant.numeric",regex:"(?:0x[\\da-fA-F][\\da-fA-F_]*|(?:[2-9]|[12]\\d|3[0-6])r[\\da-zA-Z][\\da-zA-Z_]*|(?:\\d[\\d_]*(?:\\.\\d[\\d_]*)?|\\.\\d[\\d_]*)(?:e[+-]?\\d[\\d_]*)?[\\w$]*)"},{token:"lparen",regex:"[({[]"},{token:"rparen",regex:"[)}\\]]",next:"key"},{token:"keyword.operator",regex:"\\S+"},{token:"text",regex:"\\s+"}],heregex:[{token:"string.regex",regex:".*?//[gimy$?]{0,4}",next:"start"},{token:"string.regex",regex:"\\s*#{"},{token:"comment.regex",regex:"\\s+(?:#.*)?"},{token:"string.regex",regex:"\\S+"}],key:[{token:"keyword.operator",regex:"[.?@!]+"},{token:"identifier",regex:t,next:"start"},{token:"text",regex:"",next:"start"}],comment:[{token:"comment.doc",regex:".*?\\*/",next:"start"},{token:"comment.doc",regex:".+"}],qdoc:[{token:"string",regex:".*?'''",next:"key"},i],qqdoc:[{token:"string",regex:'.*?"""',next:"key"},i],qstring:[{token:"string",regex:"[^\\\\']*(?:\\\\.[^\\\\']*)*'",next:"key"},i],qqstring:[{token:"string",regex:'[^\\\\"]*(?:\\\\.[^\\\\"]*)*"',next:"key"},i],js:[{token:"string",regex:"[^\\\\`]*(?:\\\\.[^\\\\`]*)*`",next:"key"},i],words:[{token:"string",regex:".*?\\]>",next:"key"},i]};for(var a in o){var s=o[a];if(s.splice)for(var l=0,u=s.length;l<u;++l){var c=s[l];"string"==typeof c.regex&&(o[a][l].regex=new RegExp("^"+c.regex))}else"string"==typeof c.regex&&(o[a].regex=new RegExp("^"+s.regex))}e.defineMIME("text/x-livescript","livescript")}(n(23326))},41574:(e,t,n)=>{!function(e){"use strict";e.defineMode("lua",(function(e,t){var n=e.indentUnit;function r(e){return new RegExp("^(?:"+e.join("|")+")$","i")}var i=r(t.specials||[]),o=r(["_G","_VERSION","assert","collectgarbage","dofile","error","getfenv","getmetatable","ipairs","load","loadfile","loadstring","module","next","pairs","pcall","print","rawequal","rawget","rawset","require","select","setfenv","setmetatable","tonumber","tostring","type","unpack","xpcall","coroutine.create","coroutine.resume","coroutine.running","coroutine.status","coroutine.wrap","coroutine.yield","debug.debug","debug.getfenv","debug.gethook","debug.getinfo","debug.getlocal","debug.getmetatable","debug.getregistry","debug.getupvalue","debug.setfenv","debug.sethook","debug.setlocal","debug.setmetatable","debug.setupvalue","debug.traceback","close","flush","lines","read","seek","setvbuf","write","io.close","io.flush","io.input","io.lines","io.open","io.output","io.popen","io.read","io.stderr","io.stdin","io.stdout","io.tmpfile","io.type","io.write","math.abs","math.acos","math.asin","math.atan","math.atan2","math.ceil","math.cos","math.cosh","math.deg","math.exp","math.floor","math.fmod","math.frexp","math.huge","math.ldexp","math.log","math.log10","math.max","math.min","math.modf","math.pi","math.pow","math.rad","math.random","math.randomseed","math.sin","math.sinh","math.sqrt","math.tan","math.tanh","os.clock","os.date","os.difftime","os.execute","os.exit","os.getenv","os.remove","os.rename","os.setlocale","os.time","os.tmpname","package.cpath","package.loaded","package.loaders","package.loadlib","package.path","package.preload","package.seeall","string.byte","string.char","string.dump","string.find","string.format","string.gmatch","string.gsub","string.len","string.lower","string.match","string.rep","string.reverse","string.sub","string.upper","table.concat","table.insert","table.maxn","table.remove","table.sort"]),a=r(["and","break","elseif","false","nil","not","or","return","true","function","end","if","then","else","do","while","repeat","until","for","in","local"]),s=r(["function","if","repeat","do","\\(","{"]),l=r(["end","until","\\)","}"]),u=new RegExp("^(?:"+["end","until","\\)","}","else","elseif"].join("|")+")","i");function c(e){for(var t=0;e.eat("=");)++t;return e.eat("["),t}function d(e,t){var n,r=e.next();return"-"==r&&e.eat("-")?e.eat("[")&&e.eat("[")?(t.cur=p(c(e),"comment"))(e,t):(e.skipToEnd(),"comment"):'"'==r||"'"==r?(t.cur=(n=r,function(e,t){for(var r,i=!1;null!=(r=e.next())&&(r!=n||i);)i=!i&&"\\"==r;return i||(t.cur=d),"string"}))(e,t):"["==r&&/[\[=]/.test(e.peek())?(t.cur=p(c(e),"string"))(e,t):/\d/.test(r)?(e.eatWhile(/[\w.%]/),"number"):/[\w_]/.test(r)?(e.eatWhile(/[\w\\\-_.]/),"variable"):null}function p(e,t){return function(n,r){for(var i,o=null;null!=(i=n.next());)if(null==o)"]"==i&&(o=0);else if("="==i)++o;else{if("]"==i&&o==e){r.cur=d;break}o=null}return t}}return{startState:function(e){return{basecol:e||0,indentDepth:0,cur:d}},token:function(e,t){if(e.eatSpace())return null;var n=t.cur(e,t),r=e.current();return"variable"==n&&(a.test(r)?n="keyword":o.test(r)?n="builtin":i.test(r)&&(n="variable-2")),"comment"!=n&&"string"!=n&&(s.test(r)?++t.indentDepth:l.test(r)&&--t.indentDepth),n},indent:function(e,t){var r=u.test(t);return e.basecol+n*(e.indentDepth-(r?1:0))},electricInput:/^\s*(?:end|until|else|\)|\})$/,lineComment:"--",blockCommentStart:"--[[",blockCommentEnd:"]]"}})),e.defineMIME("text/x-lua","lua")}(n(23326))},48033:(e,t,n)=>{!function(e){"use strict";e.defineMode("markdown",(function(t,n){var r=e.getMode(t,"text/html"),i="null"==r.name;void 0===n.highlightFormatting&&(n.highlightFormatting=!1),void 0===n.maxBlockquoteDepth&&(n.maxBlockquoteDepth=0),void 0===n.taskLists&&(n.taskLists=!1),void 0===n.strikethrough&&(n.strikethrough=!1),void 0===n.emoji&&(n.emoji=!1),void 0===n.fencedCodeBlockHighlighting&&(n.fencedCodeBlockHighlighting=!0),void 0===n.fencedCodeBlockDefaultMode&&(n.fencedCodeBlockDefaultMode="text/plain"),void 0===n.xml&&(n.xml=!0),void 0===n.tokenTypeOverrides&&(n.tokenTypeOverrides={});var o={header:"header",code:"comment",quote:"quote",list1:"variable-2",list2:"variable-3",list3:"keyword",hr:"hr",image:"image",imageAltText:"image-alt-text",imageMarker:"image-marker",formatting:"formatting",linkInline:"link",linkEmail:"link",linkText:"link",linkHref:"string",em:"em",strong:"strong",strikethrough:"strikethrough",emoji:"builtin"};for(var a in o)o.hasOwnProperty(a)&&n.tokenTypeOverrides[a]&&(o[a]=n.tokenTypeOverrides[a]);var s=/^([*\-_])(?:\s*\1){2,}\s*$/,l=/^(?:[*\-+]|^[0-9]+([.)]))\s+/,u=/^\[(x| )\](?=\s)/i,c=n.allowAtxHeaderWithoutSpace?/^(#+)/:/^(#+)(?: |$)/,d=/^ {0,3}(?:\={1,}|-{2,})\s*$/,p=/^[^#!\[\]*_\\<>` "'(~:]+/,f=/^(~~~+|```+)[ \t]*([\w\/+#-]*)[^\n`]*$/,h=/^\s*\[[^\]]+?\]:.*$/,m=/[!"#$%&'()*+,\-.\/:;<=>?@\[\\\]^_`{|}~\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u0AF0\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E42\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC9\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDF3C-\uDF3E]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]/;function g(e,t,n){return t.f=t.inline=n,n(e,t)}function v(e,t,n){return t.f=t.block=n,n(e,t)}function y(t){if(t.linkTitle=!1,t.linkHref=!1,t.linkText=!1,t.em=!1,t.strong=!1,t.strikethrough=!1,t.quote=0,t.indentedCode=!1,t.f==w){var n=i;if(!n){var o=e.innerMode(r,t.htmlState);n="xml"==o.mode.name&&null===o.state.tagStart&&!o.state.context&&o.state.tokenize.isInText}n&&(t.f=E,t.block=b,t.htmlState=null)}return t.trailingSpace=0,t.trailingSpaceNewLine=!1,t.prevLine=t.thisLine,t.thisLine={stream:null},null}function b(r,i){var a,p=r.column()===i.indentation,m=!(a=i.prevLine.stream)||!/\S/.test(a.string),v=i.indentedCode,y=i.prevLine.hr,b=!1!==i.list,w=(i.listStack[i.listStack.length-1]||0)+3;i.indentedCode=!1;var k=i.indentation;if(null===i.indentationDiff&&(i.indentationDiff=i.indentation,b)){for(i.list=null;k<i.listStack[i.listStack.length-1];)i.listStack.pop(),i.listStack.length?i.indentation=i.listStack[i.listStack.length-1]:i.list=!1;!1!==i.list&&(i.indentationDiff=k-i.listStack[i.listStack.length-1])}var E=!(m||y||i.prevLine.header||b&&v||i.prevLine.fencedCodeEnd),C=(!1===i.list||y||m)&&i.indentation<=w&&r.match(s),S=null;if(i.indentationDiff>=4&&(v||i.prevLine.fencedCodeEnd||i.prevLine.header||m))return r.skipToEnd(),i.indentedCode=!0,o.code;if(r.eatSpace())return null;if(p&&i.indentation<=w&&(S=r.match(c))&&S[1].length<=6)return i.quote=0,i.header=S[1].length,i.thisLine.header=!0,n.highlightFormatting&&(i.formatting="header"),i.f=i.inline,x(i);if(i.indentation<=w&&r.eat(">"))return i.quote=p?1:i.quote+1,n.highlightFormatting&&(i.formatting="quote"),r.eatSpace(),x(i);if(!C&&!i.setext&&p&&i.indentation<=w&&(S=r.match(l))){var A=S[1]?"ol":"ul";return i.indentation=k+r.current().length,i.list=!0,i.quote=0,i.listStack.push(i.indentation),i.em=!1,i.strong=!1,i.code=!1,i.strikethrough=!1,n.taskLists&&r.match(u,!1)&&(i.taskList=!0),i.f=i.inline,n.highlightFormatting&&(i.formatting=["list","list-"+A]),x(i)}return p&&i.indentation<=w&&(S=r.match(f,!0))?(i.quote=0,i.fencedEndRE=new RegExp(S[1]+"+ *$"),i.localMode=n.fencedCodeBlockHighlighting&&function(n){if(e.findModeByName){var r=e.findModeByName(n);r&&(n=r.mime||r.mimes[0])}var i=e.getMode(t,n);return"null"==i.name?null:i}(S[2]||n.fencedCodeBlockDefaultMode),i.localMode&&(i.localState=e.startState(i.localMode)),i.f=i.block=_,n.highlightFormatting&&(i.formatting="code-block"),i.code=-1,x(i)):i.setext||!(E&&b||i.quote||!1!==i.list||i.code||C||h.test(r.string))&&(S=r.lookAhead(1))&&(S=S.match(d))?(i.setext?(i.header=i.setext,i.setext=0,r.skipToEnd(),n.highlightFormatting&&(i.formatting="header")):(i.header="="==S[0].charAt(0)?1:2,i.setext=i.header),i.thisLine.header=!0,i.f=i.inline,x(i)):C?(r.skipToEnd(),i.hr=!0,i.thisLine.hr=!0,o.hr):"["===r.peek()?g(r,i,T):g(r,i,i.inline)}function w(t,n){var o=r.token(t,n.htmlState);if(!i){var a=e.innerMode(r,n.htmlState);("xml"==a.mode.name&&null===a.state.tagStart&&!a.state.context&&a.state.tokenize.isInText||n.md_inside&&t.current().indexOf(">")>-1)&&(n.f=E,n.block=b,n.htmlState=null)}return o}function _(e,t){var r,i=t.listStack[t.listStack.length-1]||0,a=t.indentation<i,s=i+3;return t.fencedEndRE&&t.indentation<=s&&(a||e.match(t.fencedEndRE))?(n.highlightFormatting&&(t.formatting="code-block"),a||(r=x(t)),t.localMode=t.localState=null,t.block=b,t.f=E,t.fencedEndRE=null,t.code=0,t.thisLine.fencedCodeEnd=!0,a?v(e,t,t.block):r):t.localMode?t.localMode.token(e,t.localState):(e.skipToEnd(),o.code)}function x(e){var t=[];if(e.formatting){t.push(o.formatting),"string"==typeof e.formatting&&(e.formatting=[e.formatting]);for(var r=0;r<e.formatting.length;r++)t.push(o.formatting+"-"+e.formatting[r]),"header"===e.formatting[r]&&t.push(o.formatting+"-"+e.formatting[r]+"-"+e.header),"quote"===e.formatting[r]&&(!n.maxBlockquoteDepth||n.maxBlockquoteDepth>=e.quote?t.push(o.formatting+"-"+e.formatting[r]+"-"+e.quote):t.push("error"))}if(e.taskOpen)return t.push("meta"),t.length?t.join(" "):null;if(e.taskClosed)return t.push("property"),t.length?t.join(" "):null;if(e.linkHref?t.push(o.linkHref,"url"):(e.strong&&t.push(o.strong),e.em&&t.push(o.em),e.strikethrough&&t.push(o.strikethrough),e.emoji&&t.push(o.emoji),e.linkText&&t.push(o.linkText),e.code&&t.push(o.code),e.image&&t.push(o.image),e.imageAltText&&t.push(o.imageAltText,"link"),e.imageMarker&&t.push(o.imageMarker)),e.header&&t.push(o.header,o.header+"-"+e.header),e.quote&&(t.push(o.quote),!n.maxBlockquoteDepth||n.maxBlockquoteDepth>=e.quote?t.push(o.quote+"-"+e.quote):t.push(o.quote+"-"+n.maxBlockquoteDepth)),!1!==e.list){var i=(e.listStack.length-1)%3;i?1===i?t.push(o.list2):t.push(o.list3):t.push(o.list1)}return e.trailingSpaceNewLine?t.push("trailing-space-new-line"):e.trailingSpace&&t.push("trailing-space-"+(e.trailingSpace%2?"a":"b")),t.length?t.join(" "):null}function k(e,t){if(e.match(p,!0))return x(t)}function E(t,i){var a=i.text(t,i);if(void 0!==a)return a;if(i.list)return i.list=null,x(i);if(i.taskList)return" "===t.match(u,!0)[1]?i.taskOpen=!0:i.taskClosed=!0,n.highlightFormatting&&(i.formatting="task"),i.taskList=!1,x(i);if(i.taskOpen=!1,i.taskClosed=!1,i.header&&t.match(/^#+$/,!0))return n.highlightFormatting&&(i.formatting="header"),x(i);var s=t.next();if(i.linkTitle){i.linkTitle=!1;var l=s;"("===s&&(l=")");var c="^\\s*(?:[^"+(l=(l+"").replace(/([.?*+^\[\]\\(){}|-])/g,"\\$1"))+"\\\\]+|\\\\\\\\|\\\\.)"+l;if(t.match(new RegExp(c),!0))return o.linkHref}if("`"===s){var d=i.formatting;n.highlightFormatting&&(i.formatting="code"),t.eatWhile("`");var p=t.current().length;if(0!=i.code||i.quote&&1!=p){if(p==i.code){var f=x(i);return i.code=0,f}return i.formatting=d,x(i)}return i.code=p,x(i)}if(i.code)return x(i);if("\\"===s&&(t.next(),n.highlightFormatting)){var h=x(i),g=o.formatting+"-escape";return h?h+" "+g:g}if("!"===s&&t.match(/\[[^\]]*\] ?(?:\(|\[)/,!1))return i.imageMarker=!0,i.image=!0,n.highlightFormatting&&(i.formatting="image"),x(i);if("["===s&&i.imageMarker&&t.match(/[^\]]*\](\(.*?\)| ?\[.*?\])/,!1))return i.imageMarker=!1,i.imageAltText=!0,n.highlightFormatting&&(i.formatting="image"),x(i);if("]"===s&&i.imageAltText){n.highlightFormatting&&(i.formatting="image");h=x(i);return i.imageAltText=!1,i.image=!1,i.inline=i.f=S,h}if("["===s&&!i.image)return i.linkText&&t.match(/^.*?\]/)||(i.linkText=!0,n.highlightFormatting&&(i.formatting="link")),x(i);if("]"===s&&i.linkText){n.highlightFormatting&&(i.formatting="link");h=x(i);return i.linkText=!1,i.inline=i.f=t.match(/\(.*?\)| ?\[.*?\]/,!1)?S:E,h}if("<"===s&&t.match(/^(https?|ftps?):\/\/(?:[^\\>]|\\.)+>/,!1))return i.f=i.inline=C,n.highlightFormatting&&(i.formatting="link"),(h=x(i))?h+=" ":h="",h+o.linkInline;if("<"===s&&t.match(/^[^> \\]+@(?:[^\\>]|\\.)+>/,!1))return i.f=i.inline=C,n.highlightFormatting&&(i.formatting="link"),(h=x(i))?h+=" ":h="",h+o.linkEmail;if(n.xml&&"<"===s&&t.match(/^(!--|\?|!\[CDATA\[|[a-z][a-z0-9-]*(?:\s+[a-z_:.\-]+(?:\s*=\s*[^>]+)?)*\s*(?:>|$))/i,!1)){var y=t.string.indexOf(">",t.pos);if(-1!=y){var b=t.string.substring(t.start,y);/markdown\s*=\s*('|"){0,1}1('|"){0,1}/.test(b)&&(i.md_inside=!0)}return t.backUp(1),i.htmlState=e.startState(r),v(t,i,w)}if(n.xml&&"<"===s&&t.match(/^\/\w*?>/))return i.md_inside=!1,"tag";if("*"===s||"_"===s){for(var _=1,k=1==t.pos?" ":t.string.charAt(t.pos-2);_<3&&t.eat(s);)_++;var A=t.peek()||" ",T=!/\s/.test(A)&&(!m.test(A)||/\s/.test(k)||m.test(k)),O=!/\s/.test(k)&&(!m.test(k)||/\s/.test(A)||m.test(A)),P=null,D=null;if(_%2&&(i.em||!T||"*"!==s&&O&&!m.test(k)?i.em!=s||!O||"*"!==s&&T&&!m.test(A)||(P=!1):P=!0),_>1&&(i.strong||!T||"*"!==s&&O&&!m.test(k)?i.strong!=s||!O||"*"!==s&&T&&!m.test(A)||(D=!1):D=!0),null!=D||null!=P)return n.highlightFormatting&&(i.formatting=null==P?"strong":null==D?"em":"strong em"),!0===P&&(i.em=s),!0===D&&(i.strong=s),f=x(i),!1===P&&(i.em=!1),!1===D&&(i.strong=!1),f}else if(" "===s&&(t.eat("*")||t.eat("_"))){if(" "===t.peek())return x(i);t.backUp(1)}if(n.strikethrough)if("~"===s&&t.eatWhile(s)){if(i.strikethrough)return n.highlightFormatting&&(i.formatting="strikethrough"),f=x(i),i.strikethrough=!1,f;if(t.match(/^[^\s]/,!1))return i.strikethrough=!0,n.highlightFormatting&&(i.formatting="strikethrough"),x(i)}else if(" "===s&&t.match("~~",!0)){if(" "===t.peek())return x(i);t.backUp(2)}if(n.emoji&&":"===s&&t.match(/^(?:[a-z_\d+][a-z_\d+-]*|\-[a-z_\d+][a-z_\d+-]*):/)){i.emoji=!0,n.highlightFormatting&&(i.formatting="emoji");var R=x(i);return i.emoji=!1,R}return" "===s&&(t.match(/^ +$/,!1)?i.trailingSpace++:i.trailingSpace&&(i.trailingSpaceNewLine=!0)),x(i)}function C(e,t){if(">"===e.next()){t.f=t.inline=E,n.highlightFormatting&&(t.formatting="link");var r=x(t);return r?r+=" ":r="",r+o.linkInline}return e.match(/^[^>]+/,!0),o.linkInline}function S(e,t){if(e.eatSpace())return null;var r,i=e.next();return"("===i||"["===i?(t.f=t.inline=(r="("===i?")":"]",function(e,t){if(e.next()===r){t.f=t.inline=E,n.highlightFormatting&&(t.formatting="link-string");var i=x(t);return t.linkHref=!1,i}return e.match(A[r]),t.linkHref=!0,x(t)}),n.highlightFormatting&&(t.formatting="link-string"),t.linkHref=!0,x(t)):"error"}var A={")":/^(?:[^\\\(\)]|\\.|\((?:[^\\\(\)]|\\.)*\))*?(?=\))/,"]":/^(?:[^\\\[\]]|\\.|\[(?:[^\\\[\]]|\\.)*\])*?(?=\])/};function T(e,t){return e.match(/^([^\]\\]|\\.)*\]:/,!1)?(t.f=O,e.next(),n.highlightFormatting&&(t.formatting="link"),t.linkText=!0,x(t)):g(e,t,E)}function O(e,t){if(e.match("]:",!0)){t.f=t.inline=P,n.highlightFormatting&&(t.formatting="link");var r=x(t);return t.linkText=!1,r}return e.match(/^([^\]\\]|\\.)+/,!0),o.linkText}function P(e,t){return e.eatSpace()?null:(e.match(/^[^\s]+/,!0),void 0===e.peek()?t.linkTitle=!0:e.match(/^(?:\s+(?:"(?:[^"\\]|\\.)+"|'(?:[^'\\]|\\.)+'|\((?:[^)\\]|\\.)+\)))?/,!0),t.f=t.inline=E,o.linkHref+" url")}var D={startState:function(){return{f:b,prevLine:{stream:null},thisLine:{stream:null},block:b,htmlState:null,indentation:0,inline:E,text:k,formatting:!1,linkText:!1,linkHref:!1,linkTitle:!1,code:0,em:!1,strong:!1,header:0,setext:0,hr:!1,taskList:!1,list:!1,listStack:[],quote:0,trailingSpace:0,trailingSpaceNewLine:!1,strikethrough:!1,emoji:!1,fencedEndRE:null}},copyState:function(t){return{f:t.f,prevLine:t.prevLine,thisLine:t.thisLine,block:t.block,htmlState:t.htmlState&&e.copyState(r,t.htmlState),indentation:t.indentation,localMode:t.localMode,localState:t.localMode?e.copyState(t.localMode,t.localState):null,inline:t.inline,text:t.text,formatting:!1,linkText:t.linkText,linkTitle:t.linkTitle,linkHref:t.linkHref,code:t.code,em:t.em,strong:t.strong,strikethrough:t.strikethrough,emoji:t.emoji,header:t.header,setext:t.setext,hr:t.hr,taskList:t.taskList,list:t.list,listStack:t.listStack.slice(0),quote:t.quote,indentedCode:t.indentedCode,trailingSpace:t.trailingSpace,trailingSpaceNewLine:t.trailingSpaceNewLine,md_inside:t.md_inside,fencedEndRE:t.fencedEndRE}},token:function(e,t){if(t.formatting=!1,e!=t.thisLine.stream){if(t.header=0,t.hr=!1,e.match(/^\s*$/,!0))return y(t),null;if(t.prevLine=t.thisLine,t.thisLine={stream:e},t.taskList=!1,t.trailingSpace=0,t.trailingSpaceNewLine=!1,!t.localState&&(t.f=t.block,t.f!=w)){var n=e.match(/^\s*/,!0)[0].replace(/\t/g," ").length;if(t.indentation=n,t.indentationDiff=null,n>0)return null}}return t.f(e,t)},innerMode:function(e){return e.block==w?{state:e.htmlState,mode:r}:e.localState?{state:e.localState,mode:e.localMode}:{state:e,mode:D}},indent:function(t,n,i){return t.block==w&&r.indent?r.indent(t.htmlState,n,i):t.localState&&t.localMode.indent?t.localMode.indent(t.localState,n,i):e.Pass},blankLine:y,getType:x,blockCommentStart:"\x3c!--",blockCommentEnd:"--\x3e",closeBrackets:"()[]{}''\"\"``",fold:"markdown"};return D}),"xml"),e.defineMIME("text/markdown","markdown"),e.defineMIME("text/x-markdown","markdown")}(n(23326),n(9812),n(2312))},87448:(e,t,n)=>{!function(e){"use strict";e.defineMode("mathematica",(function(e,t){var n="[a-zA-Z\\$][a-zA-Z0-9\\$]*",r="(?:\\.\\d+|\\d+\\.\\d*|\\d+)",i="(?:`(?:`?"+r+")?)",o=new RegExp("(?:(?:\\d+)(?:\\^\\^(?:\\.\\w+|\\w+\\.\\w*|\\w+)"+i+"?(?:\\*\\^[+-]?\\d+)?))"),a=new RegExp("(?:"+r+i+"?(?:\\*\\^[+-]?\\d+)?)"),s=new RegExp("(?:`?)(?:"+n+")(?:`(?:"+n+"))*(?:`?)");function l(e,t){var n;return'"'===(n=e.next())?(t.tokenize=u,t.tokenize(e,t)):"("===n&&e.eat("*")?(t.commentLevel++,t.tokenize=c,t.tokenize(e,t)):(e.backUp(1),e.match(o,!0,!1)||e.match(a,!0,!1)?"number":e.match(/(?:In|Out)\[[0-9]*\]/,!0,!1)?"atom":e.match(/([a-zA-Z\$][a-zA-Z0-9\$]*(?:`[a-zA-Z0-9\$]+)*::usage)/,!0,!1)?"meta":e.match(/([a-zA-Z\$][a-zA-Z0-9\$]*(?:`[a-zA-Z0-9\$]+)*::[a-zA-Z\$][a-zA-Z0-9\$]*):?/,!0,!1)?"string-2":e.match(/([a-zA-Z\$][a-zA-Z0-9\$]*\s*:)(?:(?:[a-zA-Z\$][a-zA-Z0-9\$]*)|(?:[^:=>~@\^\&\*\)\[\]'\?,\|])).*/,!0,!1)||e.match(/[a-zA-Z\$][a-zA-Z0-9\$]*_+[a-zA-Z\$][a-zA-Z0-9\$]*/,!0,!1)||e.match(/[a-zA-Z\$][a-zA-Z0-9\$]*_+/,!0,!1)||e.match(/_+[a-zA-Z\$][a-zA-Z0-9\$]*/,!0,!1)?"variable-2":e.match(/\\\[[a-zA-Z\$][a-zA-Z0-9\$]*\]/,!0,!1)?"variable-3":e.match(/(?:\[|\]|{|}|\(|\))/,!0,!1)?"bracket":e.match(/(?:#[a-zA-Z\$][a-zA-Z0-9\$]*|#+[0-9]?)/,!0,!1)?"variable-2":e.match(s,!0,!1)?"keyword":e.match(/(?:\\|\+|\-|\*|\/|,|;|\.|:|@|~|=|>|<|&|\||_|`|'|\^|\?|!|%)/,!0,!1)?"operator":(e.next(),"error"))}function u(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if('"'===n&&!i){r=!0;break}i=!i&&"\\"===n}return r&&!i&&(t.tokenize=l),"string"}function c(e,t){for(var n,r;t.commentLevel>0&&null!=(r=e.next());)"("===n&&"*"===r&&t.commentLevel++,"*"===n&&")"===r&&t.commentLevel--,n=r;return t.commentLevel<=0&&(t.tokenize=l),"comment"}return{startState:function(){return{tokenize:l,commentLevel:0}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},blockCommentStart:"(*",blockCommentEnd:"*)"}})),e.defineMIME("text/x-mathematica",{name:"mathematica"})}(n(23326))},80704:(e,t,n)=>{!function(e){"use strict";var t=["From","Sender","Reply-To","To","Cc","Bcc","Message-ID","In-Reply-To","References","Resent-From","Resent-Sender","Resent-To","Resent-Cc","Resent-Bcc","Resent-Message-ID","Return-Path","Received"],n=["Date","Subject","Comments","Keywords","Resent-Date"];e.registerHelper("hintWords","mbox",t.concat(n));var r=/^[ \t]/,i=/^From /,o=new RegExp("^("+t.join("|")+"): "),a=new RegExp("^("+n.join("|")+"): "),s=/^[^:]+:/,l=/^[^ ]+@[^ ]+/,u=/^.*?(?=[^ ]+?@[^ ]+)/,c=/^<.*?>/,d=/^.*?(?=<.*>)/;function p(e,t){if(e.sol()){if(t.inSeparator=!1,t.inHeader&&e.match(r))return null;if(t.inHeader=!1,t.header=null,e.match(i))return t.inHeaders=!0,t.inSeparator=!0,"atom";var n,p=!1;return(n=e.match(a))||(p=!0)&&(n=e.match(o))?(t.inHeaders=!0,t.inHeader=!0,t.emailPermitted=p,t.header=n[1],"atom"):t.inHeaders&&(n=e.match(s))?(t.inHeader=!0,t.emailPermitted=!0,t.header=n[1],"atom"):(t.inHeaders=!1,e.skipToEnd(),null)}if(t.inSeparator)return e.match(l)?"link":(e.match(u)||e.skipToEnd(),"atom");if(t.inHeader){var f=function(e){return"Subject"===e?"header":"string"}(t.header);if(t.emailPermitted){if(e.match(c))return f+" link";if(e.match(d))return f}return e.skipToEnd(),f}return e.skipToEnd(),null}e.defineMode("mbox",(function(){return{startState:function(){return{inSeparator:!1,inHeader:!1,emailPermitted:!1,header:null,inHeaders:!1}},token:p,blankLine:function(e){e.inHeaders=e.inSeparator=e.inHeader=!1}}})),e.defineMIME("application/mbox","mbox")}(n(23326))},2312:(e,t,n)=>{!function(e){"use strict";e.modeInfo=[{name:"APL",mime:"text/apl",mode:"apl",ext:["dyalog","apl"]},{name:"PGP",mimes:["application/pgp","application/pgp-encrypted","application/pgp-keys","application/pgp-signature"],mode:"asciiarmor",ext:["asc","pgp","sig"]},{name:"ASN.1",mime:"text/x-ttcn-asn",mode:"asn.1",ext:["asn","asn1"]},{name:"Asterisk",mime:"text/x-asterisk",mode:"asterisk",file:/^extensions\.conf$/i},{name:"Brainfuck",mime:"text/x-brainfuck",mode:"brainfuck",ext:["b","bf"]},{name:"C",mime:"text/x-csrc",mode:"clike",ext:["c","h","ino"]},{name:"C++",mime:"text/x-c++src",mode:"clike",ext:["cpp","c++","cc","cxx","hpp","h++","hh","hxx"],alias:["cpp"]},{name:"Cobol",mime:"text/x-cobol",mode:"cobol",ext:["cob","cpy","cbl"]},{name:"C#",mime:"text/x-csharp",mode:"clike",ext:["cs"],alias:["csharp","cs"]},{name:"Clojure",mime:"text/x-clojure",mode:"clojure",ext:["clj","cljc","cljx"]},{name:"ClojureScript",mime:"text/x-clojurescript",mode:"clojure",ext:["cljs"]},{name:"Closure Stylesheets (GSS)",mime:"text/x-gss",mode:"css",ext:["gss"]},{name:"CMake",mime:"text/x-cmake",mode:"cmake",ext:["cmake","cmake.in"],file:/^CMakeLists\.txt$/},{name:"CoffeeScript",mimes:["application/vnd.coffeescript","text/coffeescript","text/x-coffeescript"],mode:"coffeescript",ext:["coffee"],alias:["coffee","coffee-script"]},{name:"Common Lisp",mime:"text/x-common-lisp",mode:"commonlisp",ext:["cl","lisp","el"],alias:["lisp"]},{name:"Cypher",mime:"application/x-cypher-query",mode:"cypher",ext:["cyp","cypher"]},{name:"Cython",mime:"text/x-cython",mode:"python",ext:["pyx","pxd","pxi"]},{name:"Crystal",mime:"text/x-crystal",mode:"crystal",ext:["cr"]},{name:"CSS",mime:"text/css",mode:"css",ext:["css"]},{name:"CQL",mime:"text/x-cassandra",mode:"sql",ext:["cql"]},{name:"D",mime:"text/x-d",mode:"d",ext:["d"]},{name:"Dart",mimes:["application/dart","text/x-dart"],mode:"dart",ext:["dart"]},{name:"diff",mime:"text/x-diff",mode:"diff",ext:["diff","patch"]},{name:"Django",mime:"text/x-django",mode:"django"},{name:"Dockerfile",mime:"text/x-dockerfile",mode:"dockerfile",file:/^Dockerfile$/},{name:"DTD",mime:"application/xml-dtd",mode:"dtd",ext:["dtd"]},{name:"Dylan",mime:"text/x-dylan",mode:"dylan",ext:["dylan","dyl","intr"]},{name:"EBNF",mime:"text/x-ebnf",mode:"ebnf"},{name:"ECL",mime:"text/x-ecl",mode:"ecl",ext:["ecl"]},{name:"edn",mime:"application/edn",mode:"clojure",ext:["edn"]},{name:"Eiffel",mime:"text/x-eiffel",mode:"eiffel",ext:["e"]},{name:"Elm",mime:"text/x-elm",mode:"elm",ext:["elm"]},{name:"Embedded JavaScript",mime:"application/x-ejs",mode:"htmlembedded",ext:["ejs"]},{name:"Embedded Ruby",mime:"application/x-erb",mode:"htmlembedded",ext:["erb"]},{name:"Erlang",mime:"text/x-erlang",mode:"erlang",ext:["erl"]},{name:"Esper",mime:"text/x-esper",mode:"sql"},{name:"Factor",mime:"text/x-factor",mode:"factor",ext:["factor"]},{name:"FCL",mime:"text/x-fcl",mode:"fcl"},{name:"Forth",mime:"text/x-forth",mode:"forth",ext:["forth","fth","4th"]},{name:"Fortran",mime:"text/x-fortran",mode:"fortran",ext:["f","for","f77","f90","f95"]},{name:"F#",mime:"text/x-fsharp",mode:"mllike",ext:["fs"],alias:["fsharp"]},{name:"Gas",mime:"text/x-gas",mode:"gas",ext:["s"]},{name:"Gherkin",mime:"text/x-feature",mode:"gherkin",ext:["feature"]},{name:"GitHub Flavored Markdown",mime:"text/x-gfm",mode:"gfm",file:/^(readme|contributing|history)\.md$/i},{name:"Go",mime:"text/x-go",mode:"go",ext:["go"]},{name:"Groovy",mime:"text/x-groovy",mode:"groovy",ext:["groovy","gradle"],file:/^Jenkinsfile$/},{name:"HAML",mime:"text/x-haml",mode:"haml",ext:["haml"]},{name:"Haskell",mime:"text/x-haskell",mode:"haskell",ext:["hs"]},{name:"Haskell (Literate)",mime:"text/x-literate-haskell",mode:"haskell-literate",ext:["lhs"]},{name:"Haxe",mime:"text/x-haxe",mode:"haxe",ext:["hx"]},{name:"HXML",mime:"text/x-hxml",mode:"haxe",ext:["hxml"]},{name:"ASP.NET",mime:"application/x-aspx",mode:"htmlembedded",ext:["aspx"],alias:["asp","aspx"]},{name:"HTML",mime:"text/html",mode:"htmlmixed",ext:["html","htm","handlebars","hbs"],alias:["xhtml"]},{name:"HTTP",mime:"message/http",mode:"http"},{name:"IDL",mime:"text/x-idl",mode:"idl",ext:["pro"]},{name:"Pug",mime:"text/x-pug",mode:"pug",ext:["jade","pug"],alias:["jade"]},{name:"Java",mime:"text/x-java",mode:"clike",ext:["java"]},{name:"Java Server Pages",mime:"application/x-jsp",mode:"htmlembedded",ext:["jsp"],alias:["jsp"]},{name:"JavaScript",mimes:["text/javascript","text/ecmascript","application/javascript","application/x-javascript","application/ecmascript"],mode:"javascript",ext:["js"],alias:["ecmascript","js","node"]},{name:"JSON",mimes:["application/json","application/x-json"],mode:"javascript",ext:["json","map"],alias:["json5"]},{name:"JSON-LD",mime:"application/ld+json",mode:"javascript",ext:["jsonld"],alias:["jsonld"]},{name:"JSX",mime:"text/jsx",mode:"jsx",ext:["jsx"]},{name:"Jinja2",mime:"text/jinja2",mode:"jinja2",ext:["j2","jinja","jinja2"]},{name:"Julia",mime:"text/x-julia",mode:"julia",ext:["jl"],alias:["jl"]},{name:"Kotlin",mime:"text/x-kotlin",mode:"clike",ext:["kt"]},{name:"LESS",mime:"text/x-less",mode:"css",ext:["less"]},{name:"LiveScript",mime:"text/x-livescript",mode:"livescript",ext:["ls"],alias:["ls"]},{name:"Lua",mime:"text/x-lua",mode:"lua",ext:["lua"]},{name:"Markdown",mime:"text/x-markdown",mode:"markdown",ext:["markdown","md","mkd"]},{name:"mIRC",mime:"text/mirc",mode:"mirc"},{name:"MariaDB SQL",mime:"text/x-mariadb",mode:"sql"},{name:"Mathematica",mime:"text/x-mathematica",mode:"mathematica",ext:["m","nb","wl","wls"]},{name:"Modelica",mime:"text/x-modelica",mode:"modelica",ext:["mo"]},{name:"MUMPS",mime:"text/x-mumps",mode:"mumps",ext:["mps"]},{name:"MS SQL",mime:"text/x-mssql",mode:"sql"},{name:"mbox",mime:"application/mbox",mode:"mbox",ext:["mbox"]},{name:"MySQL",mime:"text/x-mysql",mode:"sql"},{name:"Nginx",mime:"text/x-nginx-conf",mode:"nginx",file:/nginx.*\.conf$/i},{name:"NSIS",mime:"text/x-nsis",mode:"nsis",ext:["nsh","nsi"]},{name:"NTriples",mimes:["application/n-triples","application/n-quads","text/n-triples"],mode:"ntriples",ext:["nt","nq"]},{name:"Objective-C",mime:"text/x-objectivec",mode:"clike",ext:["m"],alias:["objective-c","objc"]},{name:"Objective-C++",mime:"text/x-objectivec++",mode:"clike",ext:["mm"],alias:["objective-c++","objc++"]},{name:"OCaml",mime:"text/x-ocaml",mode:"mllike",ext:["ml","mli","mll","mly"]},{name:"Octave",mime:"text/x-octave",mode:"octave",ext:["m"]},{name:"Oz",mime:"text/x-oz",mode:"oz",ext:["oz"]},{name:"Pascal",mime:"text/x-pascal",mode:"pascal",ext:["p","pas"]},{name:"PEG.js",mime:"null",mode:"pegjs",ext:["jsonld"]},{name:"Perl",mime:"text/x-perl",mode:"perl",ext:["pl","pm"]},{name:"PHP",mimes:["text/x-php","application/x-httpd-php","application/x-httpd-php-open"],mode:"php",ext:["php","php3","php4","php5","php7","phtml"]},{name:"Pig",mime:"text/x-pig",mode:"pig",ext:["pig"]},{name:"Plain Text",mime:"text/plain",mode:"null",ext:["txt","text","conf","def","list","log"]},{name:"PLSQL",mime:"text/x-plsql",mode:"sql",ext:["pls"]},{name:"PostgreSQL",mime:"text/x-pgsql",mode:"sql"},{name:"PowerShell",mime:"application/x-powershell",mode:"powershell",ext:["ps1","psd1","psm1"]},{name:"Properties files",mime:"text/x-properties",mode:"properties",ext:["properties","ini","in"],alias:["ini","properties"]},{name:"ProtoBuf",mime:"text/x-protobuf",mode:"protobuf",ext:["proto"]},{name:"Python",mime:"text/x-python",mode:"python",ext:["BUILD","bzl","py","pyw"],file:/^(BUCK|BUILD)$/},{name:"Puppet",mime:"text/x-puppet",mode:"puppet",ext:["pp"]},{name:"Q",mime:"text/x-q",mode:"q",ext:["q"]},{name:"R",mime:"text/x-rsrc",mode:"r",ext:["r","R"],alias:["rscript"]},{name:"reStructuredText",mime:"text/x-rst",mode:"rst",ext:["rst"],alias:["rst"]},{name:"RPM Changes",mime:"text/x-rpm-changes",mode:"rpm"},{name:"RPM Spec",mime:"text/x-rpm-spec",mode:"rpm",ext:["spec"]},{name:"Ruby",mime:"text/x-ruby",mode:"ruby",ext:["rb"],alias:["jruby","macruby","rake","rb","rbx"]},{name:"Rust",mime:"text/x-rustsrc",mode:"rust",ext:["rs"]},{name:"SAS",mime:"text/x-sas",mode:"sas",ext:["sas"]},{name:"Sass",mime:"text/x-sass",mode:"sass",ext:["sass"]},{name:"Scala",mime:"text/x-scala",mode:"clike",ext:["scala"]},{name:"Scheme",mime:"text/x-scheme",mode:"scheme",ext:["scm","ss"]},{name:"SCSS",mime:"text/x-scss",mode:"css",ext:["scss"]},{name:"Shell",mimes:["text/x-sh","application/x-sh"],mode:"shell",ext:["sh","ksh","bash"],alias:["bash","sh","zsh"],file:/^PKGBUILD$/},{name:"Sieve",mime:"application/sieve",mode:"sieve",ext:["siv","sieve"]},{name:"Slim",mimes:["text/x-slim","application/x-slim"],mode:"slim",ext:["slim"]},{name:"Smalltalk",mime:"text/x-stsrc",mode:"smalltalk",ext:["st"]},{name:"Smarty",mime:"text/x-smarty",mode:"smarty",ext:["tpl"]},{name:"Solr",mime:"text/x-solr",mode:"solr"},{name:"SML",mime:"text/x-sml",mode:"mllike",ext:["sml","sig","fun","smackspec"]},{name:"Soy",mime:"text/x-soy",mode:"soy",ext:["soy"],alias:["closure template"]},{name:"SPARQL",mime:"application/sparql-query",mode:"sparql",ext:["rq","sparql"],alias:["sparul"]},{name:"Spreadsheet",mime:"text/x-spreadsheet",mode:"spreadsheet",alias:["excel","formula"]},{name:"SQL",mime:"text/x-sql",mode:"sql",ext:["sql"]},{name:"SQLite",mime:"text/x-sqlite",mode:"sql"},{name:"Squirrel",mime:"text/x-squirrel",mode:"clike",ext:["nut"]},{name:"Stylus",mime:"text/x-styl",mode:"stylus",ext:["styl"]},{name:"Swift",mime:"text/x-swift",mode:"swift",ext:["swift"]},{name:"sTeX",mime:"text/x-stex",mode:"stex"},{name:"LaTeX",mime:"text/x-latex",mode:"stex",ext:["text","ltx","tex"],alias:["tex"]},{name:"SystemVerilog",mime:"text/x-systemverilog",mode:"verilog",ext:["v","sv","svh"]},{name:"Tcl",mime:"text/x-tcl",mode:"tcl",ext:["tcl"]},{name:"Textile",mime:"text/x-textile",mode:"textile",ext:["textile"]},{name:"TiddlyWiki",mime:"text/x-tiddlywiki",mode:"tiddlywiki"},{name:"Tiki wiki",mime:"text/tiki",mode:"tiki"},{name:"TOML",mime:"text/x-toml",mode:"toml",ext:["toml"]},{name:"Tornado",mime:"text/x-tornado",mode:"tornado"},{name:"troff",mime:"text/troff",mode:"troff",ext:["1","2","3","4","5","6","7","8","9"]},{name:"TTCN",mime:"text/x-ttcn",mode:"ttcn",ext:["ttcn","ttcn3","ttcnpp"]},{name:"TTCN_CFG",mime:"text/x-ttcn-cfg",mode:"ttcn-cfg",ext:["cfg"]},{name:"Turtle",mime:"text/turtle",mode:"turtle",ext:["ttl"]},{name:"TypeScript",mime:"application/typescript",mode:"javascript",ext:["ts"],alias:["ts"]},{name:"TypeScript-JSX",mime:"text/typescript-jsx",mode:"jsx",ext:["tsx"],alias:["tsx"]},{name:"Twig",mime:"text/x-twig",mode:"twig"},{name:"Web IDL",mime:"text/x-webidl",mode:"webidl",ext:["webidl"]},{name:"VB.NET",mime:"text/x-vb",mode:"vb",ext:["vb"]},{name:"VBScript",mime:"text/vbscript",mode:"vbscript",ext:["vbs"]},{name:"Velocity",mime:"text/velocity",mode:"velocity",ext:["vtl"]},{name:"Verilog",mime:"text/x-verilog",mode:"verilog",ext:["v"]},{name:"VHDL",mime:"text/x-vhdl",mode:"vhdl",ext:["vhd","vhdl"]},{name:"Vue.js Component",mimes:["script/x-vue","text/x-vue"],mode:"vue",ext:["vue"]},{name:"XML",mimes:["application/xml","text/xml"],mode:"xml",ext:["xml","xsl","xsd","svg"],alias:["rss","wsdl","xsd"]},{name:"XQuery",mime:"application/xquery",mode:"xquery",ext:["xy","xquery"]},{name:"Yacas",mime:"text/x-yacas",mode:"yacas",ext:["ys"]},{name:"YAML",mimes:["text/x-yaml","text/yaml"],mode:"yaml",ext:["yaml","yml"],alias:["yml"]},{name:"Z80",mime:"text/x-z80",mode:"z80",ext:["z80"]},{name:"mscgen",mime:"text/x-mscgen",mode:"mscgen",ext:["mscgen","mscin","msc"]},{name:"xu",mime:"text/x-xu",mode:"mscgen",ext:["xu"]},{name:"msgenny",mime:"text/x-msgenny",mode:"mscgen",ext:["msgenny"]},{name:"WebAssembly",mime:"text/webassembly",mode:"wast",ext:["wat","wast"]}];for(var t=0;t<e.modeInfo.length;t++){var n=e.modeInfo[t];n.mimes&&(n.mime=n.mimes[0])}e.findModeByMIME=function(t){t=t.toLowerCase();for(var n=0;n<e.modeInfo.length;n++){var r=e.modeInfo[n];if(r.mime==t)return r;if(r.mimes)for(var i=0;i<r.mimes.length;i++)if(r.mimes[i]==t)return r}return/\+xml$/.test(t)?e.findModeByMIME("application/xml"):/\+json$/.test(t)?e.findModeByMIME("application/json"):void 0},e.findModeByExtension=function(t){t=t.toLowerCase();for(var n=0;n<e.modeInfo.length;n++){var r=e.modeInfo[n];if(r.ext)for(var i=0;i<r.ext.length;i++)if(r.ext[i]==t)return r}},e.findModeByFileName=function(t){for(var n=0;n<e.modeInfo.length;n++){var r=e.modeInfo[n];if(r.file&&r.file.test(t))return r}var i=t.lastIndexOf("."),o=i>-1&&t.substring(i+1,t.length);if(o)return e.findModeByExtension(o)},e.findModeByName=function(t){t=t.toLowerCase();for(var n=0;n<e.modeInfo.length;n++){var r=e.modeInfo[n];if(r.name.toLowerCase()==t)return r;if(r.alias)for(var i=0;i<r.alias.length;i++)if(r.alias[i].toLowerCase()==t)return r}}}(n(23326))},13038:(e,t,n)=>{!function(e){"use strict";e.defineMIME("text/mirc","mirc"),e.defineMode("mirc",(function(){function e(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var t=e("$! $$ $& $? $+ $abook $abs $active $activecid $activewid $address $addtok $agent $agentname $agentstat $agentver $alias $and $anick $ansi2mirc $aop $appactive $appstate $asc $asctime $asin $atan $avoice $away $awaymsg $awaytime $banmask $base $bfind $binoff $biton $bnick $bvar $bytes $calc $cb $cd $ceil $chan $chanmodes $chantypes $chat $chr $cid $clevel $click $cmdbox $cmdline $cnick $color $com $comcall $comchan $comerr $compact $compress $comval $cos $count $cr $crc $creq $crlf $ctime $ctimer $ctrlenter $date $day $daylight $dbuh $dbuw $dccignore $dccport $dde $ddename $debug $decode $decompress $deltok $devent $dialog $did $didreg $didtok $didwm $disk $dlevel $dll $dllcall $dname $dns $duration $ebeeps $editbox $emailaddr $encode $error $eval $event $exist $feof $ferr $fgetc $file $filename $filtered $finddir $finddirn $findfile $findfilen $findtok $fline $floor $fopen $fread $fserve $fulladdress $fulldate $fullname $fullscreen $get $getdir $getdot $gettok $gmt $group $halted $hash $height $hfind $hget $highlight $hnick $hotline $hotlinepos $ial $ialchan $ibl $idle $iel $ifmatch $ignore $iif $iil $inelipse $ini $inmidi $inpaste $inpoly $input $inrect $inroundrect $insong $instok $int $inwave $ip $isalias $isbit $isdde $isdir $isfile $isid $islower $istok $isupper $keychar $keyrpt $keyval $knick $lactive $lactivecid $lactivewid $left $len $level $lf $line $lines $link $lock $lock $locked $log $logstamp $logstampfmt $longfn $longip $lower $ltimer $maddress $mask $matchkey $matchtok $md5 $me $menu $menubar $menucontext $menutype $mid $middir $mircdir $mircexe $mircini $mklogfn $mnick $mode $modefirst $modelast $modespl $mouse $msfile $network $newnick $nick $nofile $nopath $noqt $not $notags $notify $null $numeric $numok $oline $onpoly $opnick $or $ord $os $passivedcc $pic $play $pnick $port $portable $portfree $pos $prefix $prop $protect $puttok $qt $query $rand $r $rawmsg $read $readomo $readn $regex $regml $regsub $regsubex $remove $remtok $replace $replacex $reptok $result $rgb $right $round $scid $scon $script $scriptdir $scriptline $sdir $send $server $serverip $sfile $sha1 $shortfn $show $signal $sin $site $sline $snick $snicks $snotify $sock $sockbr $sockerr $sockname $sorttok $sound $sqrt $ssl $sreq $sslready $status $strip $str $stripped $syle $submenu $switchbar $tan $target $ticks $time $timer $timestamp $timestampfmt $timezone $tip $titlebar $toolbar $treebar $trust $ulevel $ulist $upper $uptime $url $usermode $v1 $v2 $var $vcmd $vcmdstat $vcmdver $version $vnick $vol $wid $width $wildsite $wildtok $window $wrap $xor"),n=e("abook ajinvite alias aline ame amsg anick aop auser autojoin avoice away background ban bcopy beep bread break breplace bset btrunc bunset bwrite channel clear clearall cline clipboard close cnick color comclose comopen comreg continue copy creq ctcpreply ctcps dcc dccserver dde ddeserver debug dec describe dialog did didtok disable disconnect dlevel dline dll dns dqwindow drawcopy drawdot drawfill drawline drawpic drawrect drawreplace drawrot drawsave drawscroll drawtext ebeeps echo editbox emailaddr enable events exit fclose filter findtext finger firewall flash flist flood flush flushini font fopen fseek fsend fserve fullname fwrite ghide gload gmove gopts goto gplay gpoint gqreq groups gshow gsize gstop gtalk gunload hadd halt haltdef hdec hdel help hfree hinc hload hmake hop hsave ial ialclear ialmark identd if ignore iline inc invite iuser join kick linesep links list load loadbuf localinfo log mdi me menubar mkdir mnick mode msg nick noop notice notify omsg onotice part partall pdcc perform play playctrl pop protect pvoice qme qmsg query queryn quit raw reload remini remote remove rename renwin reseterror resetidle return rlevel rline rmdir run ruser save savebuf saveini say scid scon server set showmirc signam sline sockaccept sockclose socklist socklisten sockmark sockopen sockpause sockread sockrename sockudp sockwrite sound speak splay sreq strip switchbar timer timestamp titlebar tnick tokenize toolbar topic tray treebar ulist unload unset unsetall updatenl url uwho var vcadd vcmd vcrem vol while whois window winhelp write writeint if isalnum isalpha isaop isavoice isban ischan ishop isignore isin isincs isletter islower isnotify isnum ison isop isprotect isreg isupper isvoice iswm iswmcs elseif else goto menu nicklist status title icon size option text edit button check radio box scroll list combo link tab item"),r=e("if elseif else and not or eq ne in ni for foreach while switch"),i=/[+\-*&%=<>!?^\/\|]/;function o(e,t,n){return t.tokenize=n,n(e,t)}function a(e,a){var u=a.beforeParams;a.beforeParams=!1;var c=e.next();if(/[\[\]{}\(\),\.]/.test(c))return"("==c&&u?a.inParams=!0:")"==c&&(a.inParams=!1),null;if(/\d/.test(c))return e.eatWhile(/[\w\.]/),"number";if("\\"==c)return e.eat("\\"),e.eat(/./),"number";if("/"==c&&e.eat("*"))return o(e,a,s);if(";"==c&&e.match(/ *\( *\(/))return o(e,a,l);if(";"!=c||a.inParams){if('"'==c)return e.eat(/"/),"keyword";if("$"==c)return e.eatWhile(/[$_a-z0-9A-Z\.:]/),t&&t.propertyIsEnumerable(e.current().toLowerCase())?"keyword":(a.beforeParams=!0,"builtin");if("%"==c)return e.eatWhile(/[^,\s()]/),a.beforeParams=!0,"string";if(i.test(c))return e.eatWhile(i),"operator";e.eatWhile(/[\w\$_{}]/);var d=e.current().toLowerCase();return n&&n.propertyIsEnumerable(d)?"keyword":r&&r.propertyIsEnumerable(d)?(a.beforeParams=!0,"keyword"):null}return e.skipToEnd(),"comment"}function s(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=a;break}r="*"==n}return"comment"}function l(e,t){for(var n,r=0;n=e.next();){if(";"==n&&2==r){t.tokenize=a;break}")"==n?r++:" "!=n&&(r=0)}return"meta"}return{startState:function(){return{tokenize:a,beforeParams:!1,inParams:!1}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)}}}))}(n(23326))},65994:(e,t,n)=>{!function(e){"use strict";e.defineMode("mllike",(function(t,n){var r={as:"keyword",do:"keyword",else:"keyword",end:"keyword",exception:"keyword",fun:"keyword",functor:"keyword",if:"keyword",in:"keyword",include:"keyword",let:"keyword",of:"keyword",open:"keyword",rec:"keyword",struct:"keyword",then:"keyword",type:"keyword",val:"keyword",while:"keyword",with:"keyword"},i=n.extraWords||{};for(var o in i)i.hasOwnProperty(o)&&(r[o]=n.extraWords[o]);var a=[];for(var s in r)a.push(s);function l(e,t){var i=e.next();if('"'===i)return t.tokenize=u,t.tokenize(e,t);if("{"===i&&e.eat("|"))return t.longString=!0,t.tokenize=d,t.tokenize(e,t);if("("===i&&e.match(/^\*(?!\))/))return t.commentLevel++,t.tokenize=c,t.tokenize(e,t);if("~"===i||"?"===i)return e.eatWhile(/\w/),"variable-2";if("`"===i)return e.eatWhile(/\w/),"quote";if("/"===i&&n.slashComments&&e.eat("/"))return e.skipToEnd(),"comment";if(/\d/.test(i))return"0"===i&&e.eat(/[bB]/)&&e.eatWhile(/[01]/),"0"===i&&e.eat(/[xX]/)&&e.eatWhile(/[0-9a-fA-F]/),"0"===i&&e.eat(/[oO]/)?e.eatWhile(/[0-7]/):(e.eatWhile(/[\d_]/),e.eat(".")&&e.eatWhile(/[\d]/),e.eat(/[eE]/)&&e.eatWhile(/[\d\-+]/)),"number";if(/[+\-*&%=<>!?|@\.~:]/.test(i))return"operator";if(/[\w\xa1-\uffff]/.test(i)){e.eatWhile(/[\w\xa1-\uffff]/);var o=e.current();return r.hasOwnProperty(o)?r[o]:"variable"}return null}function u(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if('"'===n&&!i){r=!0;break}i=!i&&"\\"===n}return r&&!i&&(t.tokenize=l),"string"}function c(e,t){for(var n,r;t.commentLevel>0&&null!=(r=e.next());)"("===n&&"*"===r&&t.commentLevel++,"*"===n&&")"===r&&t.commentLevel--,n=r;return t.commentLevel<=0&&(t.tokenize=l),"comment"}function d(e,t){for(var n,r;t.longString&&null!=(r=e.next());)"|"===n&&"}"===r&&(t.longString=!1),n=r;return t.longString||(t.tokenize=l),"string"}return e.registerHelper("hintWords","mllike",a),{startState:function(){return{tokenize:l,commentLevel:0,longString:!1}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},blockCommentStart:"(*",blockCommentEnd:"*)",lineComment:n.slashComments?"//":null}})),e.defineMIME("text/x-ocaml",{name:"mllike",extraWords:{and:"keyword",assert:"keyword",begin:"keyword",class:"keyword",constraint:"keyword",done:"keyword",downto:"keyword",external:"keyword",function:"keyword",initializer:"keyword",lazy:"keyword",match:"keyword",method:"keyword",module:"keyword",mutable:"keyword",new:"keyword",nonrec:"keyword",object:"keyword",private:"keyword",sig:"keyword",to:"keyword",try:"keyword",value:"keyword",virtual:"keyword",when:"keyword",raise:"builtin",failwith:"builtin",true:"builtin",false:"builtin",asr:"builtin",land:"builtin",lor:"builtin",lsl:"builtin",lsr:"builtin",lxor:"builtin",mod:"builtin",or:"builtin",raise_notrace:"builtin",trace:"builtin",exit:"builtin",print_string:"builtin",print_endline:"builtin",int:"type",float:"type",bool:"type",char:"type",string:"type",unit:"type",List:"builtin"}}),e.defineMIME("text/x-fsharp",{name:"mllike",extraWords:{abstract:"keyword",assert:"keyword",base:"keyword",begin:"keyword",class:"keyword",default:"keyword",delegate:"keyword","do!":"keyword",done:"keyword",downcast:"keyword",downto:"keyword",elif:"keyword",extern:"keyword",finally:"keyword",for:"keyword",function:"keyword",global:"keyword",inherit:"keyword",inline:"keyword",interface:"keyword",internal:"keyword",lazy:"keyword","let!":"keyword",match:"keyword",member:"keyword",module:"keyword",mutable:"keyword",namespace:"keyword",new:"keyword",null:"keyword",override:"keyword",private:"keyword",public:"keyword","return!":"keyword",return:"keyword",select:"keyword",static:"keyword",to:"keyword",try:"keyword",upcast:"keyword","use!":"keyword",use:"keyword",void:"keyword",when:"keyword","yield!":"keyword",yield:"keyword",atomic:"keyword",break:"keyword",checked:"keyword",component:"keyword",const:"keyword",constraint:"keyword",constructor:"keyword",continue:"keyword",eager:"keyword",event:"keyword",external:"keyword",fixed:"keyword",method:"keyword",mixin:"keyword",object:"keyword",parallel:"keyword",process:"keyword",protected:"keyword",pure:"keyword",sealed:"keyword",tailcall:"keyword",trait:"keyword",virtual:"keyword",volatile:"keyword",List:"builtin",Seq:"builtin",Map:"builtin",Set:"builtin",Option:"builtin",int:"builtin",string:"builtin",not:"builtin",true:"builtin",false:"builtin",raise:"builtin",failwith:"builtin"},slashComments:!0}),e.defineMIME("text/x-sml",{name:"mllike",extraWords:{abstype:"keyword",and:"keyword",andalso:"keyword",case:"keyword",datatype:"keyword",fn:"keyword",handle:"keyword",infix:"keyword",infixr:"keyword",local:"keyword",nonfix:"keyword",op:"keyword",orelse:"keyword",raise:"keyword",withtype:"keyword",eqtype:"keyword",sharing:"keyword",sig:"keyword",signature:"keyword",structure:"keyword",where:"keyword",true:"keyword",false:"keyword",int:"builtin",real:"builtin",string:"builtin",char:"builtin",bool:"builtin"},slashComments:!0})}(n(23326))},51755:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}e.defineMode("modelica",(function(t,n){var r=t.indentUnit,i=n.keywords||{},o=n.builtin||{},a=n.atoms||{},s=/[;=\(:\),{}.*<>+\-\/^\[\]]/,l=/(:=|<=|>=|==|<>|\.\+|\.\-|\.\*|\.\/|\.\^)/,u=/[0-9]/,c=/[_a-zA-Z]/;function d(e,t){return e.skipToEnd(),t.tokenize=null,"comment"}function p(e,t){for(var n,r=!1;n=e.next();){if(r&&"/"==n){t.tokenize=null;break}r="*"==n}return"comment"}function f(e,t){for(var n,r=!1;null!=(n=e.next());){if('"'==n&&!r){t.tokenize=null,t.sol=!1;break}r=!r&&"\\"==n}return"string"}function h(e,t){for(e.eatWhile(u);e.eat(u)||e.eat(c););var n=e.current();return!t.sol||"package"!=n&&"model"!=n&&"when"!=n&&"connector"!=n?t.sol&&"end"==n&&t.level>0&&t.level--:t.level++,t.tokenize=null,t.sol=!1,i.propertyIsEnumerable(n)?"keyword":o.propertyIsEnumerable(n)?"builtin":a.propertyIsEnumerable(n)?"atom":"variable"}function m(e,t){for(;e.eat(/[^']/););return t.tokenize=null,t.sol=!1,e.eat("'")?"variable":"error"}function g(e,t){return e.eatWhile(u),e.eat(".")&&e.eatWhile(u),(e.eat("e")||e.eat("E"))&&(e.eat("-")||e.eat("+"),e.eatWhile(u)),t.tokenize=null,t.sol=!1,"number"}return{startState:function(){return{tokenize:null,level:0,sol:!0}},token:function(e,t){if(null!=t.tokenize)return t.tokenize(e,t);if(e.sol()&&(t.sol=!0),e.eatSpace())return t.tokenize=null,null;var n=e.next();if("/"==n&&e.eat("/"))t.tokenize=d;else if("/"==n&&e.eat("*"))t.tokenize=p;else{if(l.test(n+e.peek()))return e.next(),t.tokenize=null,"operator";if(s.test(n))return t.tokenize=null,"operator";if(c.test(n))t.tokenize=h;else if("'"==n&&e.peek()&&"'"!=e.peek())t.tokenize=m;else if('"'==n)t.tokenize=f;else{if(!u.test(n))return t.tokenize=null,"error";t.tokenize=g}}return t.tokenize(e,t)},indent:function(t,n){if(null!=t.tokenize)return e.Pass;var i=t.level;return/(algorithm)/.test(n)&&i--,/(equation)/.test(n)&&i--,/(initial algorithm)/.test(n)&&i--,/(initial equation)/.test(n)&&i--,/(end)/.test(n)&&i--,i>0?r*i:0},blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//"}}));!function(t,n){"string"==typeof t&&(t=[t]);var r=[];function i(e){if(e)for(var t in e)e.hasOwnProperty(t)&&r.push(t)}i(n.keywords),i(n.builtin),i(n.atoms),r.length&&(n.helperType=t[0],e.registerHelper("hintWords",t[0],r));for(var o=0;o<t.length;++o)e.defineMIME(t[o],n)}(["text/x-modelica"],{name:"modelica",keywords:t("algorithm and annotation assert block break class connect connector constant constrainedby der discrete each else elseif elsewhen encapsulated end enumeration equation expandable extends external false final flow for function if import impure in initial inner input loop model not operator or outer output package parameter partial protected public pure record redeclare replaceable return stream then true type when while within"),builtin:t("abs acos actualStream asin atan atan2 cardinality ceil cos cosh delay div edge exp floor getInstanceName homotopy inStream integer log log10 mod pre reinit rem semiLinear sign sin sinh spatialDistribution sqrt tan tanh"),atoms:t("Real Boolean Integer String")})}(n(23326))},88907:(e,t,n)=>{!function(e){"use strict";var t={mscgen:{keywords:["msc"],options:["hscale","width","arcgradient","wordwraparcs"],constants:["true","false","on","off"],attributes:["label","idurl","id","url","linecolor","linecolour","textcolor","textcolour","textbgcolor","textbgcolour","arclinecolor","arclinecolour","arctextcolor","arctextcolour","arctextbgcolor","arctextbgcolour","arcskip"],brackets:["\\{","\\}"],arcsWords:["note","abox","rbox","box"],arcsOthers:["\\|\\|\\|","\\.\\.\\.","---","--","<->","==","<<=>>","<=>","\\.\\.","<<>>","::","<:>","->","=>>","=>",">>",":>","<-","<<=","<=","<<","<:","x-","-x"],singlecomment:["//","#"],operators:["="]},xu:{keywords:["msc","xu"],options:["hscale","width","arcgradient","wordwraparcs","wordwrapentities","watermark"],constants:["true","false","on","off","auto"],attributes:["label","idurl","id","url","linecolor","linecolour","textcolor","textcolour","textbgcolor","textbgcolour","arclinecolor","arclinecolour","arctextcolor","arctextcolour","arctextbgcolor","arctextbgcolour","arcskip","title","deactivate","activate","activation"],brackets:["\\{","\\}"],arcsWords:["note","abox","rbox","box","alt","else","opt","break","par","seq","strict","neg","critical","ignore","consider","assert","loop","ref","exc"],arcsOthers:["\\|\\|\\|","\\.\\.\\.","---","--","<->","==","<<=>>","<=>","\\.\\.","<<>>","::","<:>","->","=>>","=>",">>",":>","<-","<<=","<=","<<","<:","x-","-x"],singlecomment:["//","#"],operators:["="]},msgenny:{keywords:null,options:["hscale","width","arcgradient","wordwraparcs","wordwrapentities","watermark"],constants:["true","false","on","off","auto"],attributes:null,brackets:["\\{","\\}"],arcsWords:["note","abox","rbox","box","alt","else","opt","break","par","seq","strict","neg","critical","ignore","consider","assert","loop","ref","exc"],arcsOthers:["\\|\\|\\|","\\.\\.\\.","---","--","<->","==","<<=>>","<=>","\\.\\.","<<>>","::","<:>","->","=>>","=>",">>",":>","<-","<<=","<=","<<","<:","x-","-x"],singlecomment:["//","#"],operators:["="]}};function n(e){return new RegExp("^\\b(?:"+e.join("|")+")\\b","i")}function r(e){return new RegExp("^(?:"+e.join("|")+")","i")}function i(){return{inComment:!1,inString:!1,inAttributeList:!1,inScript:!1}}function o(e){return{inComment:e.inComment,inString:e.inString,inAttributeList:e.inAttributeList,inScript:e.inScript}}e.defineMode("mscgen",(function(e,a){return{startState:i,copyState:o,token:(s=t[a&&a.language||"mscgen"],function(e,t){if(e.match(r(s.brackets),!0,!0))return"bracket";if(!t.inComment){if(e.match(/\/\*[^\*\/]*/,!0,!0))return t.inComment=!0,"comment";if(e.match(r(s.singlecomment),!0,!0))return e.skipToEnd(),"comment"}if(t.inComment)return e.match(/[^\*\/]*\*\//,!0,!0)?t.inComment=!1:e.skipToEnd(),"comment";if(!t.inString&&e.match(/\"(\\\"|[^\"])*/,!0,!0))return t.inString=!0,"string";if(t.inString)return e.match(/[^\"]*\"/,!0,!0)?t.inString=!1:e.skipToEnd(),"string";if(s.keywords&&e.match(n(s.keywords),!0,!0))return"keyword";if(e.match(n(s.options),!0,!0))return"keyword";if(e.match(n(s.arcsWords),!0,!0))return"keyword";if(e.match(r(s.arcsOthers),!0,!0))return"keyword";if(s.operators&&e.match(r(s.operators),!0,!0))return"operator";if(s.constants&&e.match(r(s.constants),!0,!0))return"variable";if(!s.inAttributeList&&s.attributes&&e.match("[",!0,!0))return s.inAttributeList=!0,"bracket";if(s.inAttributeList){if(null!==s.attributes&&e.match(n(s.attributes),!0,!0))return"attribute";if(e.match("]",!0,!0))return s.inAttributeList=!1,"bracket"}return e.next(),"base"}),lineComment:"#",blockCommentStart:"/*",blockCommentEnd:"*/"};var s})),e.defineMIME("text/x-mscgen","mscgen"),e.defineMIME("text/x-xu",{name:"mscgen",language:"xu"}),e.defineMIME("text/x-msgenny",{name:"mscgen",language:"msgenny"})}(n(23326))},81482:(e,t,n)=>{!function(e){"use strict";e.defineMode("mumps",(function(){function e(e){return new RegExp("^(("+e.join(")|(")+"))\\b","i")}var t=new RegExp("^[\\+\\-\\*/&#!_?\\\\<>=\\'\\[\\]]"),n=new RegExp("^(('=)|(<=)|(>=)|('>)|('<)|([[)|(]])|(^$))"),r=new RegExp("^[\\.,:]"),i=new RegExp("[()]"),o=new RegExp("^[%A-Za-z][A-Za-z0-9]*"),a=e(["\\$ascii","\\$char","\\$data","\\$ecode","\\$estack","\\$etrap","\\$extract","\\$find","\\$fnumber","\\$get","\\$horolog","\\$io","\\$increment","\\$job","\\$justify","\\$length","\\$name","\\$next","\\$order","\\$piece","\\$qlength","\\$qsubscript","\\$query","\\$quit","\\$random","\\$reverse","\\$select","\\$stack","\\$test","\\$text","\\$translate","\\$view","\\$x","\\$y","\\$a","\\$c","\\$d","\\$e","\\$ec","\\$es","\\$et","\\$f","\\$fn","\\$g","\\$h","\\$i","\\$j","\\$l","\\$n","\\$na","\\$o","\\$p","\\$q","\\$ql","\\$qs","\\$r","\\$re","\\$s","\\$st","\\$t","\\$tr","\\$v","\\$z"]),s=e(["break","close","do","else","for","goto","halt","hang","if","job","kill","lock","merge","new","open","quit","read","set","tcommit","trollback","tstart","use","view","write","xecute","b","c","d","e","f","g","h","i","j","k","l","m","n","o","q","r","s","tc","tro","ts","u","v","w","x"]);return{startState:function(){return{label:!1,commandMode:0}},token:function(e,l){var u=function(e,l){e.sol()&&(l.label=!0,l.commandMode=0);var u=e.peek();return" "==u||"\t"==u?(l.label=!1,0==l.commandMode?l.commandMode=1:(l.commandMode<0||2==l.commandMode)&&(l.commandMode=0)):"."!=u&&l.commandMode>0&&(l.commandMode=":"==u?-1:2),"("!==u&&"\t"!==u||(l.label=!1),";"===u?(e.skipToEnd(),"comment"):e.match(/^[-+]?\d+(\.\d+)?([eE][-+]?\d+)?/)?"number":'"'==u?e.skipTo('"')?(e.next(),"string"):(e.skipToEnd(),"error"):e.match(n)||e.match(t)?"operator":e.match(r)?null:i.test(u)?(e.next(),"bracket"):l.commandMode>0&&e.match(s)?"variable-2":e.match(a)?"builtin":e.match(o)?"variable":"$"===u||"^"===u?(e.next(),"builtin"):"@"===u?(e.next(),"string-2"):/[\w%]/.test(u)?(e.eatWhile(/[\w%]/),"variable"):(e.next(),"error")}(e,l);return l.label?"tag":u}}})),e.defineMIME("text/x-mumps","mumps")}(n(23326))},77549:(e,t,n)=>{!function(e){"use strict";e.defineMode("nginx",(function(e){function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var n,r=t("break return rewrite set accept_mutex accept_mutex_delay access_log add_after_body add_before_body add_header addition_types aio alias allow ancient_browser ancient_browser_value auth_basic auth_basic_user_file auth_http auth_http_header auth_http_timeout autoindex autoindex_exact_size autoindex_localtime charset charset_types client_body_buffer_size client_body_in_file_only client_body_in_single_buffer client_body_temp_path client_body_timeout client_header_buffer_size client_header_timeout client_max_body_size connection_pool_size create_full_put_path daemon dav_access dav_methods debug_connection debug_points default_type degradation degrade deny devpoll_changes devpoll_events directio directio_alignment empty_gif env epoll_events error_log eventport_events expires fastcgi_bind fastcgi_buffer_size fastcgi_buffers fastcgi_busy_buffers_size fastcgi_cache fastcgi_cache_key fastcgi_cache_methods fastcgi_cache_min_uses fastcgi_cache_path fastcgi_cache_use_stale fastcgi_cache_valid fastcgi_catch_stderr fastcgi_connect_timeout fastcgi_hide_header fastcgi_ignore_client_abort fastcgi_ignore_headers fastcgi_index fastcgi_intercept_errors fastcgi_max_temp_file_size fastcgi_next_upstream fastcgi_param fastcgi_pass_header fastcgi_pass_request_body fastcgi_pass_request_headers fastcgi_read_timeout fastcgi_send_lowat fastcgi_send_timeout fastcgi_split_path_info fastcgi_store fastcgi_store_access fastcgi_temp_file_write_size fastcgi_temp_path fastcgi_upstream_fail_timeout fastcgi_upstream_max_fails flv geoip_city geoip_country google_perftools_profiles gzip gzip_buffers gzip_comp_level gzip_disable gzip_hash gzip_http_version gzip_min_length gzip_no_buffer gzip_proxied gzip_static gzip_types gzip_vary gzip_window if_modified_since ignore_invalid_headers image_filter image_filter_buffer image_filter_jpeg_quality image_filter_transparency imap_auth imap_capabilities imap_client_buffer index ip_hash keepalive_requests keepalive_timeout kqueue_changes kqueue_events large_client_header_buffers limit_conn limit_conn_log_level limit_rate limit_rate_after limit_req limit_req_log_level limit_req_zone limit_zone lingering_time lingering_timeout lock_file log_format log_not_found log_subrequest map_hash_bucket_size map_hash_max_size master_process memcached_bind memcached_buffer_size memcached_connect_timeout memcached_next_upstream memcached_read_timeout memcached_send_timeout memcached_upstream_fail_timeout memcached_upstream_max_fails merge_slashes min_delete_depth modern_browser modern_browser_value msie_padding msie_refresh multi_accept open_file_cache open_file_cache_errors open_file_cache_events open_file_cache_min_uses open_file_cache_valid open_log_file_cache output_buffers override_charset perl perl_modules perl_require perl_set pid pop3_auth pop3_capabilities port_in_redirect postpone_gzipping postpone_output protocol proxy proxy_bind proxy_buffer proxy_buffer_size proxy_buffering proxy_buffers proxy_busy_buffers_size proxy_cache proxy_cache_key proxy_cache_methods proxy_cache_min_uses proxy_cache_path proxy_cache_use_stale proxy_cache_valid proxy_connect_timeout proxy_headers_hash_bucket_size proxy_headers_hash_max_size proxy_hide_header proxy_ignore_client_abort proxy_ignore_headers proxy_intercept_errors proxy_max_temp_file_size proxy_method proxy_next_upstream proxy_pass_error_message proxy_pass_header proxy_pass_request_body proxy_pass_request_headers proxy_read_timeout proxy_redirect proxy_send_lowat proxy_send_timeout proxy_set_body proxy_set_header proxy_ssl_session_reuse proxy_store proxy_store_access proxy_temp_file_write_size proxy_temp_path proxy_timeout proxy_upstream_fail_timeout proxy_upstream_max_fails random_index read_ahead real_ip_header recursive_error_pages request_pool_size reset_timedout_connection resolver resolver_timeout rewrite_log rtsig_overflow_events rtsig_overflow_test rtsig_overflow_threshold rtsig_signo satisfy secure_link_secret send_lowat send_timeout sendfile sendfile_max_chunk server_name_in_redirect server_names_hash_bucket_size server_names_hash_max_size server_tokens set_real_ip_from smtp_auth smtp_capabilities smtp_client_buffer smtp_greeting_delay so_keepalive source_charset ssi ssi_ignore_recycled_buffers ssi_min_file_chunk ssi_silent_errors ssi_types ssi_value_length ssl ssl_certificate ssl_certificate_key ssl_ciphers ssl_client_certificate ssl_crl ssl_dhparam ssl_engine ssl_prefer_server_ciphers ssl_protocols ssl_session_cache ssl_session_timeout ssl_verify_client ssl_verify_depth starttls stub_status sub_filter sub_filter_once sub_filter_types tcp_nodelay tcp_nopush thread_stack_size timeout timer_resolution types_hash_bucket_size types_hash_max_size underscores_in_headers uninitialized_variable_warn use user userid userid_domain userid_expires userid_mark userid_name userid_p3p userid_path userid_service valid_referers variables_hash_bucket_size variables_hash_max_size worker_connections worker_cpu_affinity worker_priority worker_processes worker_rlimit_core worker_rlimit_nofile worker_rlimit_sigpending worker_threads working_directory xclient xml_entities xslt_stylesheet xslt_typesdrew@li229-23"),i=t("http mail events server types location upstream charset_map limit_except if geo map"),o=t("include root server server_name listen internal proxy_pass memcached_pass fastcgi_pass try_files"),a=e.indentUnit;function s(e,t){return n=t,e}function l(e,t){e.eatWhile(/[\w\$_]/);var n=e.current();if(r.propertyIsEnumerable(n))return"keyword";if(i.propertyIsEnumerable(n))return"variable-2";if(o.propertyIsEnumerable(n))return"string-2";var a,d=e.next();return"@"==d?(e.eatWhile(/[\w\\\-]/),s("meta",e.current())):"/"==d&&e.eat("*")?(t.tokenize=u,u(e,t)):"<"==d&&e.eat("!")?(t.tokenize=c,c(e,t)):"="!=d?"~"!=d&&"|"!=d||!e.eat("=")?'"'==d||"'"==d?(t.tokenize=(a=d,function(e,t){for(var n,r=!1;null!=(n=e.next())&&(n!=a||r);)r=!r&&"\\"==n;return r||(t.tokenize=l),s("string","string")}),t.tokenize(e,t)):"#"==d?(e.skipToEnd(),s("comment","comment")):"!"==d?(e.match(/^\s*\w*/),s("keyword","important")):/\d/.test(d)?(e.eatWhile(/[\w.%]/),s("number","unit")):/[,.+>*\/]/.test(d)?s(null,"select-op"):/[;{}:\[\]]/.test(d)?s(null,d):(e.eatWhile(/[\w\\\-]/),s("variable","variable")):s(null,"compare"):void s(null,"compare")}function u(e,t){for(var n,r=!1;null!=(n=e.next());){if(r&&"/"==n){t.tokenize=l;break}r="*"==n}return s("comment","comment")}function c(e,t){for(var n,r=0;null!=(n=e.next());){if(r>=2&&">"==n){t.tokenize=l;break}r="-"==n?r+1:0}return s("comment","comment")}return{startState:function(e){return{tokenize:l,baseIndent:e||0,stack:[]}},token:function(e,t){if(e.eatSpace())return null;n=null;var r=t.tokenize(e,t),i=t.stack[t.stack.length-1];return"hash"==n&&"rule"==i?r="atom":"variable"==r&&("rule"==i?r="number":i&&"@media{"!=i||(r="tag")),"rule"==i&&/^[\{\};]$/.test(n)&&t.stack.pop(),"{"==n?"@media"==i?t.stack[t.stack.length-1]="@media{":t.stack.push("{"):"}"==n?t.stack.pop():"@media"==n?t.stack.push("@media"):"{"==i&&"comment"!=n&&t.stack.push("rule"),r},indent:function(e,t){var n=e.stack.length;return/^\}/.test(t)&&(n-="rule"==e.stack[e.stack.length-1]?2:1),e.baseIndent+n*a},electricChars:"}"}})),e.defineMIME("text/x-nginx-conf","nginx")}(n(23326))},13939:(e,t,n)=>{!function(e){"use strict";e.defineSimpleMode("nsis",{start:[{regex:/(?:[+-]?)(?:0x[\d,a-f]+)|(?:0o[0-7]+)|(?:0b[0,1]+)|(?:\d+.?\d*)/,token:"number"},{regex:/"(?:[^\\"]|\\.)*"?/,token:"string"},{regex:/'(?:[^\\']|\\.)*'?/,token:"string"},{regex:/`(?:[^\\`]|\\.)*`?/,token:"string"},{regex:/^\s*(?:\!(addincludedir|addplugindir|appendfile|assert|cd|define|delfile|echo|error|execute|finalize|getdllversion|gettlbversion|include|insertmacro|macro|macroend|makensis|packhdr|pragma|searchparse|searchreplace|system|tempfile|undef|uninstfinalize|verbose|warning))\b/i,token:"keyword"},{regex:/^\s*(?:\!(if(?:n?def)?|ifmacron?def|macro))\b/i,token:"keyword",indent:!0},{regex:/^\s*(?:\!(else|endif|macroend))\b/i,token:"keyword",dedent:!0},{regex:/^\s*(?:Abort|AddBrandingImage|AddSize|AllowRootDirInstall|AllowSkipFiles|AutoCloseWindow|BGFont|BGGradient|BrandingText|BringToFront|Call|CallInstDLL|Caption|ChangeUI|CheckBitmap|ClearErrors|CompletedText|ComponentText|CopyFiles|CRCCheck|CreateDirectory|CreateFont|CreateShortCut|Delete|DeleteINISec|DeleteINIStr|DeleteRegKey|DeleteRegValue|DetailPrint|DetailsButtonText|DirText|DirVar|DirVerify|EnableWindow|EnumRegKey|EnumRegValue|Exch|Exec|ExecShell|ExecShellWait|ExecWait|ExpandEnvStrings|File|FileBufSize|FileClose|FileErrorText|FileOpen|FileRead|FileReadByte|FileReadUTF16LE|FileReadWord|FileWriteUTF16LE|FileSeek|FileWrite|FileWriteByte|FileWriteWord|FindClose|FindFirst|FindNext|FindWindow|FlushINI|GetCurInstType|GetCurrentAddress|GetDlgItem|GetDLLVersion|GetDLLVersionLocal|GetErrorLevel|GetFileTime|GetFileTimeLocal|GetFullPathName|GetFunctionAddress|GetInstDirError|GetKnownFolderPath|GetLabelAddress|GetTempFileName|GetWinVer|Goto|HideWindow|Icon|IfAbort|IfErrors|IfFileExists|IfRebootFlag|IfRtlLanguage|IfShellVarContextAll|IfSilent|InitPluginsDir|InstallButtonText|InstallColors|InstallDir|InstallDirRegKey|InstProgressFlags|InstType|InstTypeGetText|InstTypeSetText|Int64Cmp|Int64CmpU|Int64Fmt|IntCmp|IntCmpU|IntFmt|IntOp|IntPtrCmp|IntPtrCmpU|IntPtrOp|IsWindow|LangString|LicenseBkColor|LicenseData|LicenseForceSelection|LicenseLangString|LicenseText|LoadAndSetImage|LoadLanguageFile|LockWindow|LogSet|LogText|ManifestDPIAware|ManifestLongPathAware|ManifestMaxVersionTested|ManifestSupportedOS|MessageBox|MiscButtonText|Name|Nop|OutFile|Page|PageCallbacks|PEAddResource|PEDllCharacteristics|PERemoveResource|PESubsysVer|Pop|Push|Quit|ReadEnvStr|ReadINIStr|ReadRegDWORD|ReadRegStr|Reboot|RegDLL|Rename|RequestExecutionLevel|ReserveFile|Return|RMDir|SearchPath|SectionGetFlags|SectionGetInstTypes|SectionGetSize|SectionGetText|SectionIn|SectionSetFlags|SectionSetInstTypes|SectionSetSize|SectionSetText|SendMessage|SetAutoClose|SetBrandingImage|SetCompress|SetCompressor|SetCompressorDictSize|SetCtlColors|SetCurInstType|SetDatablockOptimize|SetDateSave|SetDetailsPrint|SetDetailsView|SetErrorLevel|SetErrors|SetFileAttributes|SetFont|SetOutPath|SetOverwrite|SetRebootFlag|SetRegView|SetShellVarContext|SetSilent|ShowInstDetails|ShowUninstDetails|ShowWindow|SilentInstall|SilentUnInstall|Sleep|SpaceTexts|StrCmp|StrCmpS|StrCpy|StrLen|SubCaption|Target|Unicode|UninstallButtonText|UninstallCaption|UninstallIcon|UninstallSubCaption|UninstallText|UninstPage|UnRegDLL|Var|VIAddVersionKey|VIFileVersion|VIProductVersion|WindowIcon|WriteINIStr|WriteRegBin|WriteRegDWORD|WriteRegExpandStr|WriteRegMultiStr|WriteRegNone|WriteRegStr|WriteUninstaller|XPStyle)\b/i,token:"keyword"},{regex:/^\s*(?:Function|PageEx|Section(?:Group)?)\b/i,token:"keyword",indent:!0},{regex:/^\s*(?:(Function|PageEx|Section(?:Group)?)End)\b/i,token:"keyword",dedent:!0},{regex:/\b(?:ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_HIDDEN|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HIDDEN|HKCC|HKCR(32|64)?|HKCU(32|64)?|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM(32|64)?|HKPD|HKU|IDABORT|IDCANCEL|IDD_DIR|IDD_INST|IDD_INSTFILES|IDD_LICENSE|IDD_SELCOM|IDD_UNINST|IDD_VERIFY|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|MB_YESNOCANCEL|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SW_HIDE|SW_SHOWDEFAULT|SW_SHOWMAXIMIZED|SW_SHOWMINIMIZED|SW_SHOWNORMAL|SYSTEM|TEMPORARY)\b/i,token:"atom"},{regex:/\b(?:admin|all|amd64-unicode|auto|both|bottom|bzip2|components|current|custom|directory|false|force|hide|highest|ifdiff|ifnewer|instfiles|lastused|leave|left|license|listonly|lzma|nevershow|none|normal|notset|off|on|right|show|silent|silentlog|textonly|top|true|try|un\.components|un\.custom|un\.directory|un\.instfiles|un\.license|uninstConfirm|user|Win10|Win7|Win8|WinVista|x-86-(ansi|unicode)|zlib)\b/i,token:"builtin"},{regex:/\$\{(?:And(?:If(?:Not)?|Unless)|Break|Case(?:2|3|4|5|Else)?|Continue|Default|Do(?:Until|While)?|Else(?:If(?:Not)?|Unless)?|End(?:If|Select|Switch)|Exit(?:Do|For|While)|For(?:Each)?|If(?:Cmd|Not(?:Then)?|Then)?|Loop(?:Until|While)?|Or(?:If(?:Not)?|Unless)|Select|Switch|Unless|While)\}/i,token:"variable-2",indent:!0},{regex:/\$\{(?:BannerTrimPath|DirState|DriveSpace|Get(BaseName|Drives|ExeName|ExePath|FileAttributes|FileExt|FileName|FileVersion|Options|OptionsS|Parameters|Parent|Root|Size|Time)|Locate|RefreshShellIcons)\}/i,token:"variable-2",dedent:!0},{regex:/\$\{(?:Memento(?:Section(?:Done|End|Restore|Save)?|UnselectedSection))\}/i,token:"variable-2",dedent:!0},{regex:/\$\{(?:Config(?:Read|ReadS|Write|WriteS)|File(?:Join|ReadFromEnd|Recode)|Line(?:Find|Read|Sum)|Text(?:Compare|CompareS)|TrimNewLines)\}/i,token:"variable-2",dedent:!0},{regex:/\$\{(?:(?:At(?:Least|Most)|Is)(?:ServicePack|Win(?:7|8|10|95|98|200(?:0|3|8(?:R2)?)|ME|NT4|Vista|XP))|Is(?:NT|Server))\}/i,token:"variable",dedent:!0},{regex:/\$\{(?:StrFilterS?|Version(?:Compare|Convert)|Word(?:AddS?|Find(?:(?:2|3)X)?S?|InsertS?|ReplaceS?))\}/i,token:"variable-2",dedent:!0},{regex:/\$\{(?:RunningX64)\}/i,token:"variable",dedent:!0},{regex:/\$\{(?:Disable|Enable)X64FSRedirection\}/i,token:"variable-2",dedent:!0},{regex:/(#|;).*/,token:"comment"},{regex:/\/\*/,token:"comment",next:"comment"},{regex:/[-+\/*=<>!]+/,token:"operator"},{regex:/\$\w[\w\.]*/,token:"variable"},{regex:/\${[\!\w\.:-]+}/,token:"variable-2"},{regex:/\$\([\!\w\.:-]+\)/,token:"variable-3"}],comment:[{regex:/.*?\*\//,token:"comment",next:"start"},{regex:/.*/,token:"comment"}],meta:{electricInput:/^\s*((Function|PageEx|Section|Section(Group)?)End|(\!(endif|macroend))|\$\{(End(If|Unless|While)|Loop(Until)|Next)\})$/i,blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:["#",";"]}}),e.defineMIME("text/x-nsis","nsis")}(n(23326),n(81094))},53921:(e,t,n)=>{!function(e){"use strict";e.defineMode("ntriples",(function(){var e=0,t=1,n=2,r=3,i=4,o=5,a=6,s=7,l=8,u=9,c=10,d=11,p=12;function f(f,h){var m,g=f.location;m=g==e&&"<"==h?t:g==e&&"_"==h?n:g==r&&"<"==h?i:g==o&&"<"==h?a:g==o&&"_"==h?s:g==o&&'"'==h?l:g==t&&">"==h||g==n&&" "==h?r:g==i&&">"==h?o:g==a&&">"==h||g==s&&" "==h||g==l&&'"'==h||g==u&&" "==h||g==c&&">"==h?d:g==l&&"@"==h?u:g==l&&"^"==h?c:" "!=h||g!=e&&g!=r&&g!=o&&g!=d?g==d&&"."==h?e:p:g,f.location=m}return{startState:function(){return{location:e,uris:[],anchors:[],bnodes:[],langs:[],types:[]}},token:function(e,t){var n=e.next();if("<"==n){f(t,n);var r="";return e.eatWhile((function(e){return"#"!=e&&">"!=e&&(r+=e,!0)})),t.uris.push(r),e.match("#",!1)||(e.next(),f(t,">")),"variable"}if("#"==n){var i="";return e.eatWhile((function(e){return">"!=e&&" "!=e&&(i+=e,!0)})),t.anchors.push(i),"variable-2"}if(">"==n)return f(t,">"),"variable";if("_"==n){f(t,n);var o="";return e.eatWhile((function(e){return" "!=e&&(o+=e,!0)})),t.bnodes.push(o),e.next(),f(t," "),"builtin"}if('"'==n)return f(t,n),e.eatWhile((function(e){return'"'!=e})),e.next(),"@"!=e.peek()&&"^"!=e.peek()&&f(t,'"'),"string";if("@"==n){f(t,"@");var a="";return e.eatWhile((function(e){return" "!=e&&(a+=e,!0)})),t.langs.push(a),e.next(),f(t," "),"string-2"}if("^"==n){e.next(),f(t,"^");var s="";return e.eatWhile((function(e){return">"!=e&&(s+=e,!0)})),t.types.push(s),e.next(),f(t,">"),"variable"}" "==n&&f(t,n),"."==n&&f(t,n)}}})),e.defineMIME("application/n-triples","ntriples"),e.defineMIME("application/n-quads","ntriples"),e.defineMIME("text/n-triples","ntriples")}(n(23326))},55118:(e,t,n)=>{!function(e){"use strict";e.defineMode("octave",(function(){function e(e){return new RegExp("^(("+e.join(")|(")+"))\\b")}var t=new RegExp("^[\\+\\-\\*/&|\\^~<>!@'\\\\]"),n=new RegExp("^[\\(\\[\\{\\},:=;\\.]"),r=new RegExp("^((==)|(~=)|(<=)|(>=)|(<<)|(>>)|(\\.[\\+\\-\\*/\\^\\\\]))"),i=new RegExp("^((!=)|(\\+=)|(\\-=)|(\\*=)|(/=)|(&=)|(\\|=)|(\\^=))"),o=new RegExp("^((>>=)|(<<=))"),a=new RegExp("^[\\]\\)]"),s=new RegExp("^[_A-Za-z¡-￿][_A-Za-z0-9¡-￿]*"),l=e(["error","eval","function","abs","acos","atan","asin","cos","cosh","exp","log","prod","sum","log10","max","min","sign","sin","sinh","sqrt","tan","reshape","break","zeros","default","margin","round","ones","rand","syn","ceil","floor","size","clear","zeros","eye","mean","std","cov","det","eig","inv","norm","rank","trace","expm","logm","sqrtm","linspace","plot","title","xlabel","ylabel","legend","text","grid","meshgrid","mesh","num2str","fft","ifft","arrayfun","cellfun","input","fliplr","flipud","ismember"]),u=e(["return","case","switch","else","elseif","end","endif","endfunction","if","otherwise","do","for","while","try","catch","classdef","properties","events","methods","global","persistent","endfor","endwhile","printf","sprintf","disp","until","continue","pkg"]);function c(e,t){return e.sol()||"'"!==e.peek()?(t.tokenize=p,p(e,t)):(e.next(),t.tokenize=p,"operator")}function d(e,t){return e.match(/^.*%}/)?(t.tokenize=p,"comment"):(e.skipToEnd(),"comment")}function p(f,h){if(f.eatSpace())return null;if(f.match("%{"))return h.tokenize=d,f.skipToEnd(),"comment";if(f.match(/^[%#]/))return f.skipToEnd(),"comment";if(f.match(/^[0-9\.+-]/,!1)){if(f.match(/^[+-]?0x[0-9a-fA-F]+[ij]?/))return f.tokenize=p,"number";if(f.match(/^[+-]?\d*\.\d+([EeDd][+-]?\d+)?[ij]?/))return"number";if(f.match(/^[+-]?\d+([EeDd][+-]?\d+)?[ij]?/))return"number"}if(f.match(e(["nan","NaN","inf","Inf"])))return"number";var m=f.match(/^"(?:[^"]|"")*("|$)/)||f.match(/^'(?:[^']|'')*('|$)/);return m?m[1]?"string":"string error":f.match(u)?"keyword":f.match(l)?"builtin":f.match(s)?"variable":f.match(t)||f.match(r)?"operator":f.match(n)||f.match(i)||f.match(o)?null:f.match(a)?(h.tokenize=c,null):(f.next(),"error")}return{startState:function(){return{tokenize:p}},token:function(e,t){var n=t.tokenize(e,t);return"number"!==n&&"variable"!==n||(t.tokenize=c),n},lineComment:"%",fold:"indent"}})),e.defineMIME("text/x-octave","octave")}(n(23326))},46768:(e,t,n)=>{!function(e){"use strict";e.defineMode("oz",(function(e){function t(e){return new RegExp("^(("+e.join(")|(")+"))\\b")}var n,r=/[\^@!\|<>#~\.\*\-\+\\/,=]/,i=/(<-)|(:=)|(=<)|(>=)|(<=)|(<:)|(>:)|(=:)|(\\=)|(\\=:)|(!!)|(==)|(::)/,o=/(:::)|(\.\.\.)|(=<:)|(>=:)/,a=["in","then","else","of","elseof","elsecase","elseif","catch","finally","with","require","prepare","import","export","define","do"],s=["end"],l=t(["true","false","nil","unit"]),u=t(["andthen","at","attr","declare","feat","from","lex","mod","div","mode","orelse","parser","prod","prop","scanner","self","syn","token"]),c=t(["local","proc","fun","case","class","if","cond","or","dis","choice","not","thread","try","raise","lock","for","suchthat","meth","functor"]),d=t(a),p=t(s);function f(e,t){if(e.eatSpace())return null;if(e.match(/[{}]/))return"bracket";if(e.match("[]"))return"keyword";if(e.match(o)||e.match(i))return"operator";if(e.match(l))return"atom";var n=e.match(c);if(n)return t.doInCurrentLine?t.doInCurrentLine=!1:t.currentIndent++,"proc"==n[0]||"fun"==n[0]?t.tokenize=g:"class"==n[0]?t.tokenize=h:"meth"==n[0]&&(t.tokenize=m),"keyword";if(e.match(d)||e.match(u))return"keyword";if(e.match(p))return t.currentIndent--,"keyword";var a,s=e.next();if('"'==s||"'"==s)return t.tokenize=(a=s,function(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if(n==a&&!r){i=!0;break}r=!r&&"\\"==n}return!i&&r||(t.tokenize=f),"string"}),t.tokenize(e,t);if(/[~\d]/.test(s)){if("~"==s){if(!/^[0-9]/.test(e.peek()))return null;if("0"==e.next()&&e.match(/^[xX][0-9a-fA-F]+/)||e.match(/^[0-9]*(\.[0-9]+)?([eE][~+]?[0-9]+)?/))return"number"}return"0"==s&&e.match(/^[xX][0-9a-fA-F]+/)||e.match(/^[0-9]*(\.[0-9]+)?([eE][~+]?[0-9]+)?/)?"number":null}return"%"==s?(e.skipToEnd(),"comment"):"/"==s&&e.eat("*")?(t.tokenize=v,v(e,t)):r.test(s)?"operator":(e.eatWhile(/\w/),"variable")}function h(e,t){return e.eatSpace()?null:(e.match(/([A-Z][A-Za-z0-9_]*)|(`.+`)/),t.tokenize=f,"variable-3")}function m(e,t){return e.eatSpace()?null:(e.match(/([a-zA-Z][A-Za-z0-9_]*)|(`.+`)/),t.tokenize=f,"def")}function g(e,t){return e.eatSpace()?null:!t.hasPassedFirstStage&&e.eat("{")?(t.hasPassedFirstStage=!0,"bracket"):t.hasPassedFirstStage?(e.match(/([A-Z][A-Za-z0-9_]*)|(`.+`)|\$/),t.hasPassedFirstStage=!1,t.tokenize=f,"def"):(t.tokenize=f,null)}function v(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=f;break}r="*"==n}return"comment"}return{startState:function(){return{tokenize:f,currentIndent:0,doInCurrentLine:!1,hasPassedFirstStage:!1}},token:function(e,t){return e.sol()&&(t.doInCurrentLine=0),t.tokenize(e,t)},indent:function(t,n){var r=n.replace(/^\s+|\s+$/g,"");return r.match(p)||r.match(d)||r.match(/(\[])/)?e.indentUnit*(t.currentIndent-1):t.currentIndent<0?0:t.currentIndent*e.indentUnit},fold:"indent",electricInput:(n=a.concat(s),new RegExp("[\\[\\]]|("+n.join("|")+")$")),lineComment:"%",blockCommentStart:"/*",blockCommentEnd:"*/"}})),e.defineMIME("text/x-oz","oz")}(n(23326))},44199:(e,t,n)=>{!function(e){"use strict";e.defineMode("pascal",(function(){var e=function(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}("absolute and array asm begin case const constructor destructor div do downto else end file for function goto if implementation in inherited inline interface label mod nil not object of operator or packed procedure program record reintroduce repeat self set shl shr string then to type unit until uses var while with xor as class dispinterface except exports finalization finally initialization inline is library on out packed property raise resourcestring threadvar try absolute abstract alias assembler bitpacked break cdecl continue cppdecl cvar default deprecated dynamic enumerator experimental export external far far16 forward generic helper implements index interrupt iocheck local message name near nodefault noreturn nostackframe oldfpccall otherwise overload override pascal platform private protected public published read register reintroduce result safecall saveregisters softfloat specialize static stdcall stored strict unaligned unimplemented varargs virtual write"),t={null:!0},n=/[+\-*&%=<>!?|\/]/;function r(r,a){var s,l=r.next();if("#"==l&&a.startOfLine)return r.skipToEnd(),"meta";if('"'==l||"'"==l)return a.tokenize=(s=l,function(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if(n==s&&!r){i=!0;break}r=!r&&"\\"==n}return!i&&r||(t.tokenize=null),"string"}),a.tokenize(r,a);if("("==l&&r.eat("*"))return a.tokenize=i,i(r,a);if("{"==l)return a.tokenize=o,o(r,a);if(/[\[\]\(\),;\:\.]/.test(l))return null;if(/\d/.test(l))return r.eatWhile(/[\w\.]/),"number";if("/"==l&&r.eat("/"))return r.skipToEnd(),"comment";if(n.test(l))return r.eatWhile(n),"operator";r.eatWhile(/[\w\$_]/);var u=r.current();return e.propertyIsEnumerable(u)?"keyword":t.propertyIsEnumerable(u)?"atom":"variable"}function i(e,t){for(var n,r=!1;n=e.next();){if(")"==n&&r){t.tokenize=null;break}r="*"==n}return"comment"}function o(e,t){for(var n;n=e.next();)if("}"==n){t.tokenize=null;break}return"comment"}return{startState:function(){return{tokenize:null}},token:function(e,t){return e.eatSpace()?null:(t.tokenize||r)(e,t)},electricChars:"{}"}})),e.defineMIME("text/x-pascal","pascal")}(n(23326))},6575:(e,t,n)=>{!function(e){"use strict";e.defineMode("pegjs",(function(t){var n=e.getMode(t,"javascript");return{startState:function(){return{inString:!1,stringType:null,inComment:!1,inCharacterClass:!1,braced:0,lhs:!0,localState:null}},token:function(t,r){if(r.inString||r.inComment||'"'!=t.peek()&&"'"!=t.peek()||(r.stringType=t.peek(),t.next(),r.inString=!0),r.inString||r.inComment||!t.match("/*")||(r.inComment=!0),r.inString){for(;r.inString&&!t.eol();)t.peek()===r.stringType?(t.next(),r.inString=!1):"\\"===t.peek()?(t.next(),t.next()):t.match(/^.[^\\\"\']*/);return r.lhs?"property string":"string"}if(r.inComment){for(;r.inComment&&!t.eol();)t.match("*/")?r.inComment=!1:t.match(/^.[^\*]*/);return"comment"}if(r.inCharacterClass)for(;r.inCharacterClass&&!t.eol();)t.match(/^[^\]\\]+/)||t.match(/^\\./)||(r.inCharacterClass=!1);else{if("["===t.peek())return t.next(),r.inCharacterClass=!0,"bracket";if(t.match("//"))return t.skipToEnd(),"comment";if(r.braced||"{"===t.peek()){null===r.localState&&(r.localState=e.startState(n));var i=n.token(t,r.localState),o=t.current();if(!i)for(var a=0;a<o.length;a++)"{"===o[a]?r.braced++:"}"===o[a]&&r.braced--;return i}if(function(e){return e.match(/^[a-zA-Z_][a-zA-Z0-9_]*/)}(t))return":"===t.peek()?"variable":"variable-2";if(-1!=["[","]","(",")"].indexOf(t.peek()))return t.next(),"bracket";t.eatSpace()||t.next()}return null}}}),"javascript")}(n(23326),n(49055))},82193:(e,t,n)=>{!function(e){"use strict";function t(e,t){return e.string.charAt(e.pos+(t||0))}function n(e,t){if(t){var n=e.pos-t;return e.string.substr(n>=0?n:0,t)}return e.string.substr(0,e.pos-1)}function r(e,t){var n=e.string.length,r=n-e.pos+1;return e.string.substr(e.pos,t&&t<n?t:r)}function i(e,t){var n,r=e.pos+t;r<=0?e.pos=0:r>=(n=e.string.length-1)?e.pos=n:e.pos=r}e.defineMode("perl",(function(){var e={"->":4,"++":4,"--":4,"**":4,"=~":4,"!~":4,"*":4,"/":4,"%":4,x:4,"+":4,"-":4,".":4,"<<":4,">>":4,"<":4,">":4,"<=":4,">=":4,lt:4,gt:4,le:4,ge:4,"==":4,"!=":4,"<=>":4,eq:4,ne:4,cmp:4,"~~":4,"&":4,"|":4,"^":4,"&&":4,"||":4,"//":4,"..":4,"...":4,"?":4,":":4,"=":4,"+=":4,"-=":4,"*=":4,",":4,"=>":4,"::":4,not:4,and:4,or:4,xor:4,BEGIN:[5,1],END:[5,1],PRINT:[5,1],PRINTF:[5,1],GETC:[5,1],READ:[5,1],READLINE:[5,1],DESTROY:[5,1],TIE:[5,1],TIEHANDLE:[5,1],UNTIE:[5,1],STDIN:5,STDIN_TOP:5,STDOUT:5,STDOUT_TOP:5,STDERR:5,STDERR_TOP:5,$ARG:5,$_:5,"@ARG":5,"@_":5,$LIST_SEPARATOR:5,'$"':5,$PROCESS_ID:5,$PID:5,$$:5,$REAL_GROUP_ID:5,$GID:5,"$(":5,$EFFECTIVE_GROUP_ID:5,$EGID:5,"$)":5,$PROGRAM_NAME:5,$0:5,$SUBSCRIPT_SEPARATOR:5,$SUBSEP:5,"$;":5,$REAL_USER_ID:5,$UID:5,"$<":5,$EFFECTIVE_USER_ID:5,$EUID:5,"$>":5,$a:5,$b:5,$COMPILING:5,"$^C":5,$DEBUGGING:5,"$^D":5,"${^ENCODING}":5,$ENV:5,"%ENV":5,$SYSTEM_FD_MAX:5,"$^F":5,"@F":5,"${^GLOBAL_PHASE}":5,"$^H":5,"%^H":5,"@INC":5,"%INC":5,$INPLACE_EDIT:5,"$^I":5,"$^M":5,$OSNAME:5,"$^O":5,"${^OPEN}":5,$PERLDB:5,"$^P":5,$SIG:5,"%SIG":5,$BASETIME:5,"$^T":5,"${^TAINT}":5,"${^UNICODE}":5,"${^UTF8CACHE}":5,"${^UTF8LOCALE}":5,$PERL_VERSION:5,"$^V":5,"${^WIN32_SLOPPY_STAT}":5,$EXECUTABLE_NAME:5,"$^X":5,$1:5,$MATCH:5,"$&":5,"${^MATCH}":5,$PREMATCH:5,"$`":5,"${^PREMATCH}":5,$POSTMATCH:5,"$'":5,"${^POSTMATCH}":5,$LAST_PAREN_MATCH:5,"$+":5,$LAST_SUBMATCH_RESULT:5,"$^N":5,"@LAST_MATCH_END":5,"@+":5,"%LAST_PAREN_MATCH":5,"%+":5,"@LAST_MATCH_START":5,"@-":5,"%LAST_MATCH_START":5,"%-":5,$LAST_REGEXP_CODE_RESULT:5,"$^R":5,"${^RE_DEBUG_FLAGS}":5,"${^RE_TRIE_MAXBUF}":5,$ARGV:5,"@ARGV":5,ARGV:5,ARGVOUT:5,$OUTPUT_FIELD_SEPARATOR:5,$OFS:5,"$,":5,$INPUT_LINE_NUMBER:5,$NR:5,"$.":5,$INPUT_RECORD_SEPARATOR:5,$RS:5,"$/":5,$OUTPUT_RECORD_SEPARATOR:5,$ORS:5,"$\\":5,$OUTPUT_AUTOFLUSH:5,"$|":5,$ACCUMULATOR:5,"$^A":5,$FORMAT_FORMFEED:5,"$^L":5,$FORMAT_PAGE_NUMBER:5,"$%":5,$FORMAT_LINES_LEFT:5,"$-":5,$FORMAT_LINE_BREAK_CHARACTERS:5,"$:":5,$FORMAT_LINES_PER_PAGE:5,"$=":5,$FORMAT_TOP_NAME:5,"$^":5,$FORMAT_NAME:5,"$~":5,"${^CHILD_ERROR_NATIVE}":5,$EXTENDED_OS_ERROR:5,"$^E":5,$EXCEPTIONS_BEING_CAUGHT:5,"$^S":5,$WARNING:5,"$^W":5,"${^WARNING_BITS}":5,$OS_ERROR:5,$ERRNO:5,"$!":5,"%OS_ERROR":5,"%ERRNO":5,"%!":5,$CHILD_ERROR:5,"$?":5,$EVAL_ERROR:5,"$@":5,$OFMT:5,"$#":5,"$*":5,$ARRAY_BASE:5,"$[":5,$OLD_PERL_VERSION:5,"$]":5,if:[1,1],elsif:[1,1],else:[1,1],while:[1,1],unless:[1,1],for:[1,1],foreach:[1,1],abs:1,accept:1,alarm:1,atan2:1,bind:1,binmode:1,bless:1,bootstrap:1,break:1,caller:1,chdir:1,chmod:1,chomp:1,chop:1,chown:1,chr:1,chroot:1,close:1,closedir:1,connect:1,continue:[1,1],cos:1,crypt:1,dbmclose:1,dbmopen:1,default:1,defined:1,delete:1,die:1,do:1,dump:1,each:1,endgrent:1,endhostent:1,endnetent:1,endprotoent:1,endpwent:1,endservent:1,eof:1,eval:1,exec:1,exists:1,exit:1,exp:1,fcntl:1,fileno:1,flock:1,fork:1,format:1,formline:1,getc:1,getgrent:1,getgrgid:1,getgrnam:1,gethostbyaddr:1,gethostbyname:1,gethostent:1,getlogin:1,getnetbyaddr:1,getnetbyname:1,getnetent:1,getpeername:1,getpgrp:1,getppid:1,getpriority:1,getprotobyname:1,getprotobynumber:1,getprotoent:1,getpwent:1,getpwnam:1,getpwuid:1,getservbyname:1,getservbyport:1,getservent:1,getsockname:1,getsockopt:1,given:1,glob:1,gmtime:1,goto:1,grep:1,hex:1,import:1,index:1,int:1,ioctl:1,join:1,keys:1,kill:1,last:1,lc:1,lcfirst:1,length:1,link:1,listen:1,local:2,localtime:1,lock:1,log:1,lstat:1,m:null,map:1,mkdir:1,msgctl:1,msgget:1,msgrcv:1,msgsnd:1,my:2,new:1,next:1,no:1,oct:1,open:1,opendir:1,ord:1,our:2,pack:1,package:1,pipe:1,pop:1,pos:1,print:1,printf:1,prototype:1,push:1,q:null,qq:null,qr:null,quotemeta:null,qw:null,qx:null,rand:1,read:1,readdir:1,readline:1,readlink:1,readpipe:1,recv:1,redo:1,ref:1,rename:1,require:1,reset:1,return:1,reverse:1,rewinddir:1,rindex:1,rmdir:1,s:null,say:1,scalar:1,seek:1,seekdir:1,select:1,semctl:1,semget:1,semop:1,send:1,setgrent:1,sethostent:1,setnetent:1,setpgrp:1,setpriority:1,setprotoent:1,setpwent:1,setservent:1,setsockopt:1,shift:1,shmctl:1,shmget:1,shmread:1,shmwrite:1,shutdown:1,sin:1,sleep:1,socket:1,socketpair:1,sort:1,splice:1,split:1,sprintf:1,sqrt:1,srand:1,stat:1,state:1,study:1,sub:1,substr:1,symlink:1,syscall:1,sysopen:1,sysread:1,sysseek:1,system:1,syswrite:1,tell:1,telldir:1,tie:1,tied:1,time:1,times:1,tr:null,truncate:1,uc:1,ucfirst:1,umask:1,undef:1,unlink:1,unpack:1,unshift:1,untie:1,use:1,utime:1,values:1,vec:1,wait:1,waitpid:1,wantarray:1,warn:1,when:1,write:1,y:null},o="string-2",a=/[goseximacplud]/;function s(e,t,n,r,i){return t.chain=null,t.style=null,t.tail=null,t.tokenize=function(e,t){for(var o,a=!1,s=0;o=e.next();){if(o===n[s]&&!a)return void 0!==n[++s]?(t.chain=n[s],t.style=r,t.tail=i):i&&e.eatWhile(i),t.tokenize=u,r;a=!a&&"\\"==o}return r},t.tokenize(e,t)}function l(e,t,n){return t.tokenize=function(e,t){return e.string==n&&(t.tokenize=u),e.skipToEnd(),"string"},t.tokenize(e,t)}function u(u,c){if(u.eatSpace())return null;if(c.chain)return s(u,c,c.chain,c.style,c.tail);if(u.match(/^(\-?((\d[\d_]*)?\.\d+(e[+-]?\d+)?|\d+\.\d*)|0x[\da-fA-F_]+|0b[01_]+|\d[\d_]*(e[+-]?\d+)?)/))return"number";if(u.match(/^<<(?=[_a-zA-Z])/))return u.eatWhile(/\w/),l(u,c,u.current().substr(2));if(u.sol()&&u.match(/^\=item(?!\w)/))return l(u,c,"=cut");var d=u.next();if('"'==d||"'"==d){if(n(u,3)=="<<"+d){var p=u.pos;u.eatWhile(/\w/);var f=u.current().substr(1);if(f&&u.eat(d))return l(u,c,f);u.pos=p}return s(u,c,[d],"string")}if(!("q"!=d||(h=t(u,-2))&&/\w/.test(h)))if("x"==(h=t(u,0))){if("("==(h=t(u,1)))return i(u,2),s(u,c,[")"],o,a);if("["==h)return i(u,2),s(u,c,["]"],o,a);if("{"==h)return i(u,2),s(u,c,["}"],o,a);if("<"==h)return i(u,2),s(u,c,[">"],o,a);if(/[\^'"!~\/]/.test(h))return i(u,1),s(u,c,[u.eat(h)],o,a)}else if("q"==h){if("("==(h=t(u,1)))return i(u,2),s(u,c,[")"],"string");if("["==h)return i(u,2),s(u,c,["]"],"string");if("{"==h)return i(u,2),s(u,c,["}"],"string");if("<"==h)return i(u,2),s(u,c,[">"],"string");if(/[\^'"!~\/]/.test(h))return i(u,1),s(u,c,[u.eat(h)],"string")}else if("w"==h){if("("==(h=t(u,1)))return i(u,2),s(u,c,[")"],"bracket");if("["==h)return i(u,2),s(u,c,["]"],"bracket");if("{"==h)return i(u,2),s(u,c,["}"],"bracket");if("<"==h)return i(u,2),s(u,c,[">"],"bracket");if(/[\^'"!~\/]/.test(h))return i(u,1),s(u,c,[u.eat(h)],"bracket")}else if("r"==h){if("("==(h=t(u,1)))return i(u,2),s(u,c,[")"],o,a);if("["==h)return i(u,2),s(u,c,["]"],o,a);if("{"==h)return i(u,2),s(u,c,["}"],o,a);if("<"==h)return i(u,2),s(u,c,[">"],o,a);if(/[\^'"!~\/]/.test(h))return i(u,1),s(u,c,[u.eat(h)],o,a)}else if(/[\^'"!~\/(\[{<]/.test(h)){if("("==h)return i(u,1),s(u,c,[")"],"string");if("["==h)return i(u,1),s(u,c,["]"],"string");if("{"==h)return i(u,1),s(u,c,["}"],"string");if("<"==h)return i(u,1),s(u,c,[">"],"string");if(/[\^'"!~\/]/.test(h))return s(u,c,[u.eat(h)],"string")}if("m"==d&&(!(h=t(u,-2))||!/\w/.test(h))&&(h=u.eat(/[(\[{<\^'"!~\/]/))){if(/[\^'"!~\/]/.test(h))return s(u,c,[h],o,a);if("("==h)return s(u,c,[")"],o,a);if("["==h)return s(u,c,["]"],o,a);if("{"==h)return s(u,c,["}"],o,a);if("<"==h)return s(u,c,[">"],o,a)}if("s"==d&&!(h=/[\/>\]})\w]/.test(t(u,-2)))&&(h=u.eat(/[(\[{<\^'"!~\/]/)))return s(u,c,"["==h?["]","]"]:"{"==h?["}","}"]:"<"==h?[">",">"]:"("==h?[")",")"]:[h,h],o,a);if("y"==d&&!(h=/[\/>\]})\w]/.test(t(u,-2)))&&(h=u.eat(/[(\[{<\^'"!~\/]/)))return s(u,c,"["==h?["]","]"]:"{"==h?["}","}"]:"<"==h?[">",">"]:"("==h?[")",")"]:[h,h],o,a);if("t"==d&&!(h=/[\/>\]})\w]/.test(t(u,-2)))&&(h=u.eat("r"))&&(h=u.eat(/[(\[{<\^'"!~\/]/)))return s(u,c,"["==h?["]","]"]:"{"==h?["}","}"]:"<"==h?[">",">"]:"("==h?[")",")"]:[h,h],o,a);if("`"==d)return s(u,c,[d],"variable-2");if("/"==d)return/~\s*$/.test(n(u))?s(u,c,[d],o,a):"operator";if("$"==d){if(p=u.pos,u.eatWhile(/\d/)||u.eat("{")&&u.eatWhile(/\d/)&&u.eat("}"))return"variable-2";u.pos=p}if(/[$@%]/.test(d)){if(p=u.pos,u.eat("^")&&u.eat(/[A-Z]/)||!/[@$%&]/.test(t(u,-2))&&u.eat(/[=|\\\-#?@;:&`~\^!\[\]*'"$+.,\/<>()]/)){var h=u.current();if(e[h])return"variable-2"}u.pos=p}if(/[$@%&]/.test(d)&&(u.eatWhile(/[\w$]/)||u.eat("{")&&u.eatWhile(/[\w$]/)&&u.eat("}")))return h=u.current(),e[h]?"variable-2":"variable";if("#"==d&&"$"!=t(u,-2))return u.skipToEnd(),"comment";if(/[:+\-\^*$&%@=<>!?|\/~\.]/.test(d)){if(p=u.pos,u.eatWhile(/[:+\-\^*$&%@=<>!?|\/~\.]/),e[u.current()])return"operator";u.pos=p}if("_"==d&&1==u.pos){if("_END__"==r(u,6))return s(u,c,["\0"],"comment");if("_DATA__"==r(u,7))return s(u,c,["\0"],"variable-2");if("_C__"==r(u,7))return s(u,c,["\0"],"string")}if(/\w/.test(d)){if(p=u.pos,"{"==t(u,-2)&&("}"==t(u,0)||u.eatWhile(/\w/)&&"}"==t(u,0)))return"string";u.pos=p}if(/[A-Z]/.test(d)){var m=t(u,-2);if(p=u.pos,u.eatWhile(/[A-Z_]/),!/[\da-z]/.test(t(u,0)))return(h=e[u.current()])?(h[1]&&(h=h[0]),":"!=m?1==h?"keyword":2==h?"def":3==h?"atom":4==h?"operator":5==h?"variable-2":"meta":"meta"):"meta";u.pos=p}return/[a-zA-Z_]/.test(d)?(m=t(u,-2),u.eatWhile(/\w/),(h=e[u.current()])?(h[1]&&(h=h[0]),":"!=m?1==h?"keyword":2==h?"def":3==h?"atom":4==h?"operator":5==h?"variable-2":"meta":"meta"):"meta"):null}return{startState:function(){return{tokenize:u,chain:null,style:null,tail:null}},token:function(e,t){return(t.tokenize||u)(e,t)},lineComment:"#"}})),e.registerHelper("wordChars","perl",/[\w$]/),e.defineMIME("text/x-perl","perl")}(n(23326))},17134:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}function n(e,t,i){return 0==e.length?r(t):function(o,a){for(var s=e[0],l=0;l<s.length;l++)if(o.match(s[l][0]))return a.tokenize=n(e.slice(1),t),s[l][1];return a.tokenize=r(t,i),"string"}}function r(e,t){return function(r,i){return function(e,t,r,i){if(!1!==i&&e.match("${",!1)||e.match("{$",!1))return t.tokenize=null,"string";if(!1!==i&&e.match(/^\$[a-zA-Z_][a-zA-Z0-9_]*/))return e.match("[",!1)&&(t.tokenize=n([[["[",null]],[[/\d[\w\.]*/,"number"],[/\$[a-zA-Z_][a-zA-Z0-9_]*/,"variable-2"],[/[\w\$]+/,"variable"]],[["]",null]]],r,i)),e.match(/^->\w/,!1)&&(t.tokenize=n([[["->",null]],[[/[\w]+/,"variable"]]],r,i)),"variable-2";for(var o=!1;!e.eol()&&(o||!1===i||!e.match("{$",!1)&&!e.match(/^(\$[a-zA-Z_][a-zA-Z0-9_]*|\$\{)/,!1));){if(!o&&e.match(r)){t.tokenize=null,t.tokStack.pop(),t.tokStack.pop();break}o="\\"==e.next()&&!o}return"string"}(r,i,e,t)}}var i="abstract and array as break case catch class clone const continue declare default do else elseif enddeclare endfor endforeach endif endswitch endwhile enum extends final for foreach function global goto if implements interface instanceof namespace new or private protected public static switch throw trait try use var while xor die echo empty exit eval include include_once isset list require require_once return print unset __halt_compiler self static parent yield insteadof finally readonly match",o="true false null TRUE FALSE NULL __CLASS__ __DIR__ __FILE__ __LINE__ __METHOD__ __FUNCTION__ __NAMESPACE__ __TRAIT__",a="func_num_args func_get_arg func_get_args strlen strcmp strncmp strcasecmp strncasecmp each error_reporting define defined trigger_error user_error set_error_handler restore_error_handler get_declared_classes get_loaded_extensions extension_loaded get_extension_funcs debug_backtrace constant bin2hex hex2bin sleep usleep time mktime gmmktime strftime gmstrftime strtotime date gmdate getdate localtime checkdate flush wordwrap htmlspecialchars htmlentities html_entity_decode md5 md5_file crc32 getimagesize image_type_to_mime_type phpinfo phpversion phpcredits strnatcmp strnatcasecmp substr_count strspn strcspn strtok strtoupper strtolower strpos strrpos strrev hebrev hebrevc nl2br basename dirname pathinfo stripslashes stripcslashes strstr stristr strrchr str_shuffle str_word_count strcoll substr substr_replace quotemeta ucfirst ucwords strtr addslashes addcslashes rtrim str_replace str_repeat count_chars chunk_split trim ltrim strip_tags similar_text explode implode setlocale localeconv parse_str str_pad chop strchr sprintf printf vprintf vsprintf sscanf fscanf parse_url urlencode urldecode rawurlencode rawurldecode readlink linkinfo link unlink exec system escapeshellcmd escapeshellarg passthru shell_exec proc_open proc_close rand srand getrandmax mt_rand mt_srand mt_getrandmax base64_decode base64_encode abs ceil floor round is_finite is_nan is_infinite bindec hexdec octdec decbin decoct dechex base_convert number_format fmod ip2long long2ip getenv putenv getopt microtime gettimeofday getrusage uniqid quoted_printable_decode set_time_limit get_cfg_var magic_quotes_runtime set_magic_quotes_runtime get_magic_quotes_gpc get_magic_quotes_runtime import_request_variables error_log serialize unserialize memory_get_usage memory_get_peak_usage var_dump var_export debug_zval_dump print_r highlight_file show_source highlight_string ini_get ini_get_all ini_set ini_alter ini_restore get_include_path set_include_path restore_include_path setcookie header headers_sent connection_aborted connection_status ignore_user_abort parse_ini_file is_uploaded_file move_uploaded_file intval floatval doubleval strval gettype settype is_null is_resource is_bool is_long is_float is_int is_integer is_double is_real is_numeric is_string is_array is_object is_scalar ereg ereg_replace eregi eregi_replace split spliti join sql_regcase dl pclose popen readfile rewind rmdir umask fclose feof fgetc fgets fgetss fread fopen fpassthru ftruncate fstat fseek ftell fflush fwrite fputs mkdir rename copy tempnam tmpfile file file_get_contents file_put_contents stream_select stream_context_create stream_context_set_params stream_context_set_option stream_context_get_options stream_filter_prepend stream_filter_append fgetcsv flock get_meta_tags stream_set_write_buffer set_file_buffer set_socket_blocking stream_set_blocking socket_set_blocking stream_get_meta_data stream_register_wrapper stream_wrapper_register stream_set_timeout socket_set_timeout socket_get_status realpath fnmatch fsockopen pfsockopen pack unpack get_browser crypt opendir closedir chdir getcwd rewinddir readdir dir glob fileatime filectime filegroup fileinode filemtime fileowner fileperms filesize filetype file_exists is_writable is_writeable is_readable is_executable is_file is_dir is_link stat lstat chown touch clearstatcache mail ob_start ob_flush ob_clean ob_end_flush ob_end_clean ob_get_flush ob_get_clean ob_get_length ob_get_level ob_get_status ob_get_contents ob_implicit_flush ob_list_handlers ksort krsort natsort natcasesort asort arsort sort rsort usort uasort uksort shuffle array_walk count end prev next reset current key min max in_array array_search extract compact array_fill range array_multisort array_push array_pop array_shift array_unshift array_splice array_slice array_merge array_merge_recursive array_keys array_values array_count_values array_reverse array_reduce array_pad array_flip array_change_key_case array_rand array_unique array_intersect array_intersect_assoc array_diff array_diff_assoc array_sum array_filter array_map array_chunk array_key_exists array_intersect_key array_combine array_column pos sizeof key_exists assert assert_options version_compare ftok str_rot13 aggregate session_name session_module_name session_save_path session_id session_regenerate_id session_decode session_register session_unregister session_is_registered session_encode session_start session_destroy session_unset session_set_save_handler session_cache_limiter session_cache_expire session_set_cookie_params session_get_cookie_params session_write_close preg_match preg_match_all preg_replace preg_replace_callback preg_split preg_quote preg_grep overload ctype_alnum ctype_alpha ctype_cntrl ctype_digit ctype_lower ctype_graph ctype_print ctype_punct ctype_space ctype_upper ctype_xdigit virtual apache_request_headers apache_note apache_lookup_uri apache_child_terminate apache_setenv apache_response_headers apache_get_version getallheaders mysql_connect mysql_pconnect mysql_close mysql_select_db mysql_create_db mysql_drop_db mysql_query mysql_unbuffered_query mysql_db_query mysql_list_dbs mysql_list_tables mysql_list_fields mysql_list_processes mysql_error mysql_errno mysql_affected_rows mysql_insert_id mysql_result mysql_num_rows mysql_num_fields mysql_fetch_row mysql_fetch_array mysql_fetch_assoc mysql_fetch_object mysql_data_seek mysql_fetch_lengths mysql_fetch_field mysql_field_seek mysql_free_result mysql_field_name mysql_field_table mysql_field_len mysql_field_type mysql_field_flags mysql_escape_string mysql_real_escape_string mysql_stat mysql_thread_id mysql_client_encoding mysql_get_client_info mysql_get_host_info mysql_get_proto_info mysql_get_server_info mysql_info mysql mysql_fieldname mysql_fieldtable mysql_fieldlen mysql_fieldtype mysql_fieldflags mysql_selectdb mysql_createdb mysql_dropdb mysql_freeresult mysql_numfields mysql_numrows mysql_listdbs mysql_listtables mysql_listfields mysql_db_name mysql_dbname mysql_tablename mysql_table_name pg_connect pg_pconnect pg_close pg_connection_status pg_connection_busy pg_connection_reset pg_host pg_dbname pg_port pg_tty pg_options pg_ping pg_query pg_send_query pg_cancel_query pg_fetch_result pg_fetch_row pg_fetch_assoc pg_fetch_array pg_fetch_object pg_fetch_all pg_affected_rows pg_get_result pg_result_seek pg_result_status pg_free_result pg_last_oid pg_num_rows pg_num_fields pg_field_name pg_field_num pg_field_size pg_field_type pg_field_prtlen pg_field_is_null pg_get_notify pg_get_pid pg_result_error pg_last_error pg_last_notice pg_put_line pg_end_copy pg_copy_to pg_copy_from pg_trace pg_untrace pg_lo_create pg_lo_unlink pg_lo_open pg_lo_close pg_lo_read pg_lo_write pg_lo_read_all pg_lo_import pg_lo_export pg_lo_seek pg_lo_tell pg_escape_string pg_escape_bytea pg_unescape_bytea pg_client_encoding pg_set_client_encoding pg_meta_data pg_convert pg_insert pg_update pg_delete pg_select pg_exec pg_getlastoid pg_cmdtuples pg_errormessage pg_numrows pg_numfields pg_fieldname pg_fieldsize pg_fieldtype pg_fieldnum pg_fieldprtlen pg_fieldisnull pg_freeresult pg_result pg_loreadall pg_locreate pg_lounlink pg_loopen pg_loclose pg_loread pg_lowrite pg_loimport pg_loexport http_response_code get_declared_traits getimagesizefromstring socket_import_stream stream_set_chunk_size trait_exists header_register_callback class_uses session_status session_register_shutdown echo print global static exit array empty eval isset unset die include require include_once require_once json_decode json_encode json_last_error json_last_error_msg curl_close curl_copy_handle curl_errno curl_error curl_escape curl_exec curl_file_create curl_getinfo curl_init curl_multi_add_handle curl_multi_close curl_multi_exec curl_multi_getcontent curl_multi_info_read curl_multi_init curl_multi_remove_handle curl_multi_select curl_multi_setopt curl_multi_strerror curl_pause curl_reset curl_setopt_array curl_setopt curl_share_close curl_share_init curl_share_setopt curl_strerror curl_unescape curl_version mysqli_affected_rows mysqli_autocommit mysqli_change_user mysqli_character_set_name mysqli_close mysqli_commit mysqli_connect_errno mysqli_connect_error mysqli_connect mysqli_data_seek mysqli_debug mysqli_dump_debug_info mysqli_errno mysqli_error_list mysqli_error mysqli_fetch_all mysqli_fetch_array mysqli_fetch_assoc mysqli_fetch_field_direct mysqli_fetch_field mysqli_fetch_fields mysqli_fetch_lengths mysqli_fetch_object mysqli_fetch_row mysqli_field_count mysqli_field_seek mysqli_field_tell mysqli_free_result mysqli_get_charset mysqli_get_client_info mysqli_get_client_stats mysqli_get_client_version mysqli_get_connection_stats mysqli_get_host_info mysqli_get_proto_info mysqli_get_server_info mysqli_get_server_version mysqli_info mysqli_init mysqli_insert_id mysqli_kill mysqli_more_results mysqli_multi_query mysqli_next_result mysqli_num_fields mysqli_num_rows mysqli_options mysqli_ping mysqli_prepare mysqli_query mysqli_real_connect mysqli_real_escape_string mysqli_real_query mysqli_reap_async_query mysqli_refresh mysqli_rollback mysqli_select_db mysqli_set_charset mysqli_set_local_infile_default mysqli_set_local_infile_handler mysqli_sqlstate mysqli_ssl_set mysqli_stat mysqli_stmt_init mysqli_store_result mysqli_thread_id mysqli_thread_safe mysqli_use_result mysqli_warning_count";e.registerHelper("hintWords","php",[i,o,a].join(" ").split(" ")),e.registerHelper("wordChars","php",/[\w$]/);var s={name:"clike",helperType:"php",keywords:t(i),blockKeywords:t("catch do else elseif for foreach if switch try while finally"),defKeywords:t("class enum function interface namespace trait"),atoms:t(o),builtin:t(a),multiLineStrings:!0,hooks:{$:function(e){return e.eatWhile(/[\w\$_]/),"variable-2"},"<":function(e,t){var n;if(n=e.match(/^<<\s*/)){var i=e.eat(/['"]/);e.eatWhile(/[\w\.]/);var o=e.current().slice(n[0].length+(i?2:1));if(i&&e.eat(i),o)return(t.tokStack||(t.tokStack=[])).push(o,0),t.tokenize=r(o,"'"!=i),"string"}return!1},"#":function(e){for(;!e.eol()&&!e.match("?>",!1);)e.next();return"comment"},"/":function(e){if(e.eat("/")){for(;!e.eol()&&!e.match("?>",!1);)e.next();return"comment"}return!1},'"':function(e,t){return(t.tokStack||(t.tokStack=[])).push('"',0),t.tokenize=r('"'),"string"},"{":function(e,t){return t.tokStack&&t.tokStack.length&&t.tokStack[t.tokStack.length-1]++,!1},"}":function(e,t){return t.tokStack&&t.tokStack.length>0&&! --t.tokStack[t.tokStack.length-1]&&(t.tokenize=r(t.tokStack[t.tokStack.length-2])),!1}}};e.defineMode("php",(function(t,n){var r=e.getMode(t,n&&n.htmlMode||"text/html"),i=e.getMode(t,s);return{startState:function(){var t=e.startState(r),o=n.startOpen?e.startState(i):null;return{html:t,php:o,curMode:n.startOpen?i:r,curState:n.startOpen?o:t,pending:null}},copyState:function(t){var n,o=t.html,a=e.copyState(r,o),s=t.php,l=s&&e.copyState(i,s);return n=t.curMode==r?a:l,{html:a,php:l,curMode:t.curMode,curState:n,pending:t.pending}},token:function(t,n){var o=n.curMode==i;if(t.sol()&&n.pending&&'"'!=n.pending&&"'"!=n.pending&&(n.pending=null),o)return o&&null==n.php.tokenize&&t.match("?>")?(n.curMode=r,n.curState=n.html,n.php.context.prev||(n.php=null),"meta"):i.token(t,n.curState);if(t.match(/^<\?\w*/))return n.curMode=i,n.php||(n.php=e.startState(i,r.indent(n.html,"",""))),n.curState=n.php,"meta";if('"'==n.pending||"'"==n.pending){for(;!t.eol()&&t.next()!=n.pending;);var a="string"}else n.pending&&t.pos<n.pending.end?(t.pos=n.pending.end,a=n.pending.style):a=r.token(t,n.curState);n.pending&&(n.pending=null);var s,l=t.current(),u=l.search(/<\?/);return-1!=u&&("string"==a&&(s=l.match(/[\'\"]$/))&&!/\?>/.test(l)?n.pending=s[0]:n.pending={end:t.pos,style:a},t.backUp(l.length-u)),a},indent:function(e,t,n){return e.curMode!=i&&/^\s*<\//.test(t)||e.curMode==i&&/^\?>/.test(t)?r.indent(e.html,t,n):e.curMode.indent(e.curState,t,n)},blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//",innerMode:function(e){return{state:e.curState,mode:e.curMode}}}}),"htmlmixed","clike"),e.defineMIME("application/x-httpd-php","php"),e.defineMIME("application/x-httpd-php-open",{name:"php",startOpen:!0}),e.defineMIME("text/x-php",s)}(n(23326),n(38425),n(80011))},20997:(e,t,n)=>{!function(e){"use strict";e.defineMode("pig",(function(e,t){var n=t.keywords,r=t.builtins,i=t.types,o=t.multiLineStrings,a=/[*+\-%<>=&?:\/!|]/;function s(e,t,n){return t.tokenize=n,n(e,t)}function l(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=u;break}r="*"==n}return"comment"}function u(e,t){var c,d=e.next();return'"'==d||"'"==d?s(e,t,(c=d,function(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if(n==c&&!r){i=!0;break}r=!r&&"\\"==n}return(i||!r&&!o)&&(t.tokenize=u),"error"})):/[\[\]{}\(\),;\.]/.test(d)?null:/\d/.test(d)?(e.eatWhile(/[\w\.]/),"number"):"/"==d?e.eat("*")?s(e,t,l):(e.eatWhile(a),"operator"):"-"==d?e.eat("-")?(e.skipToEnd(),"comment"):(e.eatWhile(a),"operator"):a.test(d)?(e.eatWhile(a),"operator"):(e.eatWhile(/[\w\$_]/),n&&n.propertyIsEnumerable(e.current().toUpperCase())&&!e.eat(")")&&!e.eat(".")?"keyword":r&&r.propertyIsEnumerable(e.current().toUpperCase())?"variable-2":i&&i.propertyIsEnumerable(e.current().toUpperCase())?"variable-3":"variable")}return{startState:function(){return{tokenize:u,startOfLine:!0}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)}}})),function(){function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var n="ABS ACOS ARITY ASIN ATAN AVG BAGSIZE BINSTORAGE BLOOM BUILDBLOOM CBRT CEIL CONCAT COR COS COSH COUNT COUNT_STAR COV CONSTANTSIZE CUBEDIMENSIONS DIFF DISTINCT DOUBLEABS DOUBLEAVG DOUBLEBASE DOUBLEMAX DOUBLEMIN DOUBLEROUND DOUBLESUM EXP FLOOR FLOATABS FLOATAVG FLOATMAX FLOATMIN FLOATROUND FLOATSUM GENERICINVOKER INDEXOF INTABS INTAVG INTMAX INTMIN INTSUM INVOKEFORDOUBLE INVOKEFORFLOAT INVOKEFORINT INVOKEFORLONG INVOKEFORSTRING INVOKER ISEMPTY JSONLOADER JSONMETADATA JSONSTORAGE LAST_INDEX_OF LCFIRST LOG LOG10 LOWER LONGABS LONGAVG LONGMAX LONGMIN LONGSUM MAX MIN MAPSIZE MONITOREDUDF NONDETERMINISTIC OUTPUTSCHEMA PIGSTORAGE PIGSTREAMING RANDOM REGEX_EXTRACT REGEX_EXTRACT_ALL REPLACE ROUND SIN SINH SIZE SQRT STRSPLIT SUBSTRING SUM STRINGCONCAT STRINGMAX STRINGMIN STRINGSIZE TAN TANH TOBAG TOKENIZE TOMAP TOP TOTUPLE TRIM TEXTLOADER TUPLESIZE UCFIRST UPPER UTF8STORAGECONVERTER ",r="VOID IMPORT RETURNS DEFINE LOAD FILTER FOREACH ORDER CUBE DISTINCT COGROUP JOIN CROSS UNION SPLIT INTO IF OTHERWISE ALL AS BY USING INNER OUTER ONSCHEMA PARALLEL PARTITION GROUP AND OR NOT GENERATE FLATTEN ASC DESC IS STREAM THROUGH STORE MAPREDUCE SHIP CACHE INPUT OUTPUT STDERROR STDIN STDOUT LIMIT SAMPLE LEFT RIGHT FULL EQ GT LT GTE LTE NEQ MATCHES TRUE FALSE DUMP",i="BOOLEAN INT LONG FLOAT DOUBLE CHARARRAY BYTEARRAY BAG TUPLE MAP ";e.defineMIME("text/x-pig",{name:"pig",builtins:t(n),keywords:t(r),types:t(i)}),e.registerHelper("hintWords","pig",(n+i+r).split(" "))}()}(n(23326))},50261:(e,t,n)=>{!function(e){"use strict";var t;(t=n(23326)).defineMode("powershell",(function(){function e(e,t){for(var n=void 0!==(t=t||{}).prefix?t.prefix:"^",r=void 0!==t.suffix?t.suffix:"\\b",i=0;i<e.length;i++)e[i]instanceof RegExp?e[i]=e[i].source:e[i]=e[i].replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&");return new RegExp(n+"("+e.join("|")+")"+r,"i")}var t="(?=[^A-Za-z\\d\\-_]|$)",n=/[\w\-:]/,r=e([/begin|break|catch|continue|data|default|do|dynamicparam/,/else|elseif|end|exit|filter|finally|for|foreach|from|function|if|in/,/param|process|return|switch|throw|trap|try|until|where|while/],{suffix:t}),i=e(["f",/b?not/,/[ic]?split/,"join",/is(not)?/,"as",/[ic]?(eq|ne|[gl][te])/,/[ic]?(not)?(like|match|contains)/,/[ic]?replace/,/b?(and|or|xor)/],{prefix:"-"}),o=e([i,/[+\-*\/%]=|\+\+|--|\.\.|[+\-*&^%:=!|\/]|<(?!#)|(?!#)>/],{suffix:""}),a=e([/Add-(Computer|Content|History|Member|PSSnapin|Type)/,/Checkpoint-Computer/,/Clear-(Content|EventLog|History|Host|Item(Property)?|Variable)/,/Compare-Object/,/Complete-Transaction/,/Connect-PSSession/,/ConvertFrom-(Csv|Json|SecureString|StringData)/,/Convert-Path/,/ConvertTo-(Csv|Html|Json|SecureString|Xml)/,/Copy-Item(Property)?/,/Debug-Process/,/Disable-(ComputerRestore|PSBreakpoint|PSRemoting|PSSessionConfiguration)/,/Disconnect-PSSession/,/Enable-(ComputerRestore|PSBreakpoint|PSRemoting|PSSessionConfiguration)/,/(Enter|Exit)-PSSession/,/Export-(Alias|Clixml|Console|Counter|Csv|FormatData|ModuleMember|PSSession)/,/ForEach-Object/,/Format-(Custom|List|Table|Wide)/,new RegExp("Get-(Acl|Alias|AuthenticodeSignature|ChildItem|Command|ComputerRestorePoint|Content|ControlPanelItem|Counter|Credential|Culture|Date|Event|EventLog|EventSubscriber|ExecutionPolicy|FormatData|Help|History|Host|HotFix|Item|ItemProperty|Job|Location|Member|Module|PfxCertificate|Process|PSBreakpoint|PSCallStack|PSDrive|PSProvider|PSSession|PSSessionConfiguration|PSSnapin|Random|Service|TraceSource|Transaction|TypeData|UICulture|Unique|Variable|Verb|WinEvent|WmiObject)"),/Group-Object/,/Import-(Alias|Clixml|Counter|Csv|LocalizedData|Module|PSSession)/,/ImportSystemModules/,/Invoke-(Command|Expression|History|Item|RestMethod|WebRequest|WmiMethod)/,/Join-Path/,/Limit-EventLog/,/Measure-(Command|Object)/,/Move-Item(Property)?/,new RegExp("New-(Alias|Event|EventLog|Item(Property)?|Module|ModuleManifest|Object|PSDrive|PSSession|PSSessionConfigurationFile|PSSessionOption|PSTransportOption|Service|TimeSpan|Variable|WebServiceProxy|WinEvent)"),/Out-(Default|File|GridView|Host|Null|Printer|String)/,/Pause/,/(Pop|Push)-Location/,/Read-Host/,/Receive-(Job|PSSession)/,/Register-(EngineEvent|ObjectEvent|PSSessionConfiguration|WmiEvent)/,/Remove-(Computer|Event|EventLog|Item(Property)?|Job|Module|PSBreakpoint|PSDrive|PSSession|PSSnapin|TypeData|Variable|WmiObject)/,/Rename-(Computer|Item(Property)?)/,/Reset-ComputerMachinePassword/,/Resolve-Path/,/Restart-(Computer|Service)/,/Restore-Computer/,/Resume-(Job|Service)/,/Save-Help/,/Select-(Object|String|Xml)/,/Send-MailMessage/,new RegExp("Set-(Acl|Alias|AuthenticodeSignature|Content|Date|ExecutionPolicy|Item(Property)?|Location|PSBreakpoint|PSDebug|PSSessionConfiguration|Service|StrictMode|TraceSource|Variable|WmiInstance)"),/Show-(Command|ControlPanelItem|EventLog)/,/Sort-Object/,/Split-Path/,/Start-(Job|Process|Service|Sleep|Transaction|Transcript)/,/Stop-(Computer|Job|Process|Service|Transcript)/,/Suspend-(Job|Service)/,/TabExpansion2/,/Tee-Object/,/Test-(ComputerSecureChannel|Connection|ModuleManifest|Path|PSSessionConfigurationFile)/,/Trace-Command/,/Unblock-File/,/Undo-Transaction/,/Unregister-(Event|PSSessionConfiguration)/,/Update-(FormatData|Help|List|TypeData)/,/Use-Transaction/,/Wait-(Event|Job|Process)/,/Where-Object/,/Write-(Debug|Error|EventLog|Host|Output|Progress|Verbose|Warning)/,/cd|help|mkdir|more|oss|prompt/,/ac|asnp|cat|cd|chdir|clc|clear|clhy|cli|clp|cls|clv|cnsn|compare|copy|cp|cpi|cpp|cvpa|dbp|del|diff|dir|dnsn|ebp/,/echo|epal|epcsv|epsn|erase|etsn|exsn|fc|fl|foreach|ft|fw|gal|gbp|gc|gci|gcm|gcs|gdr|ghy|gi|gjb|gl|gm|gmo|gp|gps/,/group|gsn|gsnp|gsv|gu|gv|gwmi|h|history|icm|iex|ihy|ii|ipal|ipcsv|ipmo|ipsn|irm|ise|iwmi|iwr|kill|lp|ls|man|md/,/measure|mi|mount|move|mp|mv|nal|ndr|ni|nmo|npssc|nsn|nv|ogv|oh|popd|ps|pushd|pwd|r|rbp|rcjb|rcsn|rd|rdr|ren|ri/,/rjb|rm|rmdir|rmo|rni|rnp|rp|rsn|rsnp|rujb|rv|rvpa|rwmi|sajb|sal|saps|sasv|sbp|sc|select|set|shcm|si|sl|sleep|sls/,/sort|sp|spjb|spps|spsv|start|sujb|sv|swmi|tee|trcm|type|where|wjb|write/],{prefix:"",suffix:""}),s=e([/[$?^_]|Args|ConfirmPreference|ConsoleFileName|DebugPreference|Error|ErrorActionPreference|ErrorView|ExecutionContext/,/FormatEnumerationLimit|Home|Host|Input|MaximumAliasCount|MaximumDriveCount|MaximumErrorCount|MaximumFunctionCount/,/MaximumHistoryCount|MaximumVariableCount|MyInvocation|NestedPromptLevel|OutputEncoding|Pid|Profile|ProgressPreference/,/PSBoundParameters|PSCommandPath|PSCulture|PSDefaultParameterValues|PSEmailServer|PSHome|PSScriptRoot|PSSessionApplicationName/,/PSSessionConfigurationName|PSSessionOption|PSUICulture|PSVersionTable|Pwd|ShellId|StackTrace|VerbosePreference/,/WarningPreference|WhatIfPreference/,/Event|EventArgs|EventSubscriber|Sender/,/Matches|Ofs|ForEach|LastExitCode|PSCmdlet|PSItem|PSSenderInfo|This/,/true|false|null/],{prefix:"\\$",suffix:""}),l={keyword:r,number:/^((0x[\da-f]+)|((\d+\.\d+|\d\.|\.\d+|\d+)(e[\+\-]?\d+)?))[ld]?([kmgtp]b)?/i,operator:o,builtin:e([/[A-Z]:|%|\?/i,a,s],{suffix:t}),punctuation:/[\[\]{},;`\\\.]|@[({]/,identifier:/^[A-Za-z\_][A-Za-z\-\_\d]*\b/};function u(e,t){var r=t.returnStack[t.returnStack.length-1];if(r&&r.shouldReturnFrom(t))return t.tokenize=r.tokenize,t.returnStack.pop(),t.tokenize(e,t);if(e.eatSpace())return null;if(e.eat("("))return t.bracketNesting+=1,"punctuation";if(e.eat(")"))return t.bracketNesting-=1,"punctuation";for(var i in l)if(e.match(l[i]))return i;var o=e.next();if("'"===o)return function(e,t){for(var n;null!=(n=e.peek());)if(e.next(),"'"===n&&!e.eat("'"))return t.tokenize=u,"string";return"error"}(e,t);if("$"===o)return g(e,t);if('"'===o)return c(e,t);if("<"===o&&e.eat("#"))return t.tokenize=m,m(e,t);if("#"===o)return e.skipToEnd(),"comment";if("@"===o){var a=e.eat(/["']/);if(a&&e.eol())return t.tokenize=y,t.startQuote=a[0],y(e,t);if(e.eol())return"error";if(e.peek().match(/[({]/))return"punctuation";if(e.peek().match(n))return g(e,t)}return"error"}function c(e,t){for(var n;null!=(n=e.peek());){if("$"===n)return t.tokenize=d,"string";if(e.next(),"`"!==n){if('"'===n&&!e.eat('"'))return t.tokenize=u,"string"}else e.next()}return"error"}function d(e,t){return h(e,t,c)}function p(e,t){return t.tokenize=y,t.startQuote='"',y(e,t)}function f(e,t){return h(e,t,p)}function h(e,t,n){if(e.match("$(")){var r=t.bracketNesting;return t.returnStack.push({shouldReturnFrom:function(e){return e.bracketNesting===r},tokenize:n}),t.tokenize=u,t.bracketNesting+=1,"punctuation"}return e.next(),t.returnStack.push({shouldReturnFrom:function(){return!0},tokenize:n}),t.tokenize=g,t.tokenize(e,t)}function m(e,t){for(var n,r=!1;null!=(n=e.next());){if(r&&">"==n){t.tokenize=u;break}r="#"===n}return"comment"}function g(e,t){var r=e.peek();return e.eat("{")?(t.tokenize=v,v(e,t)):null!=r&&r.match(n)?(e.eatWhile(n),t.tokenize=u,"variable-2"):(t.tokenize=u,"error")}function v(e,t){for(var n;null!=(n=e.next());)if("}"===n){t.tokenize=u;break}return"variable-2"}function y(e,t){var n=t.startQuote;if(e.sol()&&e.match(new RegExp(n+"@")))t.tokenize=u;else if('"'===n)for(;!e.eol();){var r=e.peek();if("$"===r)return t.tokenize=f,"string";e.next(),"`"===r&&e.next()}else e.skipToEnd();return"string"}return{startState:function(){return{returnStack:[],bracketNesting:0,tokenize:u}},token:function(e,t){return t.tokenize(e,t)},blockCommentStart:"<#",blockCommentEnd:"#>",lineComment:"#",fold:"brace"}})),t.defineMIME("application/x-powershell","powershell")}()},16557:(e,t,n)=>{!function(e){"use strict";e.defineMode("properties",(function(){return{token:function(e,t){var n=e.sol()||t.afterSection,r=e.eol();if(t.afterSection=!1,n&&(t.nextMultiline?(t.inMultiline=!0,t.nextMultiline=!1):t.position="def"),r&&!t.nextMultiline&&(t.inMultiline=!1,t.position="def"),n)for(;e.eatSpace(););var i=e.next();return!n||"#"!==i&&"!"!==i&&";"!==i?n&&"["===i?(t.afterSection=!0,e.skipTo("]"),e.eat("]"),"header"):"="===i||":"===i?(t.position="quote",null):("\\"===i&&"quote"===t.position&&e.eol()&&(t.nextMultiline=!0),t.position):(t.position="comment",e.skipToEnd(),"comment")},startState:function(){return{position:"def",nextMultiline:!1,inMultiline:!1,afterSection:!1}}}})),e.defineMIME("text/x-properties","properties"),e.defineMIME("text/x-ini","properties")}(n(23326))},38487:(e,t,n)=>{!function(e){"use strict";var t=["package","message","import","syntax","required","optional","repeated","reserved","default","extensions","packed","bool","bytes","double","enum","float","string","int32","int64","uint32","uint64","sint32","sint64","fixed32","fixed64","sfixed32","sfixed64","option","service","rpc","returns"],n=new RegExp("^(("+t.join(")|(")+"))\\b","i");e.registerHelper("hintWords","protobuf",t);var r=new RegExp("^[_A-Za-z¡-￿][_A-Za-z0-9¡-￿]*");function i(e){if(e.eatSpace())return null;if(e.match("//"))return e.skipToEnd(),"comment";if(e.match(/^[0-9\.+-]/,!1)){if(e.match(/^[+-]?0x[0-9a-fA-F]+/))return"number";if(e.match(/^[+-]?\d*\.\d+([EeDd][+-]?\d+)?/))return"number";if(e.match(/^[+-]?\d+([EeDd][+-]?\d+)?/))return"number"}return e.match(/^"([^"]|(""))*"/)||e.match(/^'([^']|(''))*'/)?"string":e.match(n)?"keyword":e.match(r)?"variable":(e.next(),null)}e.defineMode("protobuf",(function(){return{token:i,fold:"brace"}})),e.defineMIME("text/x-protobuf","protobuf")}(n(23326))},12904:(e,t,n)=>{!function(e){"use strict";e.defineMode("pug",(function(t){var n="keyword",r="meta",i="builtin",o="qualifier",a={"{":"}","(":")","[":"]"},s=e.getMode(t,"javascript");function l(){this.javaScriptLine=!1,this.javaScriptLineExcludesColon=!1,this.javaScriptArguments=!1,this.javaScriptArgumentsDepth=0,this.isInterpolating=!1,this.interpolationNesting=0,this.jsState=e.startState(s),this.restOfLine="",this.isIncludeFiltered=!1,this.isEach=!1,this.lastTag="",this.scriptType="",this.isAttrs=!1,this.attrsNest=[],this.inAttributeName=!0,this.attributeIsType=!1,this.attrValue="",this.indentOf=1/0,this.indentToken="",this.innerMode=null,this.innerState=null,this.innerModeForLine=!1}function u(e,t){if(e.match("#{"))return t.isInterpolating=!0,t.interpolationNesting=0,"punctuation"}function c(n,r){var i;if(n.match(/^:([\w\-]+)/))return t&&t.innerModes&&(i=t.innerModes(n.current().substring(1))),i||(i=n.current().substring(1)),"string"==typeof i&&(i=e.getMode(t,i)),p(n,r,i),"atom"}function d(t,n){if(n.isAttrs){if(a[t.peek()]&&n.attrsNest.push(a[t.peek()]),n.attrsNest[n.attrsNest.length-1]===t.peek())n.attrsNest.pop();else if(t.eat(")"))return n.isAttrs=!1,"punctuation";if(n.inAttributeName&&t.match(/^[^=,\)!]+/))return"="!==t.peek()&&"!"!==t.peek()||(n.inAttributeName=!1,n.jsState=e.startState(s),"script"===n.lastTag&&"type"===t.current().trim().toLowerCase()?n.attributeIsType=!0:n.attributeIsType=!1),"attribute";var r=s.token(t,n.jsState);if(n.attributeIsType&&"string"===r&&(n.scriptType=t.current().toString()),0===n.attrsNest.length&&("string"===r||"variable"===r||"keyword"===r))try{return Function("","var x "+n.attrValue.replace(/,\s*$/,"").replace(/^!/,"")),n.inAttributeName=!0,n.attrValue="",t.backUp(t.current().length),d(t,n)}catch(e){}return n.attrValue+=t.current(),r||!0}}function p(n,r,i){i=e.mimeModes[i]||i,i=t.innerModes&&t.innerModes(i)||i,i=e.mimeModes[i]||i,i=e.getMode(t,i),r.indentOf=n.indentation(),i&&"null"!==i.name?r.innerMode=i:r.indentToken="string"}function f(t,n,r){if(t.indentation()>n.indentOf||n.innerModeForLine&&!t.sol()||r)return n.innerMode?(n.innerState||(n.innerState=n.innerMode.startState?e.startState(n.innerMode,t.indentation()):{}),t.hideFirstChars(n.indentOf+2,(function(){return n.innerMode.token(t,n.innerState)||!0}))):(t.skipToEnd(),n.indentToken);t.sol()&&(n.indentOf=1/0,n.indentToken=null,n.innerMode=null,n.innerState=null)}return l.prototype.copy=function(){var t=new l;return t.javaScriptLine=this.javaScriptLine,t.javaScriptLineExcludesColon=this.javaScriptLineExcludesColon,t.javaScriptArguments=this.javaScriptArguments,t.javaScriptArgumentsDepth=this.javaScriptArgumentsDepth,t.isInterpolating=this.isInterpolating,t.interpolationNesting=this.interpolationNesting,t.jsState=e.copyState(s,this.jsState),t.innerMode=this.innerMode,this.innerMode&&this.innerState&&(t.innerState=e.copyState(this.innerMode,this.innerState)),t.restOfLine=this.restOfLine,t.isIncludeFiltered=this.isIncludeFiltered,t.isEach=this.isEach,t.lastTag=this.lastTag,t.scriptType=this.scriptType,t.isAttrs=this.isAttrs,t.attrsNest=this.attrsNest.slice(),t.inAttributeName=this.inAttributeName,t.attributeIsType=this.attributeIsType,t.attrValue=this.attrValue,t.indentOf=this.indentOf,t.indentToken=this.indentToken,t.innerModeForLine=this.innerModeForLine,t},{startState:function(){return new l},copyState:function(e){return e.copy()},token:function(e,t){var a=f(e,t)||function(e,t){if(e.sol()&&(t.restOfLine=""),t.restOfLine){e.skipToEnd();var n=t.restOfLine;return t.restOfLine="",n}}(e,t)||function(e,t){if(t.isInterpolating){if("}"===e.peek()){if(t.interpolationNesting--,t.interpolationNesting<0)return e.next(),t.isInterpolating=!1,"punctuation"}else"{"===e.peek()&&t.interpolationNesting++;return s.token(e,t.jsState)||!0}}(e,t)||function(e,t){if(t.isIncludeFiltered){var n=c(e,t);return t.isIncludeFiltered=!1,t.restOfLine="string",n}}(e,t)||function(e,t){if(t.isEach){if(e.match(/^ in\b/))return t.javaScriptLine=!0,t.isEach=!1,n;if(e.sol()||e.eol())t.isEach=!1;else if(e.next()){for(;!e.match(/^ in\b/,!1)&&e.next(););return"variable"}}}(e,t)||d(e,t)||function(e,t){if(e.sol()&&(t.javaScriptLine=!1,t.javaScriptLineExcludesColon=!1),t.javaScriptLine){if(t.javaScriptLineExcludesColon&&":"===e.peek())return t.javaScriptLine=!1,void(t.javaScriptLineExcludesColon=!1);var n=s.token(e,t.jsState);return e.eol()&&(t.javaScriptLine=!1),n||!0}}(e,t)||function(e,t){if(t.javaScriptArguments)return 0===t.javaScriptArgumentsDepth&&"("!==e.peek()?void(t.javaScriptArguments=!1):("("===e.peek()?t.javaScriptArgumentsDepth++:")"===e.peek()&&t.javaScriptArgumentsDepth--,0===t.javaScriptArgumentsDepth?void(t.javaScriptArguments=!1):s.token(e,t.jsState)||!0)}(e,t)||function(e,t){if(t.mixinCallAfter)return t.mixinCallAfter=!1,e.match(/^\( *[-\w]+ *=/,!1)||(t.javaScriptArguments=!0,t.javaScriptArgumentsDepth=0),!0}(e,t)||function(e){if(e.match(/^yield\b/))return"keyword"}(e)||function(e){if(e.match(/^(?:doctype) *([^\n]+)?/))return r}(e)||u(e,t)||function(e,t){if(e.match(/^case\b/))return t.javaScriptLine=!0,n}(e,t)||function(e,t){if(e.match(/^when\b/))return t.javaScriptLine=!0,t.javaScriptLineExcludesColon=!0,n}(e,t)||function(e){if(e.match(/^default\b/))return n}(e)||function(e,t){if(e.match(/^extends?\b/))return t.restOfLine="string",n}(e,t)||function(e,t){if(e.match(/^append\b/))return t.restOfLine="variable",n}(e,t)||function(e,t){if(e.match(/^prepend\b/))return t.restOfLine="variable",n}(e,t)||function(e,t){if(e.match(/^block\b *(?:(prepend|append)\b)?/))return t.restOfLine="variable",n}(e,t)||function(e,t){if(e.match(/^include\b/))return t.restOfLine="string",n}(e,t)||function(e,t){if(e.match(/^include:([a-zA-Z0-9\-]+)/,!1)&&e.match("include"))return t.isIncludeFiltered=!0,n}(e,t)||function(e,t){if(e.match(/^mixin\b/))return t.javaScriptLine=!0,n}(e,t)||function(e,t){return e.match(/^\+([-\w]+)/)?(e.match(/^\( *[-\w]+ *=/,!1)||(t.javaScriptArguments=!0,t.javaScriptArgumentsDepth=0),"variable"):e.match("+#{",!1)?(e.next(),t.mixinCallAfter=!0,u(e,t)):void 0}(e,t)||function(e,t){if(e.match(/^(if|unless|else if|else)\b/))return t.javaScriptLine=!0,n}(e,t)||function(e,t){if(e.match(/^(- *)?(each|for)\b/))return t.isEach=!0,n}(e,t)||function(e,t){if(e.match(/^while\b/))return t.javaScriptLine=!0,n}(e,t)||function(e,t){var n;if(n=e.match(/^(\w(?:[-:\w]*\w)?)\/?/))return t.lastTag=n[1].toLowerCase(),"script"===t.lastTag&&(t.scriptType="application/javascript"),"tag"}(e,t)||c(e,t)||function(e,t){if(e.match(/^(!?=|-)/))return t.javaScriptLine=!0,"punctuation"}(e,t)||function(e){if(e.match(/^#([\w-]+)/))return i}(e)||function(e){if(e.match(/^\.([\w-]+)/))return o}(e)||function(e,t){if("("==e.peek())return e.next(),t.isAttrs=!0,t.attrsNest=[],t.inAttributeName=!0,t.attrValue="",t.attributeIsType=!1,"punctuation"}(e,t)||function(e,t){if(e.match(/^&attributes\b/))return t.javaScriptArguments=!0,t.javaScriptArgumentsDepth=0,"keyword"}(e,t)||function(e){if(e.sol()&&e.eatSpace())return"indent"}(e)||function(e,t){return e.match(/^(?:\| ?| )([^\n]+)/)?"string":e.match(/^(<[^\n]*)/,!1)?(p(e,t,"htmlmixed"),t.innerModeForLine=!0,f(e,t,!0)):void 0}(e,t)||function(e,t){if(e.match(/^ *\/\/(-)?([^\n]*)/))return t.indentOf=e.indentation(),t.indentToken="comment","comment"}(e,t)||function(e){if(e.match(/^: */))return"colon"}(e)||function(e,t){if(e.eat(".")){var n=null;return"script"===t.lastTag&&-1!=t.scriptType.toLowerCase().indexOf("javascript")?n=t.scriptType.toLowerCase().replace(/"|'/g,""):"style"===t.lastTag&&(n="css"),p(e,t,n),"dot"}}(e,t)||function(e){return e.next(),null}(e);return!0===a?null:a}}}),"javascript","css","htmlmixed"),e.defineMIME("text/x-pug","pug"),e.defineMIME("text/x-jade","pug")}(n(23326),n(49055),n(98627),n(38425))},47912:(e,t,n)=>{!function(e){"use strict";e.defineMode("puppet",(function(){var e={},t=/({)?([a-z][a-z0-9_]*)?((::[a-z][a-z0-9_]*)*::)?[a-zA-Z0-9_]+(})?/;function n(t,n){for(var r=n.split(" "),i=0;i<r.length;i++)e[r[i]]=t}function r(e,t){for(var n,r,i=!1;!e.eol()&&(n=e.next())!=t.pending;){if("$"===n&&"\\"!=r&&'"'==t.pending){i=!0;break}r=n}return i&&e.backUp(1),n==t.pending?t.continueString=!1:t.continueString=!0,"string"}return n("keyword","class define site node include import inherits"),n("keyword","case if else in and elsif default or"),n("atom","false true running present absent file directory undef"),n("builtin","action augeas burst chain computer cron destination dport exec file filebucket group host icmp iniface interface jump k5login limit log_level log_prefix macauthorization mailalias maillist mcx mount nagios_command nagios_contact nagios_contactgroup nagios_host nagios_hostdependency nagios_hostescalation nagios_hostextinfo nagios_hostgroup nagios_service nagios_servicedependency nagios_serviceescalation nagios_serviceextinfo nagios_servicegroup nagios_timeperiod name notify outiface package proto reject resources router schedule scheduled_task selboolean selmodule service source sport ssh_authorized_key sshkey stage state table tidy todest toports tosource user vlan yumrepo zfs zone zpool"),{startState:function(){return{inDefinition:!1,inInclude:!1,continueString:!1,pending:!1}},token:function(n,i){return n.eatSpace()?null:function(n,i){var o=n.match(/[\w]+/,!1),a=n.match(/(\s+)?\w+\s+=>.*/,!1),s=n.match(/(\s+)?[\w:_]+(\s+)?{/,!1),l=n.match(/(\s+)?[@]{1,2}[\w:_]+(\s+)?{/,!1),u=n.next();if("$"===u)return n.match(t)?i.continueString?"variable-2":"variable":"error";if(i.continueString)return n.backUp(1),r(n,i);if(i.inDefinition){if(n.match(/(\s+)?[\w:_]+(\s+)?/))return"def";n.match(/\s+{/),i.inDefinition=!1}return i.inInclude?(n.match(/(\s+)?\S+(\s+)?/),i.inInclude=!1,"def"):n.match(/(\s+)?\w+\(/)?(n.backUp(1),"def"):a?(n.match(/(\s+)?\w+/),"tag"):o&&e.hasOwnProperty(o)?(n.backUp(1),n.match(/[\w]+/),n.match(/\s+\S+\s+{/,!1)&&(i.inDefinition=!0),"include"==o&&(i.inInclude=!0),e[o]):/(^|\s+)[A-Z][\w:_]+/.test(o)?(n.backUp(1),n.match(/(^|\s+)[A-Z][\w:_]+/),"def"):s?(n.match(/(\s+)?[\w:_]+/),"def"):l?(n.match(/(\s+)?[@]{1,2}/),"special"):"#"==u?(n.skipToEnd(),"comment"):"'"==u||'"'==u?(i.pending=u,r(n,i)):"{"==u||"}"==u?"bracket":"/"==u?(n.match(/^[^\/]*\//),"variable-3"):u.match(/[0-9]/)?(n.eatWhile(/[0-9]+/),"number"):"="==u?(">"==n.peek()&&n.next(),"operator"):(n.eatWhile(/[\w-]/),null)}(n,i)}}})),e.defineMIME("text/x-puppet","puppet")}(n(23326))},56141:(e,t,n)=>{!function(e){"use strict";function t(e){return new RegExp("^(("+e.join(")|(")+"))\\b")}var n=t(["and","or","not","is"]),r=["as","assert","break","class","continue","def","del","elif","else","except","finally","for","from","global","if","import","lambda","pass","raise","return","try","while","with","yield","in","False","True"],i=["abs","all","any","bin","bool","bytearray","callable","chr","classmethod","compile","complex","delattr","dict","dir","divmod","enumerate","eval","filter","float","format","frozenset","getattr","globals","hasattr","hash","help","hex","id","input","int","isinstance","issubclass","iter","len","list","locals","map","max","memoryview","min","next","object","oct","open","ord","pow","property","range","repr","reversed","round","set","setattr","slice","sorted","staticmethod","str","sum","super","tuple","type","vars","zip","__import__","NotImplemented","Ellipsis","__debug__"];function o(e){return e.scopes[e.scopes.length-1]}e.registerHelper("hintWords","python",r.concat(i).concat(["exec","print"])),e.defineMode("python",(function(a,s){for(var l="error",u=s.delimiters||s.singleDelimiters||/^[\(\)\[\]\{\}@,:`=;\.\\]/,c=[s.singleOperators,s.doubleOperators,s.doubleDelimiters,s.tripleDelimiters,s.operators||/^([-+*/%\/&|^]=?|[<>=]+|\/\/=?|\*\*=?|!=|[~!@]|\.\.\.)/],d=0;d<c.length;d++)c[d]||c.splice(d--,1);var p=s.hangingIndent||a.indentUnit,f=r,h=i;null!=s.extra_keywords&&(f=f.concat(s.extra_keywords)),null!=s.extra_builtins&&(h=h.concat(s.extra_builtins));var m=!(s.version&&Number(s.version)<3);if(m){var g=s.identifiers||/^[_A-Za-z\u00A1-\uFFFF][_A-Za-z0-9\u00A1-\uFFFF]*/;f=f.concat(["nonlocal","None","aiter","anext","async","await","breakpoint","match","case"]),h=h.concat(["ascii","bytes","exec","print"]);var v=new RegExp("^(([rbuf]|(br)|(rb)|(fr)|(rf))?('{3}|\"{3}|['\"]))","i")}else g=s.identifiers||/^[_A-Za-z][_A-Za-z0-9]*/,f=f.concat(["exec","print"]),h=h.concat(["apply","basestring","buffer","cmp","coerce","execfile","file","intern","long","raw_input","reduce","reload","unichr","unicode","xrange","None"]),v=new RegExp("^(([rubf]|(ur)|(br))?('{3}|\"{3}|['\"]))","i");var y=t(f),b=t(h);function w(e,t){var n=e.sol()&&"\\"!=t.lastToken;if(n&&(t.indent=e.indentation()),n&&"py"==o(t).type){var r=o(t).offset;if(e.eatSpace()){var i=e.indentation();return i>r?x(t):i<r&&k(e,t)&&"#"!=e.peek()&&(t.errorToken=!0),null}var a=_(e,t);return r>0&&k(e,t)&&(a+=" "+l),a}return _(e,t)}function _(e,t,r){if(e.eatSpace())return null;if(!r&&e.match(/^#.*/))return"comment";if(e.match(/^[0-9\.]/,!1)){var i=!1;if(e.match(/^[\d_]*\.\d+(e[\+\-]?\d+)?/i)&&(i=!0),e.match(/^[\d_]+\.\d*/)&&(i=!0),e.match(/^\.\d+/)&&(i=!0),i)return e.eat(/J/i),"number";var o=!1;if(e.match(/^0x[0-9a-f_]+/i)&&(o=!0),e.match(/^0b[01_]+/i)&&(o=!0),e.match(/^0o[0-7_]+/i)&&(o=!0),e.match(/^[1-9][\d_]*(e[\+\-]?[\d_]+)?/)&&(e.eat(/J/i),o=!0),e.match(/^0(?![\dx])/i)&&(o=!0),o)return e.eat(/L/i),"number"}if(e.match(v))return-1!==e.current().toLowerCase().indexOf("f")?(t.tokenize=function(e,t){for(;"rubf".indexOf(e.charAt(0).toLowerCase())>=0;)e=e.substr(1);var n=1==e.length,r="string";function i(e){return function(t,n){var r=_(t,n,!0);return"punctuation"==r&&("{"==t.current()?n.tokenize=i(e+1):"}"==t.current()&&(n.tokenize=e>1?i(e-1):o)),r}}function o(o,a){for(;!o.eol();)if(o.eatWhile(/[^'"\{\}\\]/),o.eat("\\")){if(o.next(),n&&o.eol())return r}else{if(o.match(e))return a.tokenize=t,r;if(o.match("{{"))return r;if(o.match("{",!1))return a.tokenize=i(0),o.current()?r:a.tokenize(o,a);if(o.match("}}"))return r;if(o.match("}"))return l;o.eat(/['"]/)}if(n){if(s.singleLineStringErrors)return l;a.tokenize=t}return r}return o.isString=!0,o}(e.current(),t.tokenize),t.tokenize(e,t)):(t.tokenize=function(e,t){for(;"rubf".indexOf(e.charAt(0).toLowerCase())>=0;)e=e.substr(1);var n=1==e.length,r="string";function i(i,o){for(;!i.eol();)if(i.eatWhile(/[^'"\\]/),i.eat("\\")){if(i.next(),n&&i.eol())return r}else{if(i.match(e))return o.tokenize=t,r;i.eat(/['"]/)}if(n){if(s.singleLineStringErrors)return l;o.tokenize=t}return r}return i.isString=!0,i}(e.current(),t.tokenize),t.tokenize(e,t));for(var a=0;a<c.length;a++)if(e.match(c[a]))return"operator";return e.match(u)?"punctuation":"."==t.lastToken&&e.match(g)?"property":e.match(y)||e.match(n)?"keyword":e.match(b)?"builtin":e.match(/^(self|cls)\b/)?"variable-2":e.match(g)?"def"==t.lastToken||"class"==t.lastToken?"def":"variable":(e.next(),r?null:l)}function x(e){for(;"py"!=o(e).type;)e.scopes.pop();e.scopes.push({offset:o(e).offset+a.indentUnit,type:"py",align:null})}function k(e,t){for(var n=e.indentation();t.scopes.length>1&&o(t).offset>n;){if("py"!=o(t).type)return!0;t.scopes.pop()}return o(t).offset!=n}function E(e,t){e.sol()&&(t.beginningOfLine=!0,t.dedent=!1);var n=t.tokenize(e,t),r=e.current();if(t.beginningOfLine&&"@"==r)return e.match(g,!1)?"meta":m?"operator":l;if(/\S/.test(r)&&(t.beginningOfLine=!1),"variable"!=n&&"builtin"!=n||"meta"!=t.lastToken||(n="meta"),"pass"!=r&&"return"!=r||(t.dedent=!0),"lambda"==r&&(t.lambda=!0),":"==r&&!t.lambda&&"py"==o(t).type&&e.match(/^\s*(?:#|$)/,!1)&&x(t),1==r.length&&!/string|comment/.test(n)){var i="[({".indexOf(r);if(-1!=i&&function(e,t,n){var r=e.match(/^[\s\[\{\(]*(?:#|$)/,!1)?null:e.column()+1;t.scopes.push({offset:t.indent+p,type:n,align:r})}(e,t,"])}".slice(i,i+1)),-1!=(i="])}".indexOf(r))){if(o(t).type!=r)return l;t.indent=t.scopes.pop().offset-p}}return t.dedent&&e.eol()&&"py"==o(t).type&&t.scopes.length>1&&t.scopes.pop(),n}return{startState:function(e){return{tokenize:w,scopes:[{offset:e||0,type:"py",align:null}],indent:e||0,lastToken:null,lambda:!1,dedent:0}},token:function(e,t){var n=t.errorToken;n&&(t.errorToken=!1);var r=E(e,t);return r&&"comment"!=r&&(t.lastToken="keyword"==r||"punctuation"==r?e.current():r),"punctuation"==r&&(r=null),e.eol()&&t.lambda&&(t.lambda=!1),n?r+" "+l:r},indent:function(t,n){if(t.tokenize!=w)return t.tokenize.isString?e.Pass:0;var r=o(t),i=r.type==n.charAt(0)||"py"==r.type&&!t.dedent&&/^(else:|elif |except |finally:)/.test(n);return null!=r.align?r.align-(i?1:0):r.offset-(i?p:0)},electricInput:/^\s*([\}\]\)]|else:|elif |except |finally:)$/,closeBrackets:{triples:"'\""},lineComment:"#",fold:"indent"}})),e.defineMIME("text/x-python","python");var a;e.defineMIME("text/x-cython",{name:"python",extra_keywords:(a="by cdef cimport cpdef ctypedef enum except extern gil include nogil property public readonly struct union DEF IF ELIF ELSE",a.split(" "))})}(n(23326))},68106:(e,t,n)=>{!function(e){"use strict";e.defineMode("q",(function(e){var t,n=e.indentUnit,r=new RegExp("^("+["abs","acos","aj","aj0","all","and","any","asc","asin","asof","atan","attr","avg","avgs","bin","by","ceiling","cols","cor","cos","count","cov","cross","csv","cut","delete","deltas","desc","dev","differ","distinct","div","do","each","ej","enlist","eval","except","exec","exit","exp","fby","fills","first","fkeys","flip","floor","from","get","getenv","group","gtime","hclose","hcount","hdel","hopen","hsym","iasc","idesc","if","ij","in","insert","inter","inv","key","keys","last","like","list","lj","load","log","lower","lsq","ltime","ltrim","mavg","max","maxs","mcount","md5","mdev","med","meta","min","mins","mmax","mmin","mmu","mod","msum","neg","next","not","null","or","over","parse","peach","pj","plist","prd","prds","prev","prior","rand","rank","ratios","raze","read0","read1","reciprocal","reverse","rload","rotate","rsave","rtrim","save","scan","select","set","setenv","show","signum","sin","sqrt","ss","ssr","string","sublist","sum","sums","sv","system","tables","tan","til","trim","txf","type","uj","ungroup","union","update","upper","upsert","value","var","view","views","vs","wavg","where","where","while","within","wj","wj1","wsum","xasc","xbar","xcol","xcols","xdesc","xexp","xgroup","xkey","xlog","xprev","xrank"].join("|")+")$"),i=/[|/&^!+:\\\-*%$=~#;@><,?_\'\"\[\(\]\)\s{}]/;function o(e,n){var s=e.sol(),c=e.next();if(t=null,s){if("/"==c)return(n.tokenize=a)(e,n);if("\\"==c)return e.eol()||/\s/.test(e.peek())?(e.skipToEnd(),/^\\\s*$/.test(e.current())?(n.tokenize=l)(e):n.tokenize=o,"comment"):(n.tokenize=o,"builtin")}if(/\s/.test(c))return"/"==e.peek()?(e.skipToEnd(),"comment"):"whitespace";if('"'==c)return(n.tokenize=u)(e,n);if("`"==c)return e.eatWhile(/[A-Za-z\d_:\/.]/),"symbol";if("."==c&&/\d/.test(e.peek())||/\d/.test(c)){var d=null;return e.backUp(1),e.match(/^\d{4}\.\d{2}(m|\.\d{2}([DT](\d{2}(:\d{2}(:\d{2}(\.\d{1,9})?)?)?)?)?)/)||e.match(/^\d+D(\d{2}(:\d{2}(:\d{2}(\.\d{1,9})?)?)?)/)||e.match(/^\d{2}:\d{2}(:\d{2}(\.\d{1,9})?)?/)||e.match(/^\d+[ptuv]{1}/)?d="temporal":(e.match(/^0[NwW]{1}/)||e.match(/^0x[\da-fA-F]*/)||e.match(/^[01]+[b]{1}/)||e.match(/^\d+[chijn]{1}/)||e.match(/-?\d*(\.\d*)?(e[+\-]?\d+)?(e|f)?/))&&(d="number"),!d||(c=e.peek())&&!i.test(c)?(e.next(),"error"):d}return/[A-Za-z]|\./.test(c)?(e.eatWhile(/[A-Za-z._\d]/),r.test(e.current())?"keyword":"variable"):/[|/&^!+:\\\-*%$=~#;@><\.,?_\']/.test(c)||/[{}\(\[\]\)]/.test(c)?null:"error"}function a(e,t){return e.skipToEnd(),/\/\s*$/.test(e.current())?(t.tokenize=s)(e,t):t.tokenize=o,"comment"}function s(e,t){var n=e.sol()&&"\\"==e.peek();return e.skipToEnd(),n&&/^\\\s*$/.test(e.current())&&(t.tokenize=o),"comment"}function l(e){return e.skipToEnd(),"comment"}function u(e,t){for(var n,r=!1,i=!1;n=e.next();){if('"'==n&&!r){i=!0;break}r=!r&&"\\"==n}return i&&(t.tokenize=o),"string"}function c(e,t,n){e.context={prev:e.context,indent:e.indent,col:n,type:t}}function d(e){e.indent=e.context.indent,e.context=e.context.prev}return{startState:function(){return{tokenize:o,context:null,indent:0,col:0}},token:function(e,n){e.sol()&&(n.context&&null==n.context.align&&(n.context.align=!1),n.indent=e.indentation());var r=n.tokenize(e,n);if("comment"!=r&&n.context&&null==n.context.align&&"pattern"!=n.context.type&&(n.context.align=!0),"("==t)c(n,")",e.column());else if("["==t)c(n,"]",e.column());else if("{"==t)c(n,"}",e.column());else if(/[\]\}\)]/.test(t)){for(;n.context&&"pattern"==n.context.type;)d(n);n.context&&t==n.context.type&&d(n)}else"."==t&&n.context&&"pattern"==n.context.type?d(n):/atom|string|variable/.test(r)&&n.context&&(/[\}\]]/.test(n.context.type)?c(n,"pattern",e.column()):"pattern"!=n.context.type||n.context.align||(n.context.align=!0,n.context.col=e.column()));return r},indent:function(e,t){var r=t&&t.charAt(0),i=e.context;if(/[\]\}]/.test(r))for(;i&&"pattern"==i.type;)i=i.prev;var o=i&&r==i.type;return i?"pattern"==i.type?i.col:i.align?i.col+(o?0:1):i.indent+(o?0:n):0}}})),e.defineMIME("text/x-q","q")}(n(23326))},32848:(e,t,n)=>{!function(e){"use strict";e.registerHelper("wordChars","r",/[\w.]/),e.defineMode("r",(function(t){function n(e){for(var t={},n=0;n<e.length;++n)t[e[n]]=!0;return t}var r=["NULL","NA","Inf","NaN","NA_integer_","NA_real_","NA_complex_","NA_character_","TRUE","FALSE"],i=["list","quote","bquote","eval","return","call","parse","deparse"],o=["if","else","repeat","while","function","for","in","next","break"];e.registerHelper("hintWords","r",r.concat(i,o));var a,s=n(r),l=n(i),u=n(o),c=n(["if","else","repeat","while","function","for"]),d=/[+\-*\/^<>=!&|~$:]/;function p(e,t){a=null;var n,r=e.next();if("#"==r)return e.skipToEnd(),"comment";if("0"==r&&e.eat("x"))return e.eatWhile(/[\da-f]/i),"number";if("."==r&&e.eat(/\d/))return e.match(/\d*(?:e[+\-]?\d+)?/),"number";if(/\d/.test(r))return e.match(/\d*(?:\.\d+)?(?:e[+\-]\d+)?L?/),"number";if("'"==r||'"'==r)return t.tokenize=(n=r,function(e,t){if(e.eat("\\")){var r=e.next();return"x"==r?e.match(/^[a-f0-9]{2}/i):("u"==r||"U"==r)&&e.eat("{")&&e.skipTo("}")?e.next():"u"==r?e.match(/^[a-f0-9]{4}/i):"U"==r?e.match(/^[a-f0-9]{8}/i):/[0-7]/.test(r)&&e.match(/^[0-7]{1,2}/),"string-2"}for(var i;null!=(i=e.next());){if(i==n){t.tokenize=p;break}if("\\"==i){e.backUp(1);break}}return"string"}),"string";if("`"==r)return e.match(/[^`]+`/),"variable-3";if("."==r&&e.match(/.(?:[.]|\d+)/))return"keyword";if(/[a-zA-Z\.]/.test(r)){e.eatWhile(/[\w\.]/);var i=e.current();return s.propertyIsEnumerable(i)?"atom":u.propertyIsEnumerable(i)?(c.propertyIsEnumerable(i)&&!e.match(/\s*if(\s+|$)/,!1)&&(a="block"),"keyword"):l.propertyIsEnumerable(i)?"builtin":"variable"}return"%"==r?(e.skipTo("%")&&e.next(),"operator variable-2"):"<"==r&&e.eat("-")||"<"==r&&e.match("<-")||"-"==r&&e.match(/>>?/)?"operator arrow":"="==r&&t.ctx.argList?"arg-is":d.test(r)?"$"==r?"operator dollar":(e.eatWhile(d),"operator"):/[\(\){}\[\];]/.test(r)?(a=r,";"==r?"semi":null):null}function f(e,t,n){e.ctx={type:t,indent:e.indent,flags:0,column:n.column(),prev:e.ctx}}function h(e,t){var n=e.ctx;e.ctx={type:n.type,indent:n.indent,flags:n.flags|t,column:n.column,prev:n.prev}}function m(e){e.indent=e.ctx.indent,e.ctx=e.ctx.prev}return{startState:function(){return{tokenize:p,ctx:{type:"top",indent:-t.indentUnit,flags:2},indent:0,afterIdent:!1}},token:function(e,t){if(e.sol()&&(0==(3&t.ctx.flags)&&(t.ctx.flags|=2),4&t.ctx.flags&&m(t),t.indent=e.indentation()),e.eatSpace())return null;var n=t.tokenize(e,t);return"comment"!=n&&0==(2&t.ctx.flags)&&h(t,1),";"!=a&&"{"!=a&&"}"!=a||"block"!=t.ctx.type||m(t),"{"==a?f(t,"}",e):"("==a?(f(t,")",e),t.afterIdent&&(t.ctx.argList=!0)):"["==a?f(t,"]",e):"block"==a?f(t,"block",e):a==t.ctx.type?m(t):"block"==t.ctx.type&&"comment"!=n&&h(t,4),t.afterIdent="variable"==n||"keyword"==n,n},indent:function(e,n){if(e.tokenize!=p)return 0;var r=n&&n.charAt(0),i=e.ctx,o=r==i.type;return 4&i.flags&&(i=i.prev),"block"==i.type?i.indent+("{"==r?0:t.indentUnit):1&i.flags?i.column+(o?0:1):i.indent+(o?0:t.indentUnit)},lineComment:"#"}})),e.defineMIME("text/x-rsrc","r")}(n(23326))},87660:(e,t,n)=>{!function(e){"use strict";e.defineMode("rpm-changes",(function(){var e=/^-+$/,t=/^(Mon|Tue|Wed|Thu|Fri|Sat|Sun) (Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec) ?\d{1,2} \d{2}:\d{2}(:\d{2})? [A-Z]{3,4} \d{4} - /,n=/^[\w+.-]+@[\w.-]+/;return{token:function(r){if(r.sol()){if(r.match(e))return"tag";if(r.match(t))return"tag"}return r.match(n)?"string":(r.next(),null)}}})),e.defineMIME("text/x-rpm-changes","rpm-changes"),e.defineMode("rpm-spec",(function(){var e=/^(i386|i586|i686|x86_64|ppc64le|ppc64|ppc|ia64|s390x|s390|sparc64|sparcv9|sparc|noarch|alphaev6|alpha|hppa|mipsel)/,t=/^[a-zA-Z0-9()]+:/,n=/^%(debug_package|package|description|prep|build|install|files|clean|changelog|preinstall|preun|postinstall|postun|pretrans|posttrans|pre|post|triggerin|triggerun|verifyscript|check|triggerpostun|triggerprein|trigger)/,r=/^%(ifnarch|ifarch|if)/,i=/^%(else|endif)/,o=/^(\!|\?|\<\=|\<|\>\=|\>|\=\=|\&\&|\|\|)/;return{startState:function(){return{controlFlow:!1,macroParameters:!1,section:!1}},token:function(a,s){if("#"==a.peek())return a.skipToEnd(),"comment";if(a.sol()){if(a.match(t))return"header";if(a.match(n))return"atom"}if(a.match(/^\$\w+/))return"def";if(a.match(/^\$\{\w+\}/))return"def";if(a.match(i))return"keyword";if(a.match(r))return s.controlFlow=!0,"keyword";if(s.controlFlow){if(a.match(o))return"operator";if(a.match(/^(\d+)/))return"number";a.eol()&&(s.controlFlow=!1)}if(a.match(e))return a.eol()&&(s.controlFlow=!1),"number";if(a.match(/^%[\w]+/))return a.match("(")&&(s.macroParameters=!0),"keyword";if(s.macroParameters){if(a.match(/^\d+/))return"number";if(a.match(")"))return s.macroParameters=!1,"keyword"}return a.match(/^%\{\??[\w \-\:\!]+\}/)?(a.eol()&&(s.controlFlow=!1),"def"):(a.next(),null)}}})),e.defineMIME("text/x-rpm-spec","rpm-spec")}(n(23326))},93315:(e,t,n)=>{!function(e){"use strict";e.defineMode("rst",(function(t,n){var r=/^\*\*[^\*\s](?:[^\*]*[^\*\s])?\*\*/,i=/^\*[^\*\s](?:[^\*]*[^\*\s])?\*/,o=/^``[^`\s](?:[^`]*[^`\s])``/,a=/^(?:[\d]+(?:[\.,]\d+)*)/,s=/^(?:\s\+[\d]+(?:[\.,]\d+)*)/,l=/^(?:\s\-[\d]+(?:[\.,]\d+)*)/,u=new RegExp("^[Hh][Tt][Tt][Pp][Ss]?://(?:[\\d\\w.-]+)\\.(?:\\w{2,6})(?:/[\\d\\w\\#\\%\\&\\-\\.\\,\\/\\:\\=\\?\\~]+)*"),c={token:function(e){if(e.match(r)&&e.match(/\W+|$/,!1))return"strong";if(e.match(i)&&e.match(/\W+|$/,!1))return"em";if(e.match(o)&&e.match(/\W+|$/,!1))return"string-2";if(e.match(a))return"number";if(e.match(s))return"positive";if(e.match(l))return"negative";if(e.match(u))return"link";for(;!(null==e.next()||e.match(r,!1)||e.match(i,!1)||e.match(o,!1)||e.match(a,!1)||e.match(s,!1)||e.match(l,!1)||e.match(u,!1)););return null}},d=e.getMode(t,n.backdrop||"rst-base");return e.overlayMode(d,c,!0)}),"python","stex"),e.defineMode("rst-base",(function(t){function n(e){var t=Array.prototype.slice.call(arguments,1);return e.replace(/{(\d+)}/g,(function(e,n){return void 0!==t[n]?t[n]:e}))}var r=e.getMode(t,"python"),i=e.getMode(t,"stex"),o="\\s+",a="(?:\\s*|\\W|$)",s=new RegExp(n("^{0}",a)),l="(?:[^\\W\\d_](?:[\\w!\"#$%&'()\\*\\+,\\-\\./:;<=>\\?]*[^\\W_])?)",u=new RegExp(n("^{0}",l)),c=n("(?:{0}|`{1}`)",l,"(?:[^\\W\\d_](?:[\\w\\s!\"#$%&'()\\*\\+,\\-\\./:;<=>\\?]*[^\\W_])?)"),d="(?:[^\\s\\|](?:[^\\|]*[^\\s\\|])?)",p="(?:[^\\`]+)",f=new RegExp(n("^{0}",p)),h=new RegExp("^([!'#$%&\"()*+,-./:;<=>?@\\[\\\\\\]^_`{|}~])\\1{3,}\\s*$"),m=new RegExp(n("^\\.\\.{0}",o)),g=new RegExp(n("^_{0}:{1}|^__:{1}",c,a)),v=new RegExp(n("^{0}::{1}",c,a)),y=new RegExp(n("^\\|{0}\\|{1}{2}::{3}",d,o,c,a)),b=new RegExp(n("^\\[(?:\\d+|#{0}?|\\*)]{1}",c,a)),w=new RegExp(n("^\\[{0}\\]{1}",c,a)),_=new RegExp(n("^\\|{0}\\|",d)),x=new RegExp(n("^\\[(?:\\d+|#{0}?|\\*)]_",c)),k=new RegExp(n("^\\[{0}\\]_",c)),E=new RegExp(n("^{0}__?",c)),C=new RegExp(n("^`{0}`_",p)),S=new RegExp(n("^:{0}:`{1}`{2}",l,p,a)),A=new RegExp(n("^`{1}`:{0}:{2}",l,p,a)),T=new RegExp(n("^:{0}:{1}",l,a)),O=new RegExp(n("^{0}",c)),P=new RegExp(n("^::{0}",a)),D=new RegExp(n("^\\|{0}\\|",d)),R=new RegExp(n("^{0}",o)),I=new RegExp(n("^{0}",c)),M=new RegExp(n("^::{0}",a)),L=new RegExp("^_"),N=new RegExp(n("^{0}|_",c)),j=new RegExp(n("^:{0}",a)),F=new RegExp("^::\\s*$"),B=new RegExp("^\\s+(?:>>>|In \\[\\d+\\]:)\\s");function z(t,n){var o=null;if(t.sol()&&t.match(B,!1))G(n,H,{mode:r,local:e.startState(r)});else if(t.sol()&&t.match(m))G(n,U),o="meta";else if(t.sol()&&t.match(h))G(n,z),o="header";else if(Y(n)==S||t.match(S,!1))switch(K(n)){case 0:G(n,z,V(S,1)),t.match(/^:/),o="meta";break;case 1:G(n,z,V(S,2)),t.match(u),o="keyword",t.current().match(/^(?:math|latex)/)&&(n.tmp_stex=!0);break;case 2:G(n,z,V(S,3)),t.match(/^:`/),o="meta";break;case 3:if(n.tmp_stex&&(n.tmp_stex=void 0,n.tmp={mode:i,local:e.startState(i)}),n.tmp){if("`"==t.peek()){G(n,z,V(S,4)),n.tmp=void 0;break}o=n.tmp.mode.token(t,n.tmp.local);break}G(n,z,V(S,4)),t.match(f),o="string";break;case 4:G(n,z,V(S,5)),t.match(/^`/),o="meta";break;case 5:G(n,z,V(S,6)),t.match(s);break;default:G(n,z)}else if(Y(n)==A||t.match(A,!1))switch(K(n)){case 0:G(n,z,V(A,1)),t.match(/^`/),o="meta";break;case 1:G(n,z,V(A,2)),t.match(f),o="string";break;case 2:G(n,z,V(A,3)),t.match(/^`:/),o="meta";break;case 3:G(n,z,V(A,4)),t.match(u),o="keyword";break;case 4:G(n,z,V(A,5)),t.match(/^:/),o="meta";break;case 5:G(n,z,V(A,6)),t.match(s);break;default:G(n,z)}else if(Y(n)==T||t.match(T,!1))switch(K(n)){case 0:G(n,z,V(T,1)),t.match(/^:/),o="meta";break;case 1:G(n,z,V(T,2)),t.match(u),o="keyword";break;case 2:G(n,z,V(T,3)),t.match(/^:/),o="meta";break;case 3:G(n,z,V(T,4)),t.match(s);break;default:G(n,z)}else if(Y(n)==_||t.match(_,!1))switch(K(n)){case 0:G(n,z,V(_,1)),t.match(D),o="variable-2";break;case 1:G(n,z,V(_,2)),t.match(/^_?_?/)&&(o="link");break;default:G(n,z)}else if(t.match(x))G(n,z),o="quote";else if(t.match(k))G(n,z),o="quote";else if(t.match(E))G(n,z),t.peek()&&!t.peek().match(/^\W$/)||(o="link");else if(Y(n)==C||t.match(C,!1))switch(K(n)){case 0:!t.peek()||t.peek().match(/^\W$/)?G(n,z,V(C,1)):t.match(C);break;case 1:G(n,z,V(C,2)),t.match(/^`/),o="link";break;case 2:G(n,z,V(C,3)),t.match(f);break;case 3:G(n,z,V(C,4)),t.match(/^`_/),o="link";break;default:G(n,z)}else t.match(F)?G(n,$):t.next()&&G(n,z);return o}function U(t,n){var o=null;if(Y(n)==y||t.match(y,!1))switch(K(n)){case 0:G(n,U,V(y,1)),t.match(D),o="variable-2";break;case 1:G(n,U,V(y,2)),t.match(R);break;case 2:G(n,U,V(y,3)),t.match(I),o="keyword";break;case 3:G(n,U,V(y,4)),t.match(M),o="meta";break;default:G(n,z)}else if(Y(n)==v||t.match(v,!1))switch(K(n)){case 0:G(n,U,V(v,1)),t.match(O),o="keyword",t.current().match(/^(?:math|latex)/)?n.tmp_stex=!0:t.current().match(/^python/)&&(n.tmp_py=!0);break;case 1:G(n,U,V(v,2)),t.match(P),o="meta",(t.match(/^latex\s*$/)||n.tmp_stex)&&(n.tmp_stex=void 0,G(n,H,{mode:i,local:e.startState(i)}));break;case 2:G(n,U,V(v,3)),(t.match(/^python\s*$/)||n.tmp_py)&&(n.tmp_py=void 0,G(n,H,{mode:r,local:e.startState(r)}));break;default:G(n,z)}else if(Y(n)==g||t.match(g,!1))switch(K(n)){case 0:G(n,U,V(g,1)),t.match(L),t.match(N),o="link";break;case 1:G(n,U,V(g,2)),t.match(j),o="meta";break;default:G(n,z)}else t.match(b)||t.match(w)?(G(n,z),o="quote"):(t.eatSpace(),t.eol()?G(n,z):(t.skipToEnd(),G(n,q),o="comment"));return o}function q(e,t){return W(e,t,"comment")}function $(e,t){return W(e,t,"meta")}function W(e,t,n){return e.eol()||e.eatSpace()?(e.skipToEnd(),n):(G(t,z),null)}function H(e,t){return t.ctx.mode&&t.ctx.local?e.sol()?(e.eatSpace()||G(t,z),null):t.ctx.mode.token(e,t.ctx.local):(G(t,z),null)}function V(e,t,n,r){return{phase:e,stage:t,mode:n,local:r}}function G(e,t,n){e.tok=t,e.ctx=n||{}}function K(e){return e.ctx.stage||0}function Y(e){return e.ctx.phase}return{startState:function(){return{tok:z,ctx:V(void 0,0)}},copyState:function(t){var n=t.ctx,r=t.tmp;return n.local&&(n={mode:n.mode,local:e.copyState(n.mode,n.local)}),r&&(r={mode:r.mode,local:e.copyState(r.mode,r.local)}),{tok:t.tok,ctx:n,tmp:r}},innerMode:function(e){return e.tmp?{state:e.tmp.local,mode:e.tmp.mode}:e.ctx.mode?{state:e.ctx.local,mode:e.ctx.mode}:null},token:function(e,t){return t.tok(e,t)}}}),"python","stex"),e.defineMIME("text/x-rst","rst")}(n(23326),n(56141),n(38234),n(19962))},53019:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=0,r=e.length;n<r;++n)t[e[n]]=!0;return t}var n=["alias","and","BEGIN","begin","break","case","class","def","defined?","do","else","elsif","END","end","ensure","false","for","if","in","module","next","not","or","redo","rescue","retry","return","self","super","then","true","undef","unless","until","when","while","yield","nil","raise","throw","catch","fail","loop","callcc","caller","lambda","proc","public","protected","private","require","load","require_relative","extend","autoload","__END__","__FILE__","__LINE__","__dir__"],r=t(n),i=t(["def","class","case","for","while","until","module","catch","loop","proc","begin"]),o=t(["end","until"]),a={"[":"]","{":"}","(":")"},s={"]":"[","}":"{",")":"("};e.defineMode("ruby",(function(t){var n;function l(e,t,n){return n.tokenize.push(e),e(t,n)}function u(e,t){if(e.sol()&&e.match("=begin")&&e.eol())return t.tokenize.push(f),"comment";if(e.eatSpace())return null;var r,i,o,s=e.next();if("`"==s||"'"==s||'"'==s)return l(p(s,"string",'"'==s||"`"==s),e,t);if("/"==s)return function(e){for(var t,n=e.pos,r=0,i=!1,o=!1;null!=(t=e.next());)if(o)o=!1;else{if("[{(".indexOf(t)>-1)r++;else if("]})".indexOf(t)>-1){if(--r<0)break}else if("/"==t&&0==r){i=!0;break}o="\\"==t}return e.backUp(e.pos-n),i}(e)?l(p(s,"string-2",!0),e,t):"operator";if("%"==s){var u="string",c=!0;e.eat("s")?u="atom":e.eat(/[WQ]/)?u="string":e.eat(/[r]/)?u="string-2":e.eat(/[wxq]/)&&(u="string",c=!1);var d=e.eat(/[^\w\s=]/);return d?(a.propertyIsEnumerable(d)&&(d=a[d]),l(p(d,u,c,!0),e,t)):"operator"}if("#"==s)return e.skipToEnd(),"comment";if("<"==s&&(r=e.match(/^<([-~])[\`\"\']?([a-zA-Z_?]\w*)[\`\"\']?(?:;|$)/)))return l((i=r[2],o=r[1],function(e,t){return o&&e.eatSpace(),e.match(i)?t.tokenize.pop():e.skipToEnd(),"string"}),e,t);if("0"==s)return e.eat("x")?e.eatWhile(/[\da-fA-F]/):e.eat("b")?e.eatWhile(/[01]/):e.eatWhile(/[0-7]/),"number";if(/\d/.test(s))return e.match(/^[\d_]*(?:\.[\d_]+)?(?:[eE][+\-]?[\d_]+)?/),"number";if("?"==s){for(;e.match(/^\\[CM]-/););return e.eat("\\")?e.eatWhile(/\w/):e.next(),"string"}if(":"==s)return e.eat("'")?l(p("'","atom",!1),e,t):e.eat('"')?l(p('"',"atom",!0),e,t):e.eat(/[\<\>]/)?(e.eat(/[\<\>]/),"atom"):e.eat(/[\+\-\*\/\&\|\:\!]/)?"atom":e.eat(/[a-zA-Z$@_\xa1-\uffff]/)?(e.eatWhile(/[\w$\xa1-\uffff]/),e.eat(/[\?\!\=]/),"atom"):"operator";if("@"==s&&e.match(/^@?[a-zA-Z_\xa1-\uffff]/))return e.eat("@"),e.eatWhile(/[\w\xa1-\uffff]/),"variable-2";if("$"==s)return e.eat(/[a-zA-Z_]/)?e.eatWhile(/[\w]/):e.eat(/\d/)?e.eat(/\d/):e.next(),"variable-3";if(/[a-zA-Z_\xa1-\uffff]/.test(s))return e.eatWhile(/[\w\xa1-\uffff]/),e.eat(/[\?\!]/),e.eat(":")?"atom":"ident";if("|"!=s||!t.varList&&"{"!=t.lastTok&&"do"!=t.lastTok){if(/[\(\)\[\]{}\\;]/.test(s))return n=s,null;if("-"==s&&e.eat(">"))return"arrow";if(/[=+\-\/*:\.^%<>~|]/.test(s)){var h=e.eatWhile(/[=+\-\/*:\.^%<>~|]/);return"."!=s||h||(n="."),"operator"}return null}return n="|",null}function c(e){return e||(e=1),function(t,n){if("}"==t.peek()){if(1==e)return n.tokenize.pop(),n.tokenize[n.tokenize.length-1](t,n);n.tokenize[n.tokenize.length-1]=c(e-1)}else"{"==t.peek()&&(n.tokenize[n.tokenize.length-1]=c(e+1));return u(t,n)}}function d(){var e=!1;return function(t,n){return e?(n.tokenize.pop(),n.tokenize[n.tokenize.length-1](t,n)):(e=!0,u(t,n))}}function p(e,t,n,r){return function(i,o){var a,s=!1;for("read-quoted-paused"===o.context.type&&(o.context=o.context.prev,i.eat("}"));null!=(a=i.next());){if(a==e&&(r||!s)){o.tokenize.pop();break}if(n&&"#"==a&&!s){if(i.eat("{")){"}"==e&&(o.context={prev:o.context,type:"read-quoted-paused"}),o.tokenize.push(c());break}if(/[@\$]/.test(i.peek())){o.tokenize.push(d());break}}s=!s&&"\\"==a}return t}}function f(e,t){return e.sol()&&e.match("=end")&&e.eol()&&t.tokenize.pop(),e.skipToEnd(),"comment"}return{startState:function(){return{tokenize:[u],indented:0,context:{type:"top",indented:-t.indentUnit},continuedLine:!1,lastTok:null,varList:!1}},token:function(e,t){n=null,e.sol()&&(t.indented=e.indentation());var a,s=t.tokenize[t.tokenize.length-1](e,t),l=n;if("ident"==s){var u=e.current();"keyword"==(s="."==t.lastTok?"property":r.propertyIsEnumerable(e.current())?"keyword":/^[A-Z]/.test(u)?"tag":"def"==t.lastTok||"class"==t.lastTok||t.varList?"def":"variable")&&(l=u,i.propertyIsEnumerable(u)?a="indent":o.propertyIsEnumerable(u)?a="dedent":"if"!=u&&"unless"!=u||e.column()!=e.indentation()?"do"==u&&t.context.indented<t.indented&&(a="indent"):a="indent")}return(n||s&&"comment"!=s)&&(t.lastTok=l),"|"==n&&(t.varList=!t.varList),"indent"==a||/[\(\[\{]/.test(n)?t.context={prev:t.context,type:n||s,indented:t.indented}:("dedent"==a||/[\)\]\}]/.test(n))&&t.context.prev&&(t.context=t.context.prev),e.eol()&&(t.continuedLine="\\"==n||"operator"==s),s},indent:function(n,r){if(n.tokenize[n.tokenize.length-1]!=u)return e.Pass;var i=r&&r.charAt(0),o=n.context,a=o.type==s[i]||"keyword"==o.type&&/^(?:end|until|else|elsif|when|rescue)\b/.test(r);return o.indented+(a?0:t.indentUnit)+(n.continuedLine?t.indentUnit:0)},electricInput:/^\s*(?:end|rescue|elsif|else|\})$/,lineComment:"#",fold:"indent"}})),e.defineMIME("text/x-ruby","ruby"),e.registerHelper("hintWords","ruby",n)}(n(23326))},56987:(e,t,n)=>{!function(e){"use strict";e.defineSimpleMode("rust",{start:[{regex:/b?"/,token:"string",next:"string"},{regex:/b?r"/,token:"string",next:"string_raw"},{regex:/b?r#+"/,token:"string",next:"string_raw_hash"},{regex:/'(?:[^'\\]|\\(?:[nrt0'"]|x[\da-fA-F]{2}|u\{[\da-fA-F]{6}\}))'/,token:"string-2"},{regex:/b'(?:[^']|\\(?:['\\nrt0]|x[\da-fA-F]{2}))'/,token:"string-2"},{regex:/(?:(?:[0-9][0-9_]*)(?:(?:[Ee][+-]?[0-9_]+)|\.[0-9_]+(?:[Ee][+-]?[0-9_]+)?)(?:f32|f64)?)|(?:0(?:b[01_]+|(?:o[0-7_]+)|(?:x[0-9a-fA-F_]+))|(?:[0-9][0-9_]*))(?:u8|u16|u32|u64|i8|i16|i32|i64|isize|usize)?/,token:"number"},{regex:/(let(?:\s+mut)?|fn|enum|mod|struct|type|union)(\s+)([a-zA-Z_][a-zA-Z0-9_]*)/,token:["keyword",null,"def"]},{regex:/(?:abstract|alignof|as|async|await|box|break|continue|const|crate|do|dyn|else|enum|extern|fn|for|final|if|impl|in|loop|macro|match|mod|move|offsetof|override|priv|proc|pub|pure|ref|return|self|sizeof|static|struct|super|trait|type|typeof|union|unsafe|unsized|use|virtual|where|while|yield)\b/,token:"keyword"},{regex:/\b(?:Self|isize|usize|char|bool|u8|u16|u32|u64|f16|f32|f64|i8|i16|i32|i64|str|Option)\b/,token:"atom"},{regex:/\b(?:true|false|Some|None|Ok|Err)\b/,token:"builtin"},{regex:/\b(fn)(\s+)([a-zA-Z_][a-zA-Z0-9_]*)/,token:["keyword",null,"def"]},{regex:/#!?\[.*\]/,token:"meta"},{regex:/\/\/.*/,token:"comment"},{regex:/\/\*/,token:"comment",next:"comment"},{regex:/[-+\/*=<>!]+/,token:"operator"},{regex:/[a-zA-Z_]\w*!/,token:"variable-3"},{regex:/[a-zA-Z_]\w*/,token:"variable"},{regex:/[\{\[\(]/,indent:!0},{regex:/[\}\]\)]/,dedent:!0}],string:[{regex:/"/,token:"string",next:"start"},{regex:/(?:[^\\"]|\\(?:.|$))*/,token:"string"}],string_raw:[{regex:/"/,token:"string",next:"start"},{regex:/[^"]*/,token:"string"}],string_raw_hash:[{regex:/"#+/,token:"string",next:"start"},{regex:/(?:[^"]|"(?!#))*/,token:"string"}],comment:[{regex:/.*?\*\//,token:"comment",next:"start"},{regex:/.*/,token:"comment"}],meta:{dontIndentStates:["comment"],electricInput:/^\s*\}$/,blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//",fold:"brace"}}),e.defineMIME("text/x-rustsrc","rust"),e.defineMIME("text/rust","rust")}(n(23326),n(81094))},17547:(e,t,n)=>{!function(e){"use strict";e.defineMode("sas",(function(){var e={},t={eq:"operator",lt:"operator",le:"operator",gt:"operator",ge:"operator",in:"operator",ne:"operator",or:"operator"},n=/(<=|>=|!=|<>)/,r=/[=\(:\),{}.*<>+\-\/^\[\]]/;function i(t,n,r){if(r)for(var i=n.split(" "),o=0;o<i.length;o++)e[i[o]]={style:t,state:r}}return i("def","stack pgm view source debug nesting nolist",["inDataStep"]),i("def","if while until for do do; end end; then else cancel",["inDataStep"]),i("def","label format _n_ _error_",["inDataStep"]),i("def","ALTER BUFNO BUFSIZE CNTLLEV COMPRESS DLDMGACTION ENCRYPT ENCRYPTKEY EXTENDOBSCOUNTER GENMAX GENNUM INDEX LABEL OBSBUF OUTREP PW PWREQ READ REPEMPTY REPLACE REUSE ROLE SORTEDBY SPILL TOBSNO TYPE WRITE FILECLOSE FIRSTOBS IN OBS POINTOBS WHERE WHEREUP IDXNAME IDXWHERE DROP KEEP RENAME",["inDataStep"]),i("def","filevar finfo finv fipname fipnamel fipstate first firstobs floor",["inDataStep"]),i("def","varfmt varinfmt varlabel varlen varname varnum varray varrayx vartype verify vformat vformatd vformatdx vformatn vformatnx vformatw vformatwx vformatx vinarray vinarrayx vinformat vinformatd vinformatdx vinformatn vinformatnx vinformatw vinformatwx vinformatx vlabel vlabelx vlength vlengthx vname vnamex vnferr vtype vtypex weekday",["inDataStep"]),i("def","zipfips zipname zipnamel zipstate",["inDataStep"]),i("def","put putc putn",["inDataStep"]),i("builtin","data run",["inDataStep"]),i("def","data",["inProc"]),i("def","%if %end %end; %else %else; %do %do; %then",["inMacro"]),i("builtin","proc run; quit; libname filename %macro %mend option options",["ALL"]),i("def","footnote title libname ods",["ALL"]),i("def","%let %put %global %sysfunc %eval ",["ALL"]),i("variable","&sysbuffr &syscc &syscharwidth &syscmd &sysdate &sysdate9 &sysday &sysdevic &sysdmg &sysdsn &sysencoding &sysenv &syserr &syserrortext &sysfilrc &syshostname &sysindex &sysinfo &sysjobid &syslast &syslckrc &syslibrc &syslogapplname &sysmacroname &sysmenv &sysmsg &sysncpu &sysodspath &sysparm &syspbuff &sysprocessid &sysprocessname &sysprocname &sysrc &sysscp &sysscpl &sysscpl &syssite &sysstartid &sysstartname &systcpiphostname &systime &sysuserid &sysver &sysvlong &sysvlong4 &syswarningtext",["ALL"]),i("def","source2 nosource2 page pageno pagesize",["ALL"]),i("def","_all_ _character_ _cmd_ _freq_ _i_ _infile_ _last_ _msg_ _null_ _numeric_ _temporary_ _type_ abort abs addr adjrsq airy alpha alter altlog altprint and arcos array arsin as atan attrc attrib attrn authserver autoexec awscontrol awsdef awsmenu awsmenumerge awstitle backward band base betainv between blocksize blshift bnot bor brshift bufno bufsize bxor by byerr byline byte calculated call cards cards4 catcache cbufno cdf ceil center cexist change chisq cinv class cleanup close cnonct cntllev coalesce codegen col collate collin column comamid comaux1 comaux2 comdef compbl compound compress config continue convert cos cosh cpuid create cross crosstab css curobs cv daccdb daccdbsl daccsl daccsyd dacctab dairy datalines datalines4 datejul datepart datetime day dbcslang dbcstype dclose ddfm ddm delete delimiter depdb depdbsl depsl depsyd deptab dequote descending descript design= device dflang dhms dif digamma dim dinfo display distinct dkricond dkrocond dlm dnum do dopen doptname doptnum dread drop dropnote dsname dsnferr echo else emaildlg emailid emailpw emailserver emailsys encrypt end endsas engine eof eov erf erfc error errorcheck errors exist exp fappend fclose fcol fdelete feedback fetch fetchobs fexist fget file fileclose fileexist filefmt filename fileref fmterr fmtsearch fnonct fnote font fontalias fopen foptname foptnum force formatted formchar formdelim formdlim forward fpoint fpos fput fread frewind frlen from fsep fuzz fwrite gaminv gamma getoption getvarc getvarn go goto group gwindow hbar hbound helpenv helploc hms honorappearance hosthelp hostprint hour hpct html hvar ibessel ibr id if index indexc indexw initcmd initstmt inner input inputc inputn inr insert int intck intnx into intrr invaliddata irr is jbessel join juldate keep kentb kurtosis label lag last lbound leave left length levels lgamma lib library libref line linesize link list log log10 log2 logpdf logpmf logsdf lostcard lowcase lrecl ls macro macrogen maps mautosource max maxdec maxr mdy mean measures median memtype merge merror min minute missing missover mlogic mod mode model modify month mopen mort mprint mrecall msglevel msymtabmax mvarsize myy n nest netpv new news nmiss no nobatch nobs nocaps nocardimage nocenter nocharcode nocmdmac nocol nocum nodate nodbcs nodetails nodmr nodms nodmsbatch nodup nodupkey noduplicates noechoauto noequals noerrorabend noexitwindows nofullstimer noicon noimplmac noint nolist noloadlist nomiss nomlogic nomprint nomrecall nomsgcase nomstored nomultenvappl nonotes nonumber noobs noovp nopad nopercent noprint noprintinit normal norow norsasuser nosetinit nosplash nosymbolgen note notes notitle notitles notsorted noverbose noxsync noxwait npv null number numkeys nummousekeys nway obs on open order ordinal otherwise out outer outp= output over ovp p(1 5 10 25 50 75 90 95 99) pad pad2 paired parm parmcards path pathdll pathname pdf peek peekc pfkey pmf point poisson poke position printer probbeta probbnml probchi probf probgam probhypr probit probnegb probnorm probsig probt procleave prt ps pw pwreq qtr quote r ranbin rancau random ranexp rangam range ranks rannor ranpoi rantbl rantri ranuni rcorr read recfm register regr remote remove rename repeat repeated replace resolve retain return reuse reverse rewind right round rsquare rtf rtrace rtraceloc s s2 samploc sasautos sascontrol sasfrscr sasmsg sasmstore sasscript sasuser saving scan sdf second select selection separated seq serror set setcomm setot sign simple sin sinh siteinfo skewness skip sle sls sortedby sortpgm sortseq sortsize soundex spedis splashlocation split spool sqrt start std stderr stdin stfips stimer stname stnamel stop stopover sub subgroup subpopn substr sum sumwgt symbol symbolgen symget symput sysget sysin sysleave sysmsg sysparm sysprint sysprintfont sysprod sysrc system t table tables tan tanh tapeclose tbufsize terminal test then timepart tinv tnonct to today tol tooldef totper transformout translate trantab tranwrd trigamma trim trimn trunc truncover type unformatted uniform union until upcase update user usericon uss validate value var weight when where while wincharset window work workinit workterm write wsum xsync xwait yearcutoff yes yyq min max",["inDataStep","inProc"]),i("operator","and not ",["inDataStep","inProc"]),{startState:function(){return{inDataStep:!1,inProc:!1,inMacro:!1,nextword:!1,continueString:null,continueComment:!1}},token:function(i,o){return i.eatSpace()?null:function(i,o){var a=i.next();if("/"===a&&i.eat("*"))return o.continueComment=!0,"comment";if(!0===o.continueComment)return"*"===a&&"/"===i.peek()?(i.next(),o.continueComment=!1):i.skipTo("*")?(i.skipTo("*"),i.next(),i.eat("/")&&(o.continueComment=!1)):i.skipToEnd(),"comment";if("*"==a&&i.column()==i.indentation())return i.skipToEnd(),"comment";var s,l=a+i.peek();if(!('"'!==a&&"'"!==a||o.continueString))return o.continueString=a,"string";if(o.continueString)return o.continueString==a?o.continueString=null:i.skipTo(o.continueString)?(i.next(),o.continueString=null):i.skipToEnd(),"string";if(null!==o.continueString&&i.eol())return i.skipTo(o.continueString)||i.skipToEnd(),"string";if(/[\d\.]/.test(a))return"."===a?i.match(/^[0-9]+([eE][\-+]?[0-9]+)?/):"0"===a?i.match(/^[xX][0-9a-fA-F]+/)||i.match(/^0[0-7]+/):i.match(/^[0-9]*\.?[0-9]*([eE][\-+]?[0-9]+)?/),"number";if(n.test(a+i.peek()))return i.next(),"operator";if(t.hasOwnProperty(l)){if(i.next()," "===i.peek())return t[l.toLowerCase()]}else if(r.test(a))return"operator";if(null!=i.match(/[%&;\w]+/,!1)){if(s=a+i.match(/[%&;\w]+/,!0),/&/.test(s))return"variable"}else s=a;if(o.nextword)return i.match(/[\w]+/),"."===i.peek()&&i.skipTo(" "),o.nextword=!1,"variable-2";if(s=s.toLowerCase(),o.inDataStep){if("run;"===s||i.match(/run\s;/))return o.inDataStep=!1,"builtin";if(s&&"."===i.next())return/\w/.test(i.peek())?"variable-2":"variable";if(s&&e.hasOwnProperty(s)&&(-1!==e[s].state.indexOf("inDataStep")||-1!==e[s].state.indexOf("ALL"))){i.start<i.pos&&i.backUp(i.pos-i.start);for(var u=0;u<s.length;++u)i.next();return e[s].style}}if(o.inProc){if("run;"===s||"quit;"===s)return o.inProc=!1,"builtin";if(s&&e.hasOwnProperty(s)&&(-1!==e[s].state.indexOf("inProc")||-1!==e[s].state.indexOf("ALL")))return i.match(/[\w]+/),e[s].style}return o.inMacro?"%mend"===s?(";"===i.peek()&&i.next(),o.inMacro=!1,"builtin"):s&&e.hasOwnProperty(s)&&(-1!==e[s].state.indexOf("inMacro")||-1!==e[s].state.indexOf("ALL"))?(i.match(/[\w]+/),e[s].style):"atom":s&&e.hasOwnProperty(s)?(i.backUp(1),i.match(/[\w]+/),"data"===s&&!1===/=/.test(i.peek())?(o.inDataStep=!0,o.nextword=!0,"builtin"):"proc"===s?(o.inProc=!0,o.nextword=!0,"builtin"):"%macro"===s?(o.inMacro=!0,o.nextword=!0,"builtin"):/title[1-9]/.test(s)?"def":"footnote"===s?(i.eat(/[1-9]/),"def"):!0===o.inDataStep&&-1!==e[s].state.indexOf("inDataStep")||!0===o.inProc&&-1!==e[s].state.indexOf("inProc")||!0===o.inMacro&&-1!==e[s].state.indexOf("inMacro")||-1!==e[s].state.indexOf("ALL")?e[s].style:null):null}(i,o)},blockCommentStart:"/*",blockCommentEnd:"*/"}})),e.defineMIME("text/x-sas","sas")}(n(23326))},67838:(e,t,n)=>{!function(e){"use strict";e.defineMode("sass",(function(t){var n=e.mimeModes["text/css"],r=n.propertyKeywords||{},i=n.colorKeywords||{},o=n.valueKeywords||{},a=n.fontProperties||{};var s,l=new RegExp("^"+["true","false","null","auto"].join("|")),u=new RegExp("^"+["\\(","\\)","=",">","<","==",">=","<=","\\+","-","\\!=","/","\\*","%","and","or","not",";","\\{","\\}",":"].join("|")),c=/^::?[a-zA-Z_][\w\-]*/;function d(e){return!e.peek()||e.match(/\s+$/,!1)}function p(e,t){var n=e.peek();return")"===n?(e.next(),t.tokenizer=y,"operator"):"("===n?(e.next(),e.eatSpace(),"operator"):"'"===n||'"'===n?(t.tokenizer=h(e.next()),"string"):(t.tokenizer=h(")",!1),"string")}function f(e,t){return function(n,r){return n.sol()&&n.indentation()<=e?(r.tokenizer=y,y(n,r)):(t&&n.skipTo("*/")?(n.next(),n.next(),r.tokenizer=y):n.skipToEnd(),"comment")}}function h(e,t){return null==t&&(t=!0),function n(r,i){var o=r.next(),a=r.peek(),s=r.string.charAt(r.pos-2);return"\\"!==o&&a===e||o===e&&"\\"!==s?(o!==e&&t&&r.next(),d(r)&&(i.cursorHalf=0),i.tokenizer=y,"string"):"#"===o&&"{"===a?(i.tokenizer=m(n),r.next(),"operator"):"string"}}function m(e){return function(t,n){return"}"===t.peek()?(t.next(),n.tokenizer=e,"operator"):y(t,n)}}function g(e){if(0==e.indentCount){e.indentCount++;var n=e.scopes[0].offset+t.indentUnit;e.scopes.unshift({offset:n})}}function v(e){1!=e.scopes.length&&e.scopes.shift()}function y(e,t){var n=e.peek();if(e.match("/*"))return t.tokenizer=f(e.indentation(),!0),t.tokenizer(e,t);if(e.match("//"))return t.tokenizer=f(e.indentation(),!1),t.tokenizer(e,t);if(e.match("#{"))return t.tokenizer=m(y),"operator";if('"'===n||"'"===n)return e.next(),t.tokenizer=h(n),"string";if(t.cursorHalf){if("#"===n&&(e.next(),e.match(/[0-9a-fA-F]{6}|[0-9a-fA-F]{3}/)))return d(e)&&(t.cursorHalf=0),"number";if(e.match(/^-?[0-9\.]+/))return d(e)&&(t.cursorHalf=0),"number";if(e.match(/^(px|em|in)\b/))return d(e)&&(t.cursorHalf=0),"unit";if(e.match(l))return d(e)&&(t.cursorHalf=0),"keyword";if(e.match(/^url/)&&"("===e.peek())return t.tokenizer=p,d(e)&&(t.cursorHalf=0),"atom";if("$"===n)return e.next(),e.eatWhile(/[\w-]/),d(e)&&(t.cursorHalf=0),"variable-2";if("!"===n)return e.next(),t.cursorHalf=0,e.match(/^[\w]+/)?"keyword":"operator";if(e.match(u))return d(e)&&(t.cursorHalf=0),"operator";if(e.eatWhile(/[\w-]/))return d(e)&&(t.cursorHalf=0),s=e.current().toLowerCase(),o.hasOwnProperty(s)?"atom":i.hasOwnProperty(s)?"keyword":r.hasOwnProperty(s)?(t.prevProp=e.current().toLowerCase(),"property"):"tag";if(d(e))return t.cursorHalf=0,null}else{if("-"===n&&e.match(/^-\w+-/))return"meta";if("."===n){if(e.next(),e.match(/^[\w-]+/))return g(t),"qualifier";if("#"===e.peek())return g(t),"tag"}if("#"===n){if(e.next(),e.match(/^[\w-]+/))return g(t),"builtin";if("#"===e.peek())return g(t),"tag"}if("$"===n)return e.next(),e.eatWhile(/[\w-]/),"variable-2";if(e.match(/^-?[0-9\.]+/))return"number";if(e.match(/^(px|em|in)\b/))return"unit";if(e.match(l))return"keyword";if(e.match(/^url/)&&"("===e.peek())return t.tokenizer=p,"atom";if("="===n&&e.match(/^=[\w-]+/))return g(t),"meta";if("+"===n&&e.match(/^\+[\w-]+/))return"variable-3";if("@"===n&&e.match("@extend")&&(e.match(/\s*[\w]/)||v(t)),e.match(/^@(else if|if|media|else|for|each|while|mixin|function)/))return g(t),"def";if("@"===n)return e.next(),e.eatWhile(/[\w-]/),"def";if(e.eatWhile(/[\w-]/)){if(e.match(/ *: *[\w-\+\$#!\("']/,!1)){s=e.current().toLowerCase();var b=t.prevProp+"-"+s;return r.hasOwnProperty(b)?"property":r.hasOwnProperty(s)?(t.prevProp=s,"property"):a.hasOwnProperty(s)?"property":"tag"}return e.match(/ *:/,!1)?(g(t),t.cursorHalf=1,t.prevProp=e.current().toLowerCase(),"property"):(e.match(/ *,/,!1)||g(t),"tag")}if(":"===n)return e.match(c)?"variable-3":(e.next(),t.cursorHalf=1,"operator")}return e.match(u)?"operator":(e.next(),null)}return{startState:function(){return{tokenizer:y,scopes:[{offset:0,type:"sass"}],indentCount:0,cursorHalf:0,definedVars:[],definedMixins:[]}},token:function(e,n){var r=function(e,n){e.sol()&&(n.indentCount=0);var r=n.tokenizer(e,n),i=e.current();if("@return"!==i&&"}"!==i||v(n),null!==r){for(var o=e.pos-i.length+t.indentUnit*n.indentCount,a=[],s=0;s<n.scopes.length;s++){var l=n.scopes[s];l.offset<=o&&a.push(l)}n.scopes=a}return r}(e,n);return n.lastToken={style:r,content:e.current()},r},indent:function(e){return e.scopes[0].offset},blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//",fold:"indent"}}),"css"),e.defineMIME("text/x-sass","sass")}(n(23326),n(98627))},84169:(e,t,n)=>{!function(e){"use strict";e.defineMode("scheme",(function(){var e="comment",t="string",n="symbol",r="atom",i="number",o="bracket";function a(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var s=a("λ case-lambda call/cc class cond-expand define-class define-values exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax define-macro defmacro delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt #f floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? #t tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"),l=a("define let letrec let* lambda define-macro defmacro let-syntax letrec-syntax let-values let*-values define-syntax syntax-rules define-values when unless");function u(e,t,n){this.indent=e,this.type=t,this.prev=n}function c(e,t,n){e.indentStack=new u(t,n,e.indentStack)}var d=new RegExp(/^(?:[-+]i|[-+][01]+#*(?:\/[01]+#*)?i|[-+]?[01]+#*(?:\/[01]+#*)?@[-+]?[01]+#*(?:\/[01]+#*)?|[-+]?[01]+#*(?:\/[01]+#*)?[-+](?:[01]+#*(?:\/[01]+#*)?)?i|[-+]?[01]+#*(?:\/[01]+#*)?)(?=[()\s;"]|$)/i),p=new RegExp(/^(?:[-+]i|[-+][0-7]+#*(?:\/[0-7]+#*)?i|[-+]?[0-7]+#*(?:\/[0-7]+#*)?@[-+]?[0-7]+#*(?:\/[0-7]+#*)?|[-+]?[0-7]+#*(?:\/[0-7]+#*)?[-+](?:[0-7]+#*(?:\/[0-7]+#*)?)?i|[-+]?[0-7]+#*(?:\/[0-7]+#*)?)(?=[()\s;"]|$)/i),f=new RegExp(/^(?:[-+]i|[-+][\da-f]+#*(?:\/[\da-f]+#*)?i|[-+]?[\da-f]+#*(?:\/[\da-f]+#*)?@[-+]?[\da-f]+#*(?:\/[\da-f]+#*)?|[-+]?[\da-f]+#*(?:\/[\da-f]+#*)?[-+](?:[\da-f]+#*(?:\/[\da-f]+#*)?)?i|[-+]?[\da-f]+#*(?:\/[\da-f]+#*)?)(?=[()\s;"]|$)/i),h=new RegExp(/^(?:[-+]i|[-+](?:(?:(?:\d+#+\.?#*|\d+\.\d*#*|\.\d+#*|\d+)(?:[esfdl][-+]?\d+)?)|\d+#*\/\d+#*)i|[-+]?(?:(?:(?:\d+#+\.?#*|\d+\.\d*#*|\.\d+#*|\d+)(?:[esfdl][-+]?\d+)?)|\d+#*\/\d+#*)@[-+]?(?:(?:(?:\d+#+\.?#*|\d+\.\d*#*|\.\d+#*|\d+)(?:[esfdl][-+]?\d+)?)|\d+#*\/\d+#*)|[-+]?(?:(?:(?:\d+#+\.?#*|\d+\.\d*#*|\.\d+#*|\d+)(?:[esfdl][-+]?\d+)?)|\d+#*\/\d+#*)[-+](?:(?:(?:\d+#+\.?#*|\d+\.\d*#*|\.\d+#*|\d+)(?:[esfdl][-+]?\d+)?)|\d+#*\/\d+#*)?i|(?:(?:(?:\d+#+\.?#*|\d+\.\d*#*|\.\d+#*|\d+)(?:[esfdl][-+]?\d+)?)|\d+#*\/\d+#*))(?=[()\s;"]|$)/i);function m(e){return e.match(d)}function g(e){return e.match(p)}function v(e,t){return!0===t&&e.backUp(1),e.match(h)}function y(e){return e.match(f)}function b(e,t){for(var n,r=!1;null!=(n=e.next());){if(n==t.token&&!r){t.state.mode=!1;break}r=!r&&"\\"==n}}return{startState:function(){return{indentStack:null,indentation:0,mode:!1,sExprComment:!1,sExprQuote:!1}},token:function(a,u){if(null==u.indentStack&&a.sol()&&(u.indentation=a.indentation()),a.eatSpace())return null;var d=null;switch(u.mode){case"string":b(a,{token:'"',state:u}),d=t;break;case"symbol":b(a,{token:"|",state:u}),d=n;break;case"comment":for(var p,f=!1;null!=(p=a.next());){if("#"==p&&f){u.mode=!1;break}f="|"==p}d=e;break;case"s-expr-comment":if(u.mode=!1,"("!=a.peek()&&"["!=a.peek()){a.eatWhile(/[^\s\(\)\[\]]/),d=e;break}u.sExprComment=0;default:var h=a.next();if('"'==h)u.mode="string",d=t;else if("'"==h)"("==a.peek()||"["==a.peek()?("number"!=typeof u.sExprQuote&&(u.sExprQuote=0),d=r):(a.eatWhile(/[\w_\-!$%&*+\.\/:<=>?@\^~]/),d=r);else if("|"==h)u.mode="symbol",d=n;else if("#"==h)if(a.eat("|"))u.mode="comment",d=e;else if(a.eat(/[tf]/i))d=r;else if(a.eat(";"))u.mode="s-expr-comment",d=e;else{var w=null,_=!1,x=!0;a.eat(/[ei]/i)?_=!0:a.backUp(1),a.match(/^#b/i)?w=m:a.match(/^#o/i)?w=g:a.match(/^#x/i)?w=y:a.match(/^#d/i)?w=v:a.match(/^[-+0-9.]/,!1)?(x=!1,w=v):_||a.eat("#"),null!=w&&(x&&!_&&a.match(/^#[ei]/i),w(a)&&(d=i))}else if(/^[-+0-9.]/.test(h)&&v(a,!0))d=i;else if(";"==h)a.skipToEnd(),d=e;else if("("==h||"["==h){for(var k,E="",C=a.column();null!=(k=a.eat(/[^\s\(\[\;\)\]]/));)E+=k;E.length>0&&l.propertyIsEnumerable(E)?c(u,C+2,h):(a.eatSpace(),a.eol()||";"==a.peek()?c(u,C+1,h):c(u,C+a.current().length,h)),a.backUp(a.current().length-1),"number"==typeof u.sExprComment&&u.sExprComment++,"number"==typeof u.sExprQuote&&u.sExprQuote++,d=o}else")"==h||"]"==h?(d=o,null!=u.indentStack&&u.indentStack.type==(")"==h?"(":"[")&&(function(e){e.indentStack=e.indentStack.prev}(u),"number"==typeof u.sExprComment&&0==--u.sExprComment&&(d=e,u.sExprComment=!1),"number"==typeof u.sExprQuote&&0==--u.sExprQuote&&(d=r,u.sExprQuote=!1))):(a.eatWhile(/[\w_\-!$%&*+\.\/:<=>?@\^~]/),d=s&&s.propertyIsEnumerable(a.current())?"builtin":"variable")}return"number"==typeof u.sExprComment?e:"number"==typeof u.sExprQuote?r:d},indent:function(e){return null==e.indentStack?e.indentation:e.indentStack.indent},fold:"brace-paren",closeBrackets:{pairs:'()[]{}""'},lineComment:";;"}})),e.defineMIME("text/x-scheme","scheme")}(n(23326))},25397:(e,t,n)=>{!function(e){"use strict";e.defineMode("shell",(function(){var t={};function n(e,n){for(var r=0;r<n.length;r++)t[n[r]]=e}var r=["true","false"],i=["if","then","do","else","elif","while","until","for","in","esac","fi","fin","fil","done","exit","set","unset","export","function"],o=["ab","awk","bash","beep","cat","cc","cd","chown","chmod","chroot","clear","cp","curl","cut","diff","echo","find","gawk","gcc","get","git","grep","hg","kill","killall","ln","ls","make","mkdir","openssl","mv","nc","nl","node","npm","ping","ps","restart","rm","rmdir","sed","service","sh","shopt","shred","source","sort","sleep","ssh","start","stop","su","sudo","svn","tee","telnet","top","touch","vi","vim","wall","wc","wget","who","write","yes","zsh"];function a(e,n){if(e.eatSpace())return null;var r,i=e.sol(),o=e.next();if("\\"===o)return e.next(),null;if("'"===o||'"'===o||"`"===o)return n.tokens.unshift(s(o,"`"===o?"quote":"string")),c(e,n);if("#"===o)return i&&e.eat("!")?(e.skipToEnd(),"meta"):(e.skipToEnd(),"comment");if("$"===o)return n.tokens.unshift(u),c(e,n);if("+"===o||"="===o)return"operator";if("-"===o)return e.eat("-"),e.eatWhile(/\w/),"attribute";if("<"==o){if(e.match("<<"))return"operator";var a=e.match(/^<-?\s*['"]?([^'"]*)['"]?/);if(a)return n.tokens.unshift((r=a[1],function(e,t){return e.sol()&&e.string==r&&t.tokens.shift(),e.skipToEnd(),"string-2"})),"string-2"}if(/\d/.test(o)&&(e.eatWhile(/\d/),e.eol()||!/\w/.test(e.peek())))return"number";e.eatWhile(/[\w-]/);var l=e.current();return"="===e.peek()&&/\w+/.test(l)?"def":t.hasOwnProperty(l)?t[l]:null}function s(e,t){var n="("==e?")":"{"==e?"}":e;return function(r,i){for(var o,a=!1;null!=(o=r.next());){if(o===n&&!a){i.tokens.shift();break}if("$"===o&&!a&&"'"!==e&&r.peek()!=n){a=!0,r.backUp(1),i.tokens.unshift(u);break}if(!a&&e!==n&&o===e)return i.tokens.unshift(s(e,t)),c(r,i);if(!a&&/['"]/.test(o)&&!/['"]/.test(e)){i.tokens.unshift(l(o,"string")),r.backUp(1);break}a=!a&&"\\"===o}return t}}function l(e,t){return function(n,r){return r.tokens[0]=s(e,t),n.next(),c(n,r)}}e.registerHelper("hintWords","shell",r.concat(i,o)),n("atom",r),n("keyword",i),n("builtin",o);var u=function(e,t){t.tokens.length>1&&e.eat("$");var n=e.next();return/['"({]/.test(n)?(t.tokens[0]=s(n,"("==n?"quote":"{"==n?"def":"string"),c(e,t)):(/\d/.test(n)||e.eatWhile(/\w/),t.tokens.shift(),"def")};function c(e,t){return(t.tokens[0]||a)(e,t)}return{startState:function(){return{tokens:[]}},token:function(e,t){return c(e,t)},closeBrackets:"()[]{}''\"\"``",lineComment:"#",fold:"brace"}})),e.defineMIME("text/x-sh","shell"),e.defineMIME("application/x-sh","shell")}(n(23326))},84712:(e,t,n)=>{!function(e){"use strict";e.defineMode("sieve",(function(e){function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var n=t("if elsif else stop require"),r=t("true false not"),i=e.indentUnit;function o(e,t){var i,l=e.next();if("/"==l&&e.eat("*"))return t.tokenize=s,s(e,t);if("#"===l)return e.skipToEnd(),"comment";if('"'==l)return t.tokenize=(i=l,function(e,t){for(var n,r=!1;null!=(n=e.next())&&(n!=i||r);)r=!r&&"\\"==n;return r||(t.tokenize=o),"string"}),t.tokenize(e,t);if("("==l)return t._indent.push("("),t._indent.push("{"),null;if("{"===l)return t._indent.push("{"),null;if(")"==l&&(t._indent.pop(),t._indent.pop()),"}"===l)return t._indent.pop(),null;if(","==l)return null;if(";"==l)return null;if(/[{}\(\),;]/.test(l))return null;if(/\d/.test(l))return e.eatWhile(/[\d]/),e.eat(/[KkMmGg]/),"number";if(":"==l)return e.eatWhile(/[a-zA-Z_]/),e.eatWhile(/[a-zA-Z0-9_]/),"operator";e.eatWhile(/\w/);var u=e.current();return"text"==u&&e.eat(":")?(t.tokenize=a,"string"):n.propertyIsEnumerable(u)?"keyword":r.propertyIsEnumerable(u)?"atom":null}function a(e,t){return t._multiLineString=!0,e.sol()?("."==e.next()&&e.eol()&&(t._multiLineString=!1,t.tokenize=o),"string"):(e.eatSpace(),"#"==e.peek()?(e.skipToEnd(),"comment"):(e.skipToEnd(),"string"))}function s(e,t){for(var n,r=!1;null!=(n=e.next());){if(r&&"/"==n){t.tokenize=o;break}r="*"==n}return"comment"}return{startState:function(e){return{tokenize:o,baseIndent:e||0,_indent:[]}},token:function(e,t){return e.eatSpace()?null:(t.tokenize||o)(e,t)},indent:function(e,t){var n=e._indent.length;return t&&"}"==t[0]&&n--,n<0&&(n=0),n*i},electricChars:"}"}})),e.defineMIME("application/sieve","sieve")}(n(23326))},1051:(e,t,n)=>{!function(e){"use strict";e.defineMode("slim",(function(t){var n=e.getMode(t,{name:"htmlmixed"}),r=e.getMode(t,"ruby"),i={html:n,ruby:r},o={ruby:"ruby",javascript:"javascript",css:"text/css",sass:"text/x-sass",scss:"text/x-scss",less:"text/x-less",styl:"text/x-styl",coffee:"coffeescript",asciidoc:"text/x-asciidoc",markdown:"text/x-markdown",textile:"text/x-textile",creole:"text/x-creole",wiki:"text/x-wiki",mediawiki:"text/x-mediawiki",rdoc:"text/x-rdoc",builder:"text/x-builder",nokogiri:"text/x-nokogiri",erb:"application/x-erb"},a=function(e){var t=[];for(var n in e)t.push(n);return new RegExp("^("+t.join("|")+"):")}(o),s={commentLine:"comment",slimSwitch:"operator special",slimTag:"tag",slimId:"attribute def",slimClass:"attribute qualifier",slimAttribute:"attribute",slimSubmode:"keyword special",closeAttributeTag:null,slimDoctype:null,lineContinuation:null},l={"{":"}","[":"]","(":")"},u="_a-zA-ZÀ-ÖØ-öø-˿Ͱ-ͽͿ-῿‌-‍⁰-↏Ⰰ-⿯、-퟿豈-﷏ﷰ-<2D>",c=u+"\\-0-9·̀-ͯ‿-⁀",d=new RegExp("^[:"+u+"](?::["+c+"]|["+c+"]*)"),p=new RegExp("^[:"+u+"][:\\."+c+"]*(?=\\s*=)"),f=new RegExp("^[:"+u+"][:\\."+c+"]*"),h=/^\.-?[_a-zA-Z]+[\w\-]*/,m=/^#[_a-zA-Z]+[\w\-]*/;function g(e,t){e.stack={parent:e.stack,style:"continuation",indented:t,tokenize:e.line},e.line=e.tokenize}function v(e){e.line==e.tokenize&&(e.line=e.stack.tokenize,e.stack=e.stack.parent)}function y(e,t){return function(n,r){return n.peek()==e&&1==r.rubyState.tokenize.length?(n.next(),r.tokenize=t,"closeAttributeTag"):w(n,r)}}function b(t){var n,i=function(e,r){if(1==r.rubyState.tokenize.length&&!r.rubyState.context.prev){if(e.backUp(1),e.eatSpace())return r.rubyState=n,r.tokenize=t,t(e,r);e.next()}return w(e,r)};return function(t,o){return n=o.rubyState,o.rubyState=e.startState(r),o.tokenize=i,w(t,o)}}function w(e,t){return r.token(e,t.rubyState)}function _(e,t){return e.match(/^#\{/)?(t.tokenize=y("}",t.tokenize),null):function(e,t,n,r,i){var o=e.current(),a=o.search(n);return a>-1&&(t.tokenize=function(e,t,n){var r=function(r,i){return i.tokenize=t,r.pos<e?(r.pos=e,n):i.tokenize(r,i)};return function(e,n){return n.tokenize=r,t(e,n)}}(e.pos,t.tokenize,i),e.backUp(o.length-a-r)),i}(e,t,/[^\\]#\{/,1,n.token(e,t.htmlState))}function x(e){return function(t,n){var r=function(e,t){return e.match(/^\\$/)?"lineContinuation":_(e,t)}(t,n);return t.eol()&&(n.tokenize=e),r}}function k(e,t,n){return t.stack={parent:t.stack,style:"html",indented:e.column()+n,tokenize:t.line},t.line=t.tokenize=_,null}function E(e,t){return e.skipToEnd(),t.stack.style}function C(e,t){return e.eat(t.stack.endQuote)?(t.line=t.stack.line,t.tokenize=t.stack.tokenize,t.stack=t.stack.parent,null):e.match(f)?(t.tokenize=S,"slimAttribute"):(e.next(),null)}function S(e,t){return e.match(/^==?/)?(t.tokenize=A,null):C(e,t)}function A(e,t){var n=e.peek();return'"'==n||"'"==n?(t.tokenize=U(n,"string",!0,!1,C),e.next(),t.tokenize(e,t)):"["==n?b(C)(e,t):e.match(/^(true|false|nil)\b/)?(t.tokenize=C,"keyword"):b(C)(e,t)}function T(t,n){if(t.match(/^#\{/))return n.tokenize=y("}",n.tokenize),null;var r=new e.StringStream(t.string.slice(n.stack.indented),t.tabSize);r.pos=t.pos-n.stack.indented,r.start=t.start-n.stack.indented,r.lastColumnPos=t.lastColumnPos-n.stack.indented,r.lastColumnValue=t.lastColumnValue-n.stack.indented;var i=n.subMode.token(r,n.subState);return t.pos=r.pos+n.stack.indented,i}function O(e,t){return t.stack.indented=e.column(),t.line=t.tokenize=T,t.tokenize(e,t)}function P(n){return i.hasOwnProperty(n)?i[n]:i[n]=function(n){var r=o[n],i=e.mimeModes[r];if(i)return e.getMode(t,i);var a=e.modes[r];return a?a(t,{name:r}):e.getMode(t,"null")}(n)}function D(e,t){return e.skipToEnd(),"slimDoctype"}function R(t,n){if("<"==t.peek())return(n.tokenize=x(n.tokenize))(t,n);if(t.match(/^[|']/))return k(t,n,1);if(t.match(/^\/(!|\[\w+])?/))return function(e,t){return t.stack={parent:t.stack,style:"comment",indented:t.indented+1,tokenize:t.line},t.line=E,E(e,t)}(t,n);if(t.match(/^(-|==?[<>]?)/))return n.tokenize=function(e,t){return function(n,r){if(v(r),n.match(/^\\$/))return g(r,e),"lineContinuation";var i=t(n,r);return n.eol()&&n.current().match(/(?:^|[^\\])(?:\\\\)*\\$/)&&n.backUp(1),i}}(t.column(),(r=t.column(),i=w,function(e,t){v(t);var n=i(e,t);return e.eol()&&e.current().match(/,$/)&&g(t,r),n})),"slimSwitch";var r,i;if(t.match(/^doctype\b/))return n.tokenize=D,"keyword";var o=t.match(a);return o?function(t,n){var r=P(t),i=e.startState(r);return n.subMode=r,n.subState=i,n.stack={parent:n.stack,style:"sub",indented:n.indented+1,tokenize:n.line},n.line=n.tokenize=O,"slimSubmode"}(o[1],n):M(t,n)}function I(e,t){return t.startOfLine?R(e,t):M(e,t)}function M(e,t){return e.eat("*")?(t.tokenize=b(L),null):e.match(d)?(t.tokenize=L,"slimTag"):N(e,t)}function L(e,t){return e.match(/^(<>?|><?)/)?(t.tokenize=N,null):N(e,t)}function N(e,t){return e.match(m)?(t.tokenize=N,"slimId"):e.match(h)?(t.tokenize=N,"slimClass"):j(e,t)}function j(e,t){return e.match(/^([\[\{\(])/)?function(e,t,n){return e.stack={parent:e.stack,style:"wrapper",indented:e.indented+1,tokenize:n,line:e.line,endQuote:t},e.line=e.tokenize=C,null}(t,l[RegExp.$1],j):e.match(p)?(t.tokenize=F,"slimAttribute"):"*"==e.peek()?(e.next(),t.tokenize=b(q),null):q(e,t)}function F(e,t){return e.match(/^==?/)?(t.tokenize=B,null):j(e,t)}function B(e,t){var n=e.peek();return'"'==n||"'"==n?(t.tokenize=U(n,"string",!0,!1,j),e.next(),t.tokenize(e,t)):"["==n?b(j)(e,t):":"==n?b(z)(e,t):e.match(/^(true|false|nil)\b/)?(t.tokenize=j,"keyword"):b(j)(e,t)}function z(e,t){return e.backUp(1),e.match(/^[^\s],(?=:)/)?(t.tokenize=b(z),null):(e.next(),j(e,t))}function U(e,t,n,r,i){return function(o,a){v(a);var s=0==o.current().length;if(o.match(/^\\$/,s))return s?(g(a,a.indented),"lineContinuation"):t;if(o.match(/^#\{/,s))return s?(a.tokenize=y("}",a.tokenize),null):t;for(var l,u=!1;null!=(l=o.next());){if(l==e&&(r||!u)){a.tokenize=i;break}if(n&&"#"==l&&!u&&o.eat("{")){o.backUp(2);break}u=!u&&"\\"==l}return o.eol()&&u&&o.backUp(1),t}}function q(e,t){return e.match(/^==?/)?(t.tokenize=w,"slimSwitch"):e.match(/^\/$/)?(t.tokenize=I,null):e.match(/^:/)?(t.tokenize=M,"slimSwitch"):(k(e,t,0),t.tokenize(e,t))}var $={startState:function(){return{htmlState:e.startState(n),rubyState:e.startState(r),stack:null,last:null,tokenize:I,line:I,indented:0}},copyState:function(t){return{htmlState:e.copyState(n,t.htmlState),rubyState:e.copyState(r,t.rubyState),subMode:t.subMode,subState:t.subMode&&e.copyState(t.subMode,t.subState),stack:t.stack,last:t.last,tokenize:t.tokenize,line:t.line}},token:function(e,t){if(e.sol())for(t.indented=e.indentation(),t.startOfLine=!0,t.tokenize=t.line;t.stack&&t.stack.indented>t.indented&&"slimSubmode"!=t.last;)t.line=t.tokenize=t.stack.tokenize,t.stack=t.stack.parent,t.subMode=null,t.subState=null;if(e.eatSpace())return null;var n=t.tokenize(e,t);return t.startOfLine=!1,n&&(t.last=n),s.hasOwnProperty(n)?s[n]:n},blankLine:function(e){if(e.subMode&&e.subMode.blankLine)return e.subMode.blankLine(e.subState)},innerMode:function(e){return e.subMode?{state:e.subState,mode:e.subMode}:{state:e,mode:$}}};return $}),"htmlmixed","ruby"),e.defineMIME("text/x-slim","slim"),e.defineMIME("application/x-slim","slim")}(n(23326),n(38425),n(53019))},57434:(e,t,n)=>{!function(e){"use strict";e.defineMode("smalltalk",(function(e){var t=/[+\-\/\\*~<>=@%|&?!.,:;^]/,n=/true|false|nil|self|super|thisContext/,r=function(e,t){this.next=e,this.parent=t},i=function(e,t,n){this.name=e,this.context=t,this.eos=n},o=function(){this.context=new r(a,null),this.expectVariable=!0,this.indentation=0,this.userIndentationDelta=0};o.prototype.userIndent=function(t){this.userIndentationDelta=t>0?t/e.indentUnit-this.indentation:0};var a=function(e,o,a){var d=new i(null,o,!1),p=e.next();return'"'===p?d=s(e,new r(s,o)):"'"===p?d=l(e,new r(l,o)):"#"===p?"'"===e.peek()?(e.next(),d=u(e,new r(u,o))):e.eatWhile(/[^\s.{}\[\]()]/)?d.name="string-2":d.name="meta":"$"===p?("<"===e.next()&&(e.eatWhile(/[^\s>]/),e.next()),d.name="string-2"):"|"===p&&a.expectVariable?d.context=new r(c,o):/[\[\]{}()]/.test(p)?(d.name="bracket",d.eos=/[\[{(]/.test(p),"["===p?a.indentation++:"]"===p&&(a.indentation=Math.max(0,a.indentation-1))):t.test(p)?(e.eatWhile(t),d.name="operator",d.eos=";"!==p):/\d/.test(p)?(e.eatWhile(/[\w\d]/),d.name="number"):/[\w_]/.test(p)?(e.eatWhile(/[\w\d_]/),d.name=a.expectVariable?n.test(e.current())?"keyword":"variable":null):d.eos=a.expectVariable,d},s=function(e,t){return e.eatWhile(/[^"]/),new i("comment",e.eat('"')?t.parent:t,!0)},l=function(e,t){return e.eatWhile(/[^']/),new i("string",e.eat("'")?t.parent:t,!1)},u=function(e,t){return e.eatWhile(/[^']/),new i("string-2",e.eat("'")?t.parent:t,!1)},c=function(e,t){var n=new i(null,t,!1);return"|"===e.next()?(n.context=t.parent,n.eos=!0):(e.eatWhile(/[^|]/),n.name="variable"),n};return{startState:function(){return new o},token:function(e,t){if(t.userIndent(e.indentation()),e.eatSpace())return null;var n=t.context.next(e,t.context,t);return t.context=n.context,t.expectVariable=n.eos,n.name},blankLine:function(e){e.userIndent(0)},indent:function(t,n){var r=t.context.next===a&&n&&"]"===n.charAt(0)?-1:t.userIndentationDelta;return(t.indentation+r)*e.indentUnit},electricChars:"]"}})),e.defineMIME("text/x-stsrc",{name:"smalltalk"})}(n(23326))},69595:(e,t,n)=>{!function(e){"use strict";e.defineMode("smarty",(function(t,n){var r,i=n.rightDelimiter||"}",o=n.leftDelimiter||"{",a=n.version||2,s=e.getMode(t,n.baseMode||"null"),l=["debug","extends","function","include","literal"],u={operatorChars:/[+\-*&%=<>!?]/,validIdentifier:/[a-zA-Z0-9_]/,stringChar:/['"]/};function c(e,t){return r=t,e}function d(e,t){return null==t&&(t=e.pos),3===a&&"{"==o&&(t==e.string.length||/\s/.test(e.string.charAt(t)))}function p(e,t){for(var n=e.string,a=e.pos;;){var l=n.indexOf(o,a);if(a=l+o.length,-1==l||!d(e,l+o.length))break}if(l==e.pos)return e.match(o),e.eat("*")?function(e,t,n){return t.tokenize=n,n(e,t)}(e,t,(u="comment",c="*"+i,function(e,t){for(;!e.eol();){if(e.match(c)){t.tokenize=p;break}e.next()}return u})):(t.depth++,t.tokenize=f,r="startTag","tag");var u,c;l>-1&&(e.string=n.slice(0,l));var h=s.token(e,t.base);return l>-1&&(e.string=n),h}function f(e,t){if(e.match(i,!0))return 3===a?(t.depth--,t.depth<=0&&(t.tokenize=p)):t.tokenize=p,c("tag",null);if(e.match(o,!0))return t.depth++,c("tag","startTag");var n,s=e.next();if("$"==s)return e.eatWhile(u.validIdentifier),c("variable-2","variable");if("|"==s)return c("operator","pipe");if("."==s)return c("operator","property");if(u.stringChar.test(s))return t.tokenize=(n=s,function(e,t){for(var r=null,i=null;!e.eol();){if(i=e.peek(),e.next()==n&&"\\"!==r){t.tokenize=f;break}r=i}return"string"}),c("string","string");if(u.operatorChars.test(s))return e.eatWhile(u.operatorChars),c("operator","operator");if("["==s||"]"==s)return c("bracket","bracket");if("("==s||")"==s)return c("bracket","operator");if(/\d/.test(s))return e.eatWhile(/\d/),c("number","number");if("variable"==t.last){if("@"==s)return e.eatWhile(u.validIdentifier),c("property","property");if("|"==s)return e.eatWhile(u.validIdentifier),c("qualifier","modifier")}else{if("pipe"==t.last)return e.eatWhile(u.validIdentifier),c("qualifier","modifier");if("whitespace"==t.last)return e.eatWhile(u.validIdentifier),c("attribute","modifier")}if("property"==t.last)return e.eatWhile(u.validIdentifier),c("property",null);if(/\s/.test(s))return r="whitespace",null;var d="";"/"!=s&&(d+=s);for(var h=null;h=e.eat(u.validIdentifier);)d+=h;for(var m=0,g=l.length;m<g;m++)if(l[m]==d)return c("keyword","keyword");return/\s/.test(s)?null:c("tag","tag")}return{startState:function(){return{base:e.startState(s),tokenize:p,last:null,depth:0}},copyState:function(t){return{base:e.copyState(s,t.base),tokenize:t.tokenize,last:t.last,depth:t.depth}},innerMode:function(e){if(e.tokenize==p)return{mode:s,state:e.base}},token:function(e,t){var n=t.tokenize(e,t);return t.last=r,n},indent:function(t,n,r){return t.tokenize==p&&s.indent?s.indent(t.base,n,r):e.Pass},blockCommentStart:o+"*",blockCommentEnd:"*"+i}})),e.defineMIME("text/x-smarty","smarty")}(n(23326))},83172:(e,t,n)=>{!function(e){"use strict";e.defineMode("solr",(function(){var e=/[^\s\|\!\+\-\*\?\~\^\&\:\(\)\[\]\{\}\"\\]/,t=/[\|\!\+\-\*\?\~\^\&]/,n=/^(OR|AND|NOT|TO)$/i;function r(t){return function(r,o){for(var a=t;(t=r.peek())&&null!=t.match(e);)a+=r.next();return o.tokenize=i,n.test(a)?"operator":function(e){return parseFloat(e).toString()===e}(a)?"number":":"==r.peek()?"field":"string"}}function i(n,o){var a,s,l=n.next();return'"'==l?o.tokenize=(s=l,function(e,t){for(var n,r=!1;null!=(n=e.next())&&(n!=s||r);)r=!r&&"\\"==n;return r||(t.tokenize=i),"string"}):t.test(l)?o.tokenize=(a=l,function(e,t){var n="operator";return"+"==a?n+=" positive":"-"==a?n+=" negative":"|"==a?e.eat(/\|/):"&"==a?e.eat(/\&/):"^"==a&&(n+=" boost"),t.tokenize=i,n}):e.test(l)&&(o.tokenize=r(l)),o.tokenize!=i?o.tokenize(n,o):null}return{startState:function(){return{tokenize:i}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)}}})),e.defineMIME("text/x-solr","solr")}(n(23326))},34785:(e,t,n)=>{!function(e){"use strict";var t={noEndTag:!0,soyState:"param-def"},n={alias:{noEndTag:!0},delpackage:{noEndTag:!0},namespace:{noEndTag:!0,soyState:"namespace-def"},"@attribute":t,"@attribute?":t,"@param":t,"@param?":t,"@inject":t,"@inject?":t,"@state":t,template:{soyState:"templ-def",variableScope:!0},extern:{soyState:"param-def"},export:{soyState:"export"},literal:{},msg:{},fallbackmsg:{noEndTag:!0,reduceIndent:!0},select:{},plural:{},let:{soyState:"var-def"},if:{},javaimpl:{},jsimpl:{},elseif:{noEndTag:!0,reduceIndent:!0},else:{noEndTag:!0,reduceIndent:!0},switch:{},case:{noEndTag:!0,reduceIndent:!0},default:{noEndTag:!0,reduceIndent:!0},foreach:{variableScope:!0,soyState:"for-loop"},ifempty:{noEndTag:!0,reduceIndent:!0},for:{variableScope:!0,soyState:"for-loop"},call:{soyState:"templ-ref"},param:{soyState:"param-ref"},print:{noEndTag:!0},deltemplate:{soyState:"templ-def",variableScope:!0},delcall:{soyState:"templ-ref"},log:{},element:{variableScope:!0},velog:{},const:{soyState:"const-def"}},r=Object.keys(n).filter((function(e){return!n[e].noEndTag||n[e].reduceIndent}));e.defineMode("soy",(function(t){var i=e.getMode(t,"text/plain"),o={html:e.getMode(t,{name:"text/html",multilineTagIndentFactor:2,multilineTagIndentPastTag:!1,allowMissingTagName:!0}),attributes:i,text:i,uri:i,trusted_resource_uri:i,css:e.getMode(t,"text/css"),js:e.getMode(t,{name:"text/javascript",statementIndent:2*t.indentUnit})};function a(e){return e[e.length-1]}function s(e,t,n){if(e.sol()){for(var r=0;r<t.indent&&e.eat(/\s/);r++);if(r)return null}var i=e.string,o=n.exec(i.substr(e.pos));o&&(e.string=i.substr(0,e.pos+o.index));var s=e.hideFirstChars(t.indent,(function(){var n=a(t.localStates);return n.mode.token(e,n.state)}));return e.string=i,s}function l(e,t){return{element:t,next:e}}function u(e){e.context&&(e.context.scope&&(e.variables=e.context.scope),e.context=e.context.previousContext)}function c(e,t,n){return function(e,t){for(;e;){if(e.element===t)return!0;e=e.next}return!1}(e,t)?"variable-2":n?"variable":"variable-2 error"}function d(e,t,n){this.previousContext=e,this.tag=t,this.kind=null,this.scope=n}function p(e,t){var n;return e.match(/[[]/)?(t.soyState.push("list-literal"),t.context=new d(t.context,"list-literal",t.variables),t.lookupVariables=!1,null):e.match(/\bmap(?=\()/)?(t.soyState.push("map-literal"),"keyword"):e.match(/\brecord(?=\()/)?(t.soyState.push("record-literal"),"keyword"):e.match(/([\w]+)(?=\()/)?"variable callee":(n=e.match(/^["']/))?(t.soyState.push("string"),t.quoteKind=n[0],"string"):e.match(/^[(]/)?(t.soyState.push("open-parentheses"),null):e.match(/(null|true|false)(?!\w)/)||e.match(/0x([0-9a-fA-F]{2,})/)||e.match(/-?([0-9]*[.])?[0-9]+(e[0-9]*)?/)?"atom":e.match(/(\||[+\-*\/%]|[=!]=|\?:|[<>]=?)/)?"operator":(n=e.match(/^\$([\w]+)/))?c(t.variables,n[1],!t.lookupVariables):(n=e.match(/^\w+/))?/^(?:as|and|or|not|in|if)$/.test(n[0])?"keyword":null:(e.next(),null)}return{startState:function(){return{soyState:[],variables:l(null,"ij"),scopes:null,indent:0,quoteKind:null,context:null,lookupVariables:!0,localStates:[{mode:o.html,state:e.startState(o.html)}]}},copyState:function(t){return{tag:t.tag,soyState:t.soyState.concat([]),variables:t.variables,context:t.context,indent:t.indent,quoteKind:t.quoteKind,lookupVariables:t.lookupVariables,localStates:t.localStates.map((function(t){return{mode:t.mode,state:e.copyState(t.mode,t.state)}}))}},token:function(i,f){switch(a(f.soyState)){case"comment":if(i.match(/^.*?\*\//)?f.soyState.pop():i.skipToEnd(),!f.context||!f.context.scope)for(var h=/@param\??\s+(\S+)/g,m=i.current();g=h.exec(m);)f.variables=l(f.variables,g[1]);return"comment";case"string":var g;return(g=i.match(/^.*?(["']|\\[\s\S])/))?g[1]==f.quoteKind&&(f.quoteKind=null,f.soyState.pop()):i.skipToEnd(),"string"}if(!f.soyState.length||"literal"!=a(f.soyState)){if(i.match(/^\/\*/))return f.soyState.push("comment"),"comment";if(i.match(i.sol()?/^\s*\/\/.*/:/^\s+\/\/.*/))return"comment"}switch(a(f.soyState)){case"templ-def":return(g=i.match(/^\.?([\w]+(?!\.[\w]+)*)/))?(f.soyState.pop(),"def"):(i.next(),null);case"templ-ref":return(g=i.match(/(\.?[a-zA-Z_][a-zA-Z_0-9]+)+/))?(f.soyState.pop(),"."==g[0][0]?"variable-2":"variable"):(g=i.match(/^\$([\w]+)/))?(f.soyState.pop(),c(f.variables,g[1],!f.lookupVariables)):(i.next(),null);case"namespace-def":return(g=i.match(/^\.?([\w\.]+)/))?(f.soyState.pop(),"variable"):(i.next(),null);case"param-def":return(g=i.match(/^\*/))?(f.soyState.pop(),f.soyState.push("param-type"),"type"):(g=i.match(/^\w+/))?(f.variables=l(f.variables,g[0]),f.soyState.pop(),f.soyState.push("param-type"),"def"):(i.next(),null);case"param-ref":return(g=i.match(/^\w+/))?(f.soyState.pop(),"property"):(i.next(),null);case"open-parentheses":return i.match(/[)]/)?(f.soyState.pop(),null):p(i,f);case"param-type":var v=i.peek();return-1!="}]=>,".indexOf(v)?(f.soyState.pop(),null):"["==v?(f.soyState.push("param-type-record"),null):"("==v?(f.soyState.push("param-type-template"),null):"<"==v?(f.soyState.push("param-type-parameter"),null):(g=i.match(/^([\w]+|[?])/))?"type":(i.next(),null);case"param-type-record":return"]"==(v=i.peek())?(f.soyState.pop(),null):i.match(/^\w+/)?(f.soyState.push("param-type"),"property"):(i.next(),null);case"param-type-parameter":return i.match(/^[>]/)?(f.soyState.pop(),null):i.match(/^[<,]/)?(f.soyState.push("param-type"),null):(i.next(),null);case"param-type-template":return i.match(/[>]/)?(f.soyState.pop(),f.soyState.push("param-type"),null):i.match(/^\w+/)?(f.soyState.push("param-type"),"def"):(i.next(),null);case"var-def":return(g=i.match(/^\$([\w]+)/))?(f.variables=l(f.variables,g[1]),f.soyState.pop(),"def"):(i.next(),null);case"for-loop":return i.match(/\bin\b/)?(f.soyState.pop(),"keyword"):"$"==i.peek()?(f.soyState.push("var-def"),null):(i.next(),null);case"record-literal":return i.match(/^[)]/)?(f.soyState.pop(),null):i.match(/[(,]/)?(f.soyState.push("map-value"),f.soyState.push("record-key"),null):(i.next(),null);case"map-literal":return i.match(/^[)]/)?(f.soyState.pop(),null):i.match(/[(,]/)?(f.soyState.push("map-value"),f.soyState.push("map-value"),null):(i.next(),null);case"list-literal":return i.match("]")?(f.soyState.pop(),f.lookupVariables=!0,u(f),null):i.match(/\bfor\b/)?(f.lookupVariables=!0,f.soyState.push("for-loop"),"keyword"):p(i,f);case"record-key":return i.match(/[\w]+/)?"property":i.match(/^[:]/)?(f.soyState.pop(),null):(i.next(),null);case"map-value":return")"==i.peek()||","==i.peek()||i.match(/^[:)]/)?(f.soyState.pop(),null):p(i,f);case"import":return i.eat(";")?(f.soyState.pop(),f.indent-=2*t.indentUnit,null):i.match(/\w+(?=\s+as\b)/)?"variable":(g=i.match(/\w+/))?/\b(from|as)\b/.test(g[0])?"keyword":"def":(g=i.match(/^["']/))?(f.soyState.push("string"),f.quoteKind=g[0],"string"):(i.next(),null);case"tag":void 0===f.tag?(k=!0,C=""):C=(k="/"==f.tag[0])?f.tag.substring(1):f.tag;var y=n[C];if(i.match(/^\/?}/)){var b="/}"==i.current();return b&&!k&&u(f),"/template"==f.tag||"/deltemplate"==f.tag?(f.variables=l(null,"ij"),f.indent=0):f.indent-=t.indentUnit*(b||-1==r.indexOf(f.tag)?2:1),f.soyState.pop(),"keyword"}if(i.match(/^([\w?]+)(?==)/)){if(f.context&&f.context.tag==C&&"kind"==i.current()&&(g=i.match(/^="([^"]+)/,!1))){var w=g[1];f.context.kind=w;var _=o[w]||o.html;(T=a(f.localStates)).mode.indent&&(f.indent+=T.mode.indent(T.state,"","")),f.localStates.push({mode:_,state:e.startState(_)})}return"attribute"}return p(i,f);case"template-call-expression":return i.match(/^([\w-?]+)(?==)/)?"attribute":i.eat(">")||i.eat("/>")?(f.soyState.pop(),"keyword"):p(i,f);case"literal":return i.match("{/literal}",!1)?(f.soyState.pop(),this.token(i,f)):s(i,f,/\{\/literal}/);case"export":if(g=i.match(/\w+/)){if(f.soyState.pop(),"const"==g)return f.soyState.push("const-def"),"keyword";if("extern"==g)return f.soyState.push("param-def"),"keyword"}else i.next();return null;case"const-def":return i.match(/^\w+/)?(f.soyState.pop(),"def"):(i.next(),null)}if(i.match("{literal}"))return f.indent+=t.indentUnit,f.soyState.push("literal"),f.context=new d(f.context,"literal",f.variables),"keyword";if(g=i.match(/^\{([/@\\]?\w+\??)(?=$|[\s}]|\/[/*])/)){var x=f.tag;f.tag=g[1];var k="/"==f.tag[0],E=!!n[f.tag],C=k?f.tag.substring(1):f.tag;y=n[C],"/switch"!=f.tag&&(f.indent+=((k||y&&y.reduceIndent)&&"switch"!=x?1:2)*t.indentUnit),f.soyState.push("tag");var S=!1;if(y)if(k||y.soyState&&f.soyState.push(y.soyState),y.noEndTag||!E&&k){if(k){var A="extern"==C&&f.context&&"export"==f.context.tag;if(!f.context||f.context.tag!=C&&!A)S=!0;else if(f.context){var T;f.context.kind&&(f.localStates.pop(),(T=a(f.localStates)).mode.indent&&(f.indent-=T.mode.indent(T.state,"",""))),u(f)}}}else f.context=new d(f.context,f.tag,y.variableScope?f.variables:null);else k&&(S=!0);return(S?"error ":"")+"keyword"}return i.eat("{")?(f.tag="print",f.indent+=2*t.indentUnit,f.soyState.push("tag"),"keyword"):!f.context&&i.sol()&&i.match(/import\b/)?(f.soyState.push("import"),f.indent+=2*t.indentUnit,"keyword"):(g=i.match("<{"))?(f.soyState.push("template-call-expression"),f.indent+=2*t.indentUnit,f.soyState.push("tag"),"keyword"):(g=i.match("</>"))?(f.indent-=1*t.indentUnit,"keyword"):s(i,f,/\{|\s+\/\/|\/\*/)},indent:function(n,r,i){var o=n.indent,s=a(n.soyState);if("comment"==s)return e.Pass;if("literal"==s)/^\{\/literal}/.test(r)&&(o-=t.indentUnit);else{if(/^\s*\{\/(template|deltemplate)\b/.test(r))return 0;/^\{(\/|(fallbackmsg|elseif|else|ifempty)\b)/.test(r)&&(o-=t.indentUnit),"switch"!=n.tag&&/^\{(case|default)\b/.test(r)&&(o-=t.indentUnit),/^\{\/switch\b/.test(r)&&(o-=t.indentUnit)}var l=a(n.localStates);return o&&l.mode.indent&&(o+=l.mode.indent(l.state,r,i)),o},innerMode:function(e){return e.soyState.length&&"literal"!=a(e.soyState)?null:a(e.localStates)},electricInput:/^\s*\{(\/|\/template|\/deltemplate|\/switch|fallbackmsg|elseif|else|case|default|ifempty|\/literal\})$/,lineComment:"//",blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",useInnerComments:!1,fold:"indent"}}),"htmlmixed"),e.registerHelper("wordChars","soy",/[\w$]/),e.registerHelper("hintWords","soy",Object.keys(n).concat(["css","debugger"])),e.defineMIME("text/x-soy","soy")}(n(23326),n(38425))},16683:(e,t,n)=>{!function(e){"use strict";e.defineMode("sparql",(function(e){var t,n=e.indentUnit;function r(e){return new RegExp("^(?:"+e.join("|")+")$","i")}var i=r(["str","lang","langmatches","datatype","bound","sameterm","isiri","isuri","iri","uri","bnode","count","sum","min","max","avg","sample","group_concat","rand","abs","ceil","floor","round","concat","substr","strlen","replace","ucase","lcase","encode_for_uri","contains","strstarts","strends","strbefore","strafter","year","month","day","hours","minutes","seconds","timezone","tz","now","uuid","struuid","md5","sha1","sha256","sha384","sha512","coalesce","if","strlang","strdt","isnumeric","regex","exists","isblank","isliteral","a","bind"]),o=r(["base","prefix","select","distinct","reduced","construct","describe","ask","from","named","where","order","limit","offset","filter","optional","graph","by","asc","desc","as","having","undef","values","group","minus","in","not","service","silent","using","insert","delete","union","true","false","with","data","copy","to","move","add","create","drop","clear","load","into"]),a=/[*+\-<>=&|\^\/!\?]/,s="[A-Za-z_\\-0-9]",l=new RegExp("[A-Za-z]"),u=new RegExp("(("+s+"|\\.)*("+s+"))?:");function c(e,n){var r,s=e.next();if(t=null,"$"==s||"?"==s)return"?"==s&&e.match(/\s/,!1)?"operator":(e.match(/^[A-Za-z0-9_\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD][A-Za-z0-9_\u00B7\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u037D\u037F-\u1FFF\u200C-\u200D\u203F-\u2040\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]*/),"variable-2");if("<"==s&&!e.match(/^[\s\u00a0=]/,!1))return e.match(/^[^\s\u00a0>]*>?/),"atom";if('"'==s||"'"==s)return n.tokenize=(r=s,function(e,t){for(var n,i=!1;null!=(n=e.next());){if(n==r&&!i){t.tokenize=c;break}i=!i&&"\\"==n}return"string"}),n.tokenize(e,n);if(/[{}\(\),\.;\[\]]/.test(s))return t=s,"bracket";if("#"==s)return e.skipToEnd(),"comment";if(a.test(s))return"operator";if(":"==s)return d(e),"atom";if("@"==s)return e.eatWhile(/[a-z\d\-]/i),"meta";if(l.test(s)&&e.match(u))return d(e),"atom";e.eatWhile(/[_\w\d]/);var p=e.current();return i.test(p)?"builtin":o.test(p)?"keyword":"variable"}function d(e){e.match(/(\.(?=[\w_\-\\%])|[:\w_-]|\\[-\\_~.!$&'()*+,;=/?#@%]|%[a-f\d][a-f\d])+/i)}function p(e,t,n){e.context={prev:e.context,indent:e.indent,col:n,type:t}}function f(e){e.indent=e.context.indent,e.context=e.context.prev}return{startState:function(){return{tokenize:c,context:null,indent:0,col:0}},token:function(e,n){if(e.sol()&&(n.context&&null==n.context.align&&(n.context.align=!1),n.indent=e.indentation()),e.eatSpace())return null;var r=n.tokenize(e,n);if("comment"!=r&&n.context&&null==n.context.align&&"pattern"!=n.context.type&&(n.context.align=!0),"("==t)p(n,")",e.column());else if("["==t)p(n,"]",e.column());else if("{"==t)p(n,"}",e.column());else if(/[\]\}\)]/.test(t)){for(;n.context&&"pattern"==n.context.type;)f(n);n.context&&t==n.context.type&&(f(n),"}"==t&&n.context&&"pattern"==n.context.type&&f(n))}else"."==t&&n.context&&"pattern"==n.context.type?f(n):/atom|string|variable/.test(r)&&n.context&&(/[\}\]]/.test(n.context.type)?p(n,"pattern",e.column()):"pattern"!=n.context.type||n.context.align||(n.context.align=!0,n.context.col=e.column()));return r},indent:function(e,t){var r=t&&t.charAt(0),i=e.context;if(/[\]\}]/.test(r))for(;i&&"pattern"==i.type;)i=i.prev;var o=i&&r==i.type;return i?"pattern"==i.type?i.col:i.align?i.col+(o?0:1):i.indent+(o?0:n):0},lineComment:"#"}})),e.defineMIME("application/sparql-query","sparql")}(n(23326))},77977:(e,t,n)=>{!function(e){"use strict";e.defineMode("spreadsheet",(function(){return{startState:function(){return{stringType:null,stack:[]}},token:function(e,t){if(e){switch(0===t.stack.length&&('"'!=e.peek()&&"'"!=e.peek()||(t.stringType=e.peek(),e.next(),t.stack.unshift("string"))),t.stack[0]){case"string":for(;"string"===t.stack[0]&&!e.eol();)e.peek()===t.stringType?(e.next(),t.stack.shift()):"\\"===e.peek()?(e.next(),e.next()):e.match(/^.[^\\\"\']*/);return"string";case"characterClass":for(;"characterClass"===t.stack[0]&&!e.eol();)e.match(/^[^\]\\]+/)||e.match(/^\\./)||t.stack.shift();return"operator"}var n=e.peek();switch(n){case"[":return e.next(),t.stack.unshift("characterClass"),"bracket";case":":return e.next(),"operator";case"\\":return e.match(/\\[a-z]+/)?"string-2":(e.next(),"atom");case".":case",":case";":case"*":case"-":case"+":case"^":case"<":case"/":case"=":return e.next(),"atom";case"$":return e.next(),"builtin"}return e.match(/\d+/)?e.match(/^\w+/)?"error":"number":e.match(/^[a-zA-Z_]\w*/)?e.match(/(?=[\(.])/,!1)?"keyword":"variable-2":-1!=["[","]","(",")","{","}"].indexOf(n)?(e.next(),"bracket"):(e.eatSpace()||e.next(),null)}}}})),e.defineMIME("text/x-spreadsheet","spreadsheet")}(n(23326))},14149:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t;null!=(t=e.next());)if("`"==t&&!e.eat("`"))return"variable-2";return e.backUp(e.current().length-1),e.eatWhile(/\w/)?"variable-2":null}function n(e){return e.eat("@")&&(e.match("session."),e.match("local."),e.match("global.")),e.eat("'")?(e.match(/^.*'/),"variable-2"):e.eat('"')?(e.match(/^.*"/),"variable-2"):e.eat("`")?(e.match(/^.*`/),"variable-2"):e.match(/^[0-9a-zA-Z$\.\_]+/)?"variable-2":null}function r(e){return e.eat("N")?"atom":e.match(/^[a-zA-Z.#!?]/)?"variable-2":null}e.defineMode("sql",(function(t,n){var r=n.client||{},s=n.atoms||{false:!0,true:!0,null:!0},l=n.builtin||o(a),u=n.keywords||o(i),c=n.operatorChars||/^[*+\-%<>!=&|~^\/]/,d=n.support||{},p=n.hooks||{},f=n.dateSQL||{date:!0,time:!0,timestamp:!0},h=!1!==n.backslashStringEscapes,m=n.brackets||/^[\{}\(\)\[\]]/,g=n.punctuation||/^[;.,:]/;function v(e,t){var n=e.next();if(p[n]){var i=p[n](e,t);if(!1!==i)return i}if(d.hexNumber&&("0"==n&&e.match(/^[xX][0-9a-fA-F]+/)||("x"==n||"X"==n)&&e.match(/^'[0-9a-fA-F]*'/)))return"number";if(d.binaryNumber&&(("b"==n||"B"==n)&&e.match(/^'[01]*'/)||"0"==n&&e.match(/^b[01]+/)))return"number";if(n.charCodeAt(0)>47&&n.charCodeAt(0)<58)return e.match(/^[0-9]*(\.[0-9]+)?([eE][-+]?[0-9]+)?/),d.decimallessFloat&&e.match(/^\.(?!\.)/),"number";if("?"==n&&(e.eatSpace()||e.eol()||e.eat(";")))return"variable-3";if("'"==n||'"'==n&&d.doubleQuote)return t.tokenize=y(n),t.tokenize(e,t);if((d.nCharCast&&("n"==n||"N"==n)||d.charsetCast&&"_"==n&&e.match(/[a-z][a-z0-9]*/i))&&("'"==e.peek()||'"'==e.peek()))return"keyword";if(d.escapeConstant&&("e"==n||"E"==n)&&("'"==e.peek()||'"'==e.peek()&&d.doubleQuote))return t.tokenize=function(e,t){return(t.tokenize=y(e.next(),!0))(e,t)},"keyword";if(d.commentSlashSlash&&"/"==n&&e.eat("/"))return e.skipToEnd(),"comment";if(d.commentHash&&"#"==n||"-"==n&&e.eat("-")&&(!d.commentSpaceRequired||e.eat(" ")))return e.skipToEnd(),"comment";if("/"==n&&e.eat("*"))return t.tokenize=b(1),t.tokenize(e,t);if("."!=n){if(c.test(n))return e.eatWhile(c),"operator";if(m.test(n))return"bracket";if(g.test(n))return e.eatWhile(g),"punctuation";if("{"==n&&(e.match(/^( )*(d|D|t|T|ts|TS)( )*'[^']*'( )*}/)||e.match(/^( )*(d|D|t|T|ts|TS)( )*"[^"]*"( )*}/)))return"number";e.eatWhile(/^[_\w\d]/);var o=e.current().toLowerCase();return f.hasOwnProperty(o)&&(e.match(/^( )+'[^']*'/)||e.match(/^( )+"[^"]*"/))?"number":s.hasOwnProperty(o)?"atom":l.hasOwnProperty(o)?"type":u.hasOwnProperty(o)?"keyword":r.hasOwnProperty(o)?"builtin":null}return d.zerolessFloat&&e.match(/^(?:\d+(?:e[+-]?\d+)?)/i)?"number":e.match(/^\.+/)?null:e.match(/^[\w\d_$#]+/)?"variable-2":void 0}function y(e,t){return function(n,r){for(var i,o=!1;null!=(i=n.next());){if(i==e&&!o){r.tokenize=v;break}o=(h||t)&&!o&&"\\"==i}return"string"}}function b(e){return function(t,n){var r=t.match(/^.*?(\/\*|\*\/)/);return r?"/*"==r[1]?n.tokenize=b(e+1):n.tokenize=e>1?b(e-1):v:t.skipToEnd(),"comment"}}function w(e,t,n){t.context={prev:t.context,indent:e.indentation(),col:e.column(),type:n}}return{startState:function(){return{tokenize:v,context:null}},token:function(e,t){if(e.sol()&&t.context&&null==t.context.align&&(t.context.align=!1),t.tokenize==v&&e.eatSpace())return null;var n=t.tokenize(e,t);if("comment"==n)return n;t.context&&null==t.context.align&&(t.context.align=!0);var r=e.current();return"("==r?w(e,t,")"):"["==r?w(e,t,"]"):t.context&&t.context.type==r&&function(e){e.indent=e.context.indent,e.context=e.context.prev}(t),n},indent:function(n,r){var i=n.context;if(!i)return e.Pass;var o=r.charAt(0)==i.type;return i.align?i.col+(o?0:1):i.indent+(o?0:t.indentUnit)},blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:d.commentSlashSlash?"//":d.commentHash?"#":"--",closeBrackets:"()[]{}''\"\"``",config:n}}));var i="alter and as asc between by count create delete desc distinct drop from group having in insert into is join like not on or order select set table union update values where limit ";function o(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var a="bool boolean bit blob enum long longblob longtext medium mediumblob mediumint mediumtext time timestamp tinyblob tinyint tinytext text bigint int int1 int2 int3 int4 int8 integer float float4 float8 double char varbinary varchar varcharacter precision real date datetime year unsigned signed decimal numeric";e.defineMIME("text/x-sql",{name:"sql",keywords:o(i+"begin"),builtin:o(a),atoms:o("false true null unknown"),dateSQL:o("date time timestamp"),support:o("doubleQuote binaryNumber hexNumber")}),e.defineMIME("text/x-mssql",{name:"sql",client:o("$partition binary_checksum checksum connectionproperty context_info current_request_id error_line error_message error_number error_procedure error_severity error_state formatmessage get_filestream_transaction_context getansinull host_id host_name isnull isnumeric min_active_rowversion newid newsequentialid rowcount_big xact_state object_id"),keywords:o(i+"begin trigger proc view index for add constraint key primary foreign collate clustered nonclustered declare exec go if use index holdlock nolock nowait paglock readcommitted readcommittedlock readpast readuncommitted repeatableread rowlock serializable snapshot tablock tablockx updlock with"),builtin:o("bigint numeric bit smallint decimal smallmoney int tinyint money float real char varchar text nchar nvarchar ntext binary varbinary image cursor timestamp hierarchyid uniqueidentifier sql_variant xml table "),atoms:o("is not null like and or in left right between inner outer join all any some cross unpivot pivot exists"),operatorChars:/^[*+\-%<>!=^\&|\/]/,brackets:/^[\{}\(\)]/,punctuation:/^[;.,:/]/,backslashStringEscapes:!1,dateSQL:o("date datetimeoffset datetime2 smalldatetime datetime time"),hooks:{"@":n}}),e.defineMIME("text/x-mysql",{name:"sql",client:o("charset clear connect edit ego exit go help nopager notee nowarning pager print prompt quit rehash source status system tee"),keywords:o(i+"accessible action add after algorithm all analyze asensitive at authors auto_increment autocommit avg avg_row_length before binary binlog both btree cache call cascade cascaded case catalog_name chain change changed character check checkpoint checksum class_origin client_statistics close coalesce code collate collation collations column columns comment commit committed completion concurrent condition connection consistent constraint contains continue contributors convert cross current current_date current_time current_timestamp current_user cursor data database databases day_hour day_microsecond day_minute day_second deallocate dec declare default delay_key_write delayed delimiter des_key_file describe deterministic dev_pop dev_samp deviance diagnostics directory disable discard distinctrow div dual dumpfile each elseif enable enclosed end ends engine engines enum errors escape escaped even event events every execute exists exit explain extended fast fetch field fields first flush for force foreign found_rows full fulltext function general get global grant grants group group_concat handler hash help high_priority hosts hour_microsecond hour_minute hour_second if ignore ignore_server_ids import index index_statistics infile inner innodb inout insensitive insert_method install interval invoker isolation iterate key keys kill language last leading leave left level limit linear lines list load local localtime localtimestamp lock logs low_priority master master_heartbeat_period master_ssl_verify_server_cert masters match max max_rows maxvalue message_text middleint migrate min min_rows minute_microsecond minute_second mod mode modifies modify mutex mysql_errno natural next no no_write_to_binlog offline offset one online open optimize option optionally out outer outfile pack_keys parser partition partitions password phase plugin plugins prepare preserve prev primary privileges procedure processlist profile profiles purge query quick range read read_write reads real rebuild recover references regexp relaylog release remove rename reorganize repair repeatable replace require resignal restrict resume return returns revoke right rlike rollback rollup row row_format rtree savepoint schedule schema schema_name schemas second_microsecond security sensitive separator serializable server session share show signal slave slow smallint snapshot soname spatial specific sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_no_cache sql_small_result sqlexception sqlstate sqlwarning ssl start starting starts status std stddev stddev_pop stddev_samp storage straight_join subclass_origin sum suspend table_name table_statistics tables tablespace temporary terminated to trailing transaction trigger triggers truncate uncommitted undo uninstall unique unlock upgrade usage use use_frm user user_resources user_statistics using utc_date utc_time utc_timestamp value variables varying view views warnings when while with work write xa xor year_month zerofill begin do then else loop repeat"),builtin:o("bool boolean bit blob decimal double float long longblob longtext medium mediumblob mediumint mediumtext time timestamp tinyblob tinyint tinytext text bigint int int1 int2 int3 int4 int8 integer float float4 float8 double char varbinary varchar varcharacter precision date datetime year unsigned signed numeric"),atoms:o("false true null unknown"),operatorChars:/^[*+\-%<>!=&|^]/,dateSQL:o("date time timestamp"),support:o("decimallessFloat zerolessFloat binaryNumber hexNumber doubleQuote nCharCast charsetCast commentHash commentSpaceRequired"),hooks:{"@":n,"`":t,"\\":r}}),e.defineMIME("text/x-mariadb",{name:"sql",client:o("charset clear connect edit ego exit go help nopager notee nowarning pager print prompt quit rehash source status system tee"),keywords:o(i+"accessible action add after algorithm all always analyze asensitive at authors auto_increment autocommit avg avg_row_length before binary binlog both btree cache call cascade cascaded case catalog_name chain change changed character check checkpoint checksum class_origin client_statistics close coalesce code collate collation collations column columns comment commit committed completion concurrent condition connection consistent constraint contains continue contributors convert cross current current_date current_time current_timestamp current_user cursor data database databases day_hour day_microsecond day_minute day_second deallocate dec declare default delay_key_write delayed delimiter des_key_file describe deterministic dev_pop dev_samp deviance diagnostics directory disable discard distinctrow div dual dumpfile each elseif enable enclosed end ends engine engines enum errors escape escaped even event events every execute exists exit explain extended fast fetch field fields first flush for force foreign found_rows full fulltext function general generated get global grant grants group group_concat handler hard hash help high_priority hosts hour_microsecond hour_minute hour_second if ignore ignore_server_ids import index index_statistics infile inner innodb inout insensitive insert_method install interval invoker isolation iterate key keys kill language last leading leave left level limit linear lines list load local localtime localtimestamp lock logs low_priority master master_heartbeat_period master_ssl_verify_server_cert masters match max max_rows maxvalue message_text middleint migrate min min_rows minute_microsecond minute_second mod mode modifies modify mutex mysql_errno natural next no no_write_to_binlog offline offset one online open optimize option optionally out outer outfile pack_keys parser partition partitions password persistent phase plugin plugins prepare preserve prev primary privileges procedure processlist profile profiles purge query quick range read read_write reads real rebuild recover references regexp relaylog release remove rename reorganize repair repeatable replace require resignal restrict resume return returns revoke right rlike rollback rollup row row_format rtree savepoint schedule schema schema_name schemas second_microsecond security sensitive separator serializable server session share show shutdown signal slave slow smallint snapshot soft soname spatial specific sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_no_cache sql_small_result sqlexception sqlstate sqlwarning ssl start starting starts status std stddev stddev_pop stddev_samp storage straight_join subclass_origin sum suspend table_name table_statistics tables tablespace temporary terminated to trailing transaction trigger triggers truncate uncommitted undo uninstall unique unlock upgrade usage use use_frm user user_resources user_statistics using utc_date utc_time utc_timestamp value variables varying view views virtual warnings when while with work write xa xor year_month zerofill begin do then else loop repeat"),builtin:o("bool boolean bit blob decimal double float long longblob longtext medium mediumblob mediumint mediumtext time timestamp tinyblob tinyint tinytext text bigint int int1 int2 int3 int4 int8 integer float float4 float8 double char varbinary varchar varcharacter precision date datetime year unsigned signed numeric"),atoms:o("false true null unknown"),operatorChars:/^[*+\-%<>!=&|^]/,dateSQL:o("date time timestamp"),support:o("decimallessFloat zerolessFloat binaryNumber hexNumber doubleQuote nCharCast charsetCast commentHash commentSpaceRequired"),hooks:{"@":n,"`":t,"\\":r}}),e.defineMIME("text/x-sqlite",{name:"sql",client:o("auth backup bail binary changes check clone databases dbinfo dump echo eqp exit explain fullschema headers help import imposter indexes iotrace limit lint load log mode nullvalue once open output print prompt quit read restore save scanstats schema separator session shell show stats system tables testcase timeout timer trace vfsinfo vfslist vfsname width"),keywords:o(i+"abort action add after all analyze attach autoincrement before begin cascade case cast check collate column commit conflict constraint cross current_date current_time current_timestamp database default deferrable deferred detach each else end escape except exclusive exists explain fail for foreign full glob if ignore immediate index indexed initially inner instead intersect isnull key left limit match natural no notnull null of offset outer plan pragma primary query raise recursive references regexp reindex release rename replace restrict right rollback row savepoint temp temporary then to transaction trigger unique using vacuum view virtual when with without"),builtin:o("bool boolean bit blob decimal double float long longblob longtext medium mediumblob mediumint mediumtext time timestamp tinyblob tinyint tinytext text clob bigint int int2 int8 integer float double char varchar date datetime year unsigned signed numeric real"),atoms:o("null current_date current_time current_timestamp"),operatorChars:/^[*+\-%<>!=&|/~]/,dateSQL:o("date time timestamp datetime"),support:o("decimallessFloat zerolessFloat"),identifierQuote:'"',hooks:{"@":n,":":n,"?":n,$:n,'"':function(e){for(var t;null!=(t=e.next());)if('"'==t&&!e.eat('"'))return"variable-2";return e.backUp(e.current().length-1),e.eatWhile(/\w/)?"variable-2":null},"`":t}}),e.defineMIME("text/x-cassandra",{name:"sql",client:{},keywords:o("add all allow alter and any apply as asc authorize batch begin by clustering columnfamily compact consistency count create custom delete desc distinct drop each_quorum exists filtering from grant if in index insert into key keyspace keyspaces level limit local_one local_quorum modify nan norecursive nosuperuser not of on one order password permission permissions primary quorum rename revoke schema select set storage superuser table three to token truncate ttl two type unlogged update use user users using values where with writetime"),builtin:o("ascii bigint blob boolean counter decimal double float frozen inet int list map static text timestamp timeuuid tuple uuid varchar varint"),atoms:o("false true infinity NaN"),operatorChars:/^[<>=]/,dateSQL:{},support:o("commentSlashSlash decimallessFloat"),hooks:{}}),e.defineMIME("text/x-plsql",{name:"sql",client:o("appinfo arraysize autocommit autoprint autorecovery autotrace blockterminator break btitle cmdsep colsep compatibility compute concat copycommit copytypecheck define describe echo editfile embedded escape exec execute feedback flagger flush heading headsep instance linesize lno loboffset logsource long longchunksize markup native newpage numformat numwidth pagesize pause pno recsep recsepchar release repfooter repheader serveroutput shiftinout show showmode size spool sqlblanklines sqlcase sqlcode sqlcontinue sqlnumber sqlpluscompatibility sqlprefix sqlprompt sqlterminator suffix tab term termout time timing trimout trimspool ttitle underline verify version wrap"),keywords:o("abort accept access add all alter and any array arraylen as asc assert assign at attributes audit authorization avg base_table begin between binary_integer body boolean by case cast char char_base check close cluster clusters colauth column comment commit compress connect connected constant constraint crash create current currval cursor data_base database date dba deallocate debugoff debugon decimal declare default definition delay delete desc digits dispose distinct do drop else elseif elsif enable end entry escape exception exception_init exchange exclusive exists exit external fast fetch file for force form from function generic goto grant group having identified if immediate in increment index indexes indicator initial initrans insert interface intersect into is key level library like limited local lock log logging long loop master maxextents maxtrans member minextents minus mislabel mode modify multiset new next no noaudit nocompress nologging noparallel not nowait number_base object of off offline on online only open option or order out package parallel partition pctfree pctincrease pctused pls_integer positive positiven pragma primary prior private privileges procedure public raise range raw read rebuild record ref references refresh release rename replace resource restrict return returning returns reverse revoke rollback row rowid rowlabel rownum rows run savepoint schema segment select separate session set share snapshot some space split sql start statement storage subtype successful synonym tabauth table tables tablespace task terminate then to trigger truncate type union unique unlimited unrecoverable unusable update use using validate value values variable view views when whenever where while with work"),builtin:o("abs acos add_months ascii asin atan atan2 average bfile bfilename bigserial bit blob ceil character chartorowid chr clob concat convert cos cosh count dec decode deref dual dump dup_val_on_index empty error exp false float floor found glb greatest hextoraw initcap instr instrb int integer isopen last_day least length lengthb ln lower lpad ltrim lub make_ref max min mlslabel mod months_between natural naturaln nchar nclob new_time next_day nextval nls_charset_decl_len nls_charset_id nls_charset_name nls_initcap nls_lower nls_sort nls_upper nlssort no_data_found notfound null number numeric nvarchar2 nvl others power rawtohex real reftohex round rowcount rowidtochar rowtype rpad rtrim serial sign signtype sin sinh smallint soundex sqlcode sqlerrm sqrt stddev string substr substrb sum sysdate tan tanh to_char text to_date to_label to_multi_byte to_number to_single_byte translate true trunc uid unlogged upper user userenv varchar varchar2 variance varying vsize xml"),operatorChars:/^[*\/+\-%<>!=~]/,dateSQL:o("date time timestamp"),support:o("doubleQuote nCharCast zerolessFloat binaryNumber hexNumber")}),e.defineMIME("text/x-hive",{name:"sql",keywords:o("select alter $elem$ $key$ $value$ add after all analyze and archive as asc before between binary both bucket buckets by cascade case cast change cluster clustered clusterstatus collection column columns comment compute concatenate continue create cross cursor data database databases dbproperties deferred delete delimited desc describe directory disable distinct distribute drop else enable end escaped exclusive exists explain export extended external fetch fields fileformat first format formatted from full function functions grant group having hold_ddltime idxproperties if import in index indexes inpath inputdriver inputformat insert intersect into is items join keys lateral left like limit lines load local location lock locks mapjoin materialized minus msck no_drop nocompress not of offline on option or order out outer outputdriver outputformat overwrite partition partitioned partitions percent plus preserve procedure purge range rcfile read readonly reads rebuild recordreader recordwriter recover reduce regexp rename repair replace restrict revoke right rlike row schema schemas semi sequencefile serde serdeproperties set shared show show_database sort sorted ssl statistics stored streamtable table tables tablesample tblproperties temporary terminated textfile then tmp to touch transform trigger unarchive undo union uniquejoin unlock update use using utc utc_tmestamp view when where while with admin authorization char compact compactions conf cube current current_date current_timestamp day decimal defined dependency directories elem_type exchange file following for grouping hour ignore inner interval jar less logical macro minute month more none noscan over owner partialscan preceding pretty principals protection reload rewrite role roles rollup rows second server sets skewed transactions truncate unbounded unset uri user values window year"),builtin:o("bool boolean long timestamp tinyint smallint bigint int float double date datetime unsigned string array struct map uniontype key_type utctimestamp value_type varchar"),atoms:o("false true null unknown"),operatorChars:/^[*+\-%<>!=]/,dateSQL:o("date timestamp"),support:o("doubleQuote binaryNumber hexNumber")}),e.defineMIME("text/x-pgsql",{name:"sql",client:o("source"),keywords:o(i+"a abort abs absent absolute access according action ada add admin after aggregate alias all allocate also alter always analyse analyze and any are array array_agg array_max_cardinality as asc asensitive assert assertion assignment asymmetric at atomic attach attribute attributes authorization avg backward base64 before begin begin_frame begin_partition bernoulli between bigint binary bit bit_length blob blocked bom boolean both breadth by c cache call called cardinality cascade cascaded case cast catalog catalog_name ceil ceiling chain char char_length character character_length character_set_catalog character_set_name character_set_schema characteristics characters check checkpoint class class_origin clob close cluster coalesce cobol collate collation collation_catalog collation_name collation_schema collect column column_name columns command_function command_function_code comment comments commit committed concurrently condition condition_number configuration conflict connect connection connection_name constant constraint constraint_catalog constraint_name constraint_schema constraints constructor contains content continue control conversion convert copy corr corresponding cost count covar_pop covar_samp create cross csv cube cume_dist current current_catalog current_date current_default_transform_group current_path current_role current_row current_schema current_time current_timestamp current_transform_group_for_type current_user cursor cursor_name cycle data database datalink datatype date datetime_interval_code datetime_interval_precision day db deallocate debug dec decimal declare default defaults deferrable deferred defined definer degree delete delimiter delimiters dense_rank depends depth deref derived desc describe descriptor detach detail deterministic diagnostics dictionary disable discard disconnect dispatch distinct dlnewcopy dlpreviouscopy dlurlcomplete dlurlcompleteonly dlurlcompletewrite dlurlpath dlurlpathonly dlurlpathwrite dlurlscheme dlurlserver dlvalue do document domain double drop dump dynamic dynamic_function dynamic_function_code each element else elseif elsif empty enable encoding encrypted end end_frame end_partition endexec enforced enum equals errcode error escape event every except exception exclude excluding exclusive exec execute exists exit exp explain expression extension external extract false family fetch file filter final first first_value flag float floor following for force foreach foreign fortran forward found frame_row free freeze from fs full function functions fusion g general generated get global go goto grant granted greatest group grouping groups handler having header hex hierarchy hint hold hour id identity if ignore ilike immediate immediately immutable implementation implicit import in include including increment indent index indexes indicator info inherit inherits initially inline inner inout input insensitive insert instance instantiable instead int integer integrity intersect intersection interval into invoker is isnull isolation join k key key_member key_type label lag language large last last_value lateral lead leading leakproof least left length level library like like_regex limit link listen ln load local localtime localtimestamp location locator lock locked log logged loop lower m map mapping match matched materialized max max_cardinality maxvalue member merge message message_length message_octet_length message_text method min minute minvalue mod mode modifies module month more move multiset mumps name names namespace national natural nchar nclob nesting new next nfc nfd nfkc nfkd nil no none normalize normalized not nothing notice notify notnull nowait nth_value ntile null nullable nullif nulls number numeric object occurrences_regex octet_length octets of off offset oids old on only open operator option options or order ordering ordinality others out outer output over overlaps overlay overriding owned owner p pad parallel parameter parameter_mode parameter_name parameter_ordinal_position parameter_specific_catalog parameter_specific_name parameter_specific_schema parser partial partition pascal passing passthrough password path percent percent_rank percentile_cont percentile_disc perform period permission pg_context pg_datatype_name pg_exception_context pg_exception_detail pg_exception_hint placing plans pli policy portion position position_regex power precedes preceding precision prepare prepared preserve primary print_strict_params prior privileges procedural procedure procedures program public publication query quote raise range rank read reads real reassign recheck recovery recursive ref references referencing refresh regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy regr_syy reindex relative release rename repeatable replace replica requiring reset respect restart restore restrict result result_oid return returned_cardinality returned_length returned_octet_length returned_sqlstate returning returns reverse revoke right role rollback rollup routine routine_catalog routine_name routine_schema routines row row_count row_number rows rowtype rule savepoint scale schema schema_name schemas scope scope_catalog scope_name scope_schema scroll search second section security select selective self sensitive sequence sequences serializable server server_name session session_user set setof sets share show similar simple size skip slice smallint snapshot some source space specific specific_name specifictype sql sqlcode sqlerror sqlexception sqlstate sqlwarning sqrt stable stacked standalone start state statement static statistics stddev_pop stddev_samp stdin stdout storage strict strip structure style subclass_origin submultiset subscription substring substring_regex succeeds sum symmetric sysid system system_time system_user t table table_name tables tablesample tablespace temp template temporary text then ties time timestamp timezone_hour timezone_minute to token top_level_count trailing transaction transaction_active transactions_committed transactions_rolled_back transform transforms translate translate_regex translation treat trigger trigger_catalog trigger_name trigger_schema trim trim_array true truncate trusted type types uescape unbounded uncommitted under unencrypted union unique unknown unlink unlisten unlogged unnamed unnest until untyped update upper uri usage use_column use_variable user user_defined_type_catalog user_defined_type_code user_defined_type_name user_defined_type_schema using vacuum valid validate validator value value_of values var_pop var_samp varbinary varchar variable_conflict variadic varying verbose version versioning view views volatile warning when whenever where while whitespace width_bucket window with within without work wrapper write xml xmlagg xmlattributes xmlbinary xmlcast xmlcomment xmlconcat xmldeclaration xmldocument xmlelement xmlexists xmlforest xmliterate xmlnamespaces xmlparse xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltext xmlvalidate year yes zone"),builtin:o("bigint int8 bigserial serial8 bit varying varbit boolean bool box bytea character char varchar cidr circle date double precision float8 inet integer int int4 interval json jsonb line lseg macaddr macaddr8 money numeric decimal path pg_lsn point polygon real float4 smallint int2 smallserial serial2 serial serial4 text time zone timetz timestamp timestamptz tsquery tsvector txid_snapshot uuid xml"),atoms:o("false true null unknown"),operatorChars:/^[*\/+\-%<>!=&|^\/#@?~]/,backslashStringEscapes:!1,dateSQL:o("date time timestamp"),support:o("decimallessFloat zerolessFloat binaryNumber hexNumber nCharCast charsetCast escapeConstant")}),e.defineMIME("text/x-gql",{name:"sql",keywords:o("ancestor and asc by contains desc descendant distinct from group has in is limit offset on order select superset where"),atoms:o("false true"),builtin:o("blob datetime first key __key__ string integer double boolean null"),operatorChars:/^[*+\-%<>!=]/}),e.defineMIME("text/x-gpsql",{name:"sql",client:o("source"),keywords:o("abort absolute access action active add admin after aggregate all also alter always analyse analyze and any array as asc assertion assignment asymmetric at authorization backward before begin between bigint binary bit boolean both by cache called cascade cascaded case cast chain char character characteristics check checkpoint class close cluster coalesce codegen collate column comment commit committed concurrency concurrently configuration connection constraint constraints contains content continue conversion copy cost cpu_rate_limit create createdb createexttable createrole createuser cross csv cube current current_catalog current_date current_role current_schema current_time current_timestamp current_user cursor cycle data database day deallocate dec decimal declare decode default defaults deferrable deferred definer delete delimiter delimiters deny desc dictionary disable discard distinct distributed do document domain double drop dxl each else enable encoding encrypted end enum errors escape every except exchange exclude excluding exclusive execute exists explain extension external extract false family fetch fields filespace fill filter first float following for force foreign format forward freeze from full function global grant granted greatest group group_id grouping handler hash having header hold host hour identity if ignore ilike immediate immutable implicit in including inclusive increment index indexes inherit inherits initially inline inner inout input insensitive insert instead int integer intersect interval into invoker is isnull isolation join key language large last leading least left level like limit list listen load local localtime localtimestamp location lock log login mapping master match maxvalue median merge minute minvalue missing mode modifies modify month move name names national natural nchar new newline next no nocreatedb nocreateexttable nocreaterole nocreateuser noinherit nologin none noovercommit nosuperuser not nothing notify notnull nowait null nullif nulls numeric object of off offset oids old on only operator option options or order ordered others out outer over overcommit overlaps overlay owned owner parser partial partition partitions passing password percent percentile_cont percentile_disc placing plans position preceding precision prepare prepared preserve primary prior privileges procedural procedure protocol queue quote randomly range read readable reads real reassign recheck recursive ref references reindex reject relative release rename repeatable replace replica reset resource restart restrict returning returns revoke right role rollback rollup rootpartition row rows rule savepoint scatter schema scroll search second security segment select sequence serializable session session_user set setof sets share show similar simple smallint some split sql stable standalone start statement statistics stdin stdout storage strict strip subpartition subpartitions substring superuser symmetric sysid system table tablespace temp template temporary text then threshold ties time timestamp to trailing transaction treat trigger trim true truncate trusted type unbounded uncommitted unencrypted union unique unknown unlisten until update user using vacuum valid validation validator value values varchar variadic varying verbose version view volatile web when where whitespace window with within without work writable write xml xmlattributes xmlconcat xmlelement xmlexists xmlforest xmlparse xmlpi xmlroot xmlserialize year yes zone"),builtin:o("bigint int8 bigserial serial8 bit varying varbit boolean bool box bytea character char varchar cidr circle date double precision float float8 inet integer int int4 interval json jsonb line lseg macaddr macaddr8 money numeric decimal path pg_lsn point polygon real float4 smallint int2 smallserial serial2 serial serial4 text time without zone with timetz timestamp timestamptz tsquery tsvector txid_snapshot uuid xml"),atoms:o("false true null unknown"),operatorChars:/^[*+\-%<>!=&|^\/#@?~]/,dateSQL:o("date time timestamp"),support:o("decimallessFloat zerolessFloat binaryNumber hexNumber nCharCast charsetCast")}),e.defineMIME("text/x-sparksql",{name:"sql",keywords:o("add after all alter analyze and anti archive array as asc at between bucket buckets by cache cascade case cast change clear cluster clustered codegen collection column columns comment commit compact compactions compute concatenate cost create cross cube current current_date current_timestamp database databases data dbproperties defined delete delimited deny desc describe dfs directories distinct distribute drop else end escaped except exchange exists explain export extended external false fields fileformat first following for format formatted from full function functions global grant group grouping having if ignore import in index indexes inner inpath inputformat insert intersect interval into is items join keys last lateral lazy left like limit lines list load local location lock locks logical macro map minus msck natural no not null nulls of on optimize option options or order out outer outputformat over overwrite partition partitioned partitions percent preceding principals purge range recordreader recordwriter recover reduce refresh regexp rename repair replace reset restrict revoke right rlike role roles rollback rollup row rows schema schemas select semi separated serde serdeproperties set sets show skewed sort sorted start statistics stored stratify struct table tables tablesample tblproperties temp temporary terminated then to touch transaction transactions transform true truncate unarchive unbounded uncache union unlock unset use using values view when where window with"),builtin:o("abs acos acosh add_months aggregate and any approx_count_distinct approx_percentile array array_contains array_distinct array_except array_intersect array_join array_max array_min array_position array_remove array_repeat array_sort array_union arrays_overlap arrays_zip ascii asin asinh assert_true atan atan2 atanh avg base64 between bigint bin binary bit_and bit_count bit_get bit_length bit_or bit_xor bool_and bool_or boolean bround btrim cardinality case cast cbrt ceil ceiling char char_length character_length chr coalesce collect_list collect_set concat concat_ws conv corr cos cosh cot count count_if count_min_sketch covar_pop covar_samp crc32 cume_dist current_catalog current_database current_date current_timestamp current_timezone current_user date date_add date_format date_from_unix_date date_part date_sub date_trunc datediff day dayofmonth dayofweek dayofyear decimal decode degrees delimited dense_rank div double e element_at elt encode every exists exp explode explode_outer expm1 extract factorial filter find_in_set first first_value flatten float floor forall format_number format_string from_csv from_json from_unixtime from_utc_timestamp get_json_object getbit greatest grouping grouping_id hash hex hour hypot if ifnull in initcap inline inline_outer input_file_block_length input_file_block_start input_file_name inputformat instr int isnan isnotnull isnull java_method json_array_length json_object_keys json_tuple kurtosis lag last last_day last_value lcase lead least left length levenshtein like ln locate log log10 log1p log2 lower lpad ltrim make_date make_dt_interval make_interval make_timestamp make_ym_interval map map_concat map_entries map_filter map_from_arrays map_from_entries map_keys map_values map_zip_with max max_by md5 mean min min_by minute mod monotonically_increasing_id month months_between named_struct nanvl negative next_day not now nth_value ntile nullif nvl nvl2 octet_length or outputformat overlay parse_url percent_rank percentile percentile_approx pi pmod posexplode posexplode_outer position positive pow power printf quarter radians raise_error rand randn random rank rcfile reflect regexp regexp_extract regexp_extract_all regexp_like regexp_replace repeat replace reverse right rint rlike round row_number rpad rtrim schema_of_csv schema_of_json second sentences sequence sequencefile serde session_window sha sha1 sha2 shiftleft shiftright shiftrightunsigned shuffle sign signum sin sinh size skewness slice smallint some sort_array soundex space spark_partition_id split sqrt stack std stddev stddev_pop stddev_samp str_to_map string struct substr substring substring_index sum tan tanh textfile timestamp timestamp_micros timestamp_millis timestamp_seconds tinyint to_csv to_date to_json to_timestamp to_unix_timestamp to_utc_timestamp transform transform_keys transform_values translate trim trunc try_add try_divide typeof ucase unbase64 unhex uniontype unix_date unix_micros unix_millis unix_seconds unix_timestamp upper uuid var_pop var_samp variance version weekday weekofyear when width_bucket window xpath xpath_boolean xpath_double xpath_float xpath_int xpath_long xpath_number xpath_short xpath_string xxhash64 year zip_with"),atoms:o("false true null"),operatorChars:/^[*\/+\-%<>!=~&|^]/,dateSQL:o("date time timestamp"),support:o("doubleQuote zerolessFloat")}),e.defineMIME("text/x-esper",{name:"sql",client:o("source"),keywords:o("alter and as asc between by count create delete desc distinct drop from group having in insert into is join like not on or order select set table union update values where limit after all and as at asc avedev avg between by case cast coalesce count create current_timestamp day days delete define desc distinct else end escape events every exists false first from full group having hour hours in inner insert instanceof into irstream is istream join last lastweekday left limit like max match_recognize matches median measures metadatasql min minute minutes msec millisecond milliseconds not null offset on or order outer output partition pattern prev prior regexp retain-union retain-intersection right rstream sec second seconds select set some snapshot sql stddev sum then true unidirectional until update variable weekday when where window"),builtin:{},atoms:o("false true null"),operatorChars:/^[*+\-%<>!=&|^\/#@?~]/,dateSQL:o("time"),support:o("decimallessFloat zerolessFloat binaryNumber hexNumber")}),e.defineMIME("text/x-trino",{name:"sql",keywords:o("abs absent acos add admin after all all_match alter analyze and any any_match approx_distinct approx_most_frequent approx_percentile approx_set arbitrary array_agg array_distinct array_except array_intersect array_join array_max array_min array_position array_remove array_sort array_union arrays_overlap as asc asin at at_timezone atan atan2 authorization avg bar bernoulli beta_cdf between bing_tile bing_tile_at bing_tile_coordinates bing_tile_polygon bing_tile_quadkey bing_tile_zoom_level bing_tiles_around bit_count bitwise_and bitwise_and_agg bitwise_left_shift bitwise_not bitwise_or bitwise_or_agg bitwise_right_shift bitwise_right_shift_arithmetic bitwise_xor bool_and bool_or both by call cardinality cascade case cast catalogs cbrt ceil ceiling char2hexint checksum chr classify coalesce codepoint column columns combinations comment commit committed concat concat_ws conditional constraint contains contains_sequence convex_hull_agg copartition corr cos cosh cosine_similarity count count_if covar_pop covar_samp crc32 create cross cube cume_dist current current_catalog current_date current_groups current_path current_role current_schema current_time current_timestamp current_timezone current_user data date_add date_diff date_format date_parse date_trunc day day_of_month day_of_week day_of_year deallocate default define definer degrees delete dense_rank deny desc describe descriptor distinct distributed dow doy drop e element_at else empty empty_approx_set encoding end error escape evaluate_classifier_predictions every except excluding execute exists exp explain extract false features fetch filter final first first_value flatten floor following for format format_datetime format_number from from_base from_base32 from_base64 from_base64url from_big_endian_32 from_big_endian_64 from_encoded_polyline from_geojson_geometry from_hex from_ieee754_32 from_ieee754_64 from_iso8601_date from_iso8601_timestamp from_iso8601_timestamp_nanos from_unixtime from_unixtime_nanos from_utf8 full functions geometric_mean geometry_from_hadoop_shape geometry_invalid_reason geometry_nearest_points geometry_to_bing_tiles geometry_union geometry_union_agg grant granted grants graphviz great_circle_distance greatest group grouping groups hamming_distance hash_counts having histogram hmac_md5 hmac_sha1 hmac_sha256 hmac_sha512 hour human_readable_seconds if ignore in including index infinity initial inner input insert intersect intersection_cardinality into inverse_beta_cdf inverse_normal_cdf invoker io is is_finite is_infinite is_json_scalar is_nan isolation jaccard_index join json_array json_array_contains json_array_get json_array_length json_exists json_extract json_extract_scalar json_format json_object json_parse json_query json_size json_value keep key keys kurtosis lag last last_day_of_month last_value lateral lead leading learn_classifier learn_libsvm_classifier learn_libsvm_regressor learn_regressor least left length level levenshtein_distance like limit line_interpolate_point line_interpolate_points line_locate_point listagg ln local localtime localtimestamp log log10 log2 logical lower lpad ltrim luhn_check make_set_digest map_agg map_concat map_entries map_filter map_from_entries map_keys map_union map_values map_zip_with match match_recognize matched matches materialized max max_by md5 measures merge merge_set_digest millisecond min min_by minute mod month multimap_agg multimap_from_entries murmur3 nan natural next nfc nfd nfkc nfkd ngrams no none none_match normal_cdf normalize not now nth_value ntile null nullif nulls numeric_histogram object objectid_timestamp of offset omit on one only option or order ordinality outer output over overflow parse_data_size parse_datetime parse_duration partition partitions passing past path pattern per percent_rank permute pi position pow power preceding prepare privileges properties prune qdigest_agg quarter quotes radians rand random range rank read recursive reduce reduce_agg refresh regexp_count regexp_extract regexp_extract_all regexp_like regexp_position regexp_replace regexp_split regr_intercept regr_slope regress rename render repeat repeatable replace reset respect restrict returning reverse revoke rgb right role roles rollback rollup round row_number rows rpad rtrim running scalar schema schemas second security seek select sequence serializable session set sets sha1 sha256 sha512 show shuffle sign simplify_geometry sin skewness skip slice some soundex spatial_partitioning spatial_partitions split split_part split_to_map split_to_multimap spooky_hash_v2_32 spooky_hash_v2_64 sqrt st_area st_asbinary st_astext st_boundary st_buffer st_centroid st_contains st_convexhull st_coorddim st_crosses st_difference st_dimension st_disjoint st_distance st_endpoint st_envelope st_envelopeaspts st_equals st_exteriorring st_geometries st_geometryfromtext st_geometryn st_geometrytype st_geomfrombinary st_interiorringn st_interiorrings st_intersection st_intersects st_isclosed st_isempty st_isring st_issimple st_isvalid st_length st_linefromtext st_linestring st_multipoint st_numgeometries st_numinteriorring st_numpoints st_overlaps st_point st_pointn st_points st_polygon st_relate st_startpoint st_symdifference st_touches st_union st_within st_x st_xmax st_xmin st_y st_ymax st_ymin start starts_with stats stddev stddev_pop stddev_samp string strpos subset substr substring sum system table tables tablesample tan tanh tdigest_agg text then ties timestamp_objectid timezone_hour timezone_minute to to_base to_base32 to_base64 to_base64url to_big_endian_32 to_big_endian_64 to_char to_date to_encoded_polyline to_geojson_geometry to_geometry to_hex to_ieee754_32 to_ieee754_64 to_iso8601 to_milliseconds to_spherical_geography to_timestamp to_unixtime to_utf8 trailing transaction transform transform_keys transform_values translate trim trim_array true truncate try try_cast type typeof uescape unbounded uncommitted unconditional union unique unknown unmatched unnest update upper url_decode url_encode url_extract_fragment url_extract_host url_extract_parameter url_extract_path url_extract_port url_extract_protocol url_extract_query use user using utf16 utf32 utf8 validate value value_at_quantile values values_at_quantiles var_pop var_samp variance verbose version view week week_of_year when where width_bucket wilson_interval_lower wilson_interval_upper window with with_timezone within without word_stem work wrapper write xxhash64 year year_of_week yow zip zip_with"),builtin:o("array bigint bingtile boolean char codepoints color date decimal double function geometry hyperloglog int integer interval ipaddress joniregexp json json2016 jsonpath kdbtree likepattern map model objectid p4hyperloglog precision qdigest re2jregexp real regressor row setdigest smallint sphericalgeography tdigest time timestamp tinyint uuid varbinary varchar zone"),atoms:o("false true null unknown"),operatorChars:/^[[\]|<>=!\-+*/%]/,dateSQL:o("date time timestamp zone"),support:o("decimallessFloat zerolessFloat hexNumber")})}(n(23326))},38234:(e,t,n)=>{!function(e){"use strict";e.defineMode("stex",(function(e,t){function n(e,t){e.cmdState.push(t)}function r(e){return e.cmdState.length>0?e.cmdState[e.cmdState.length-1]:null}function i(e,t,n){return function(){this.name=e,this.bracketNo=0,this.style=t,this.styles=n,this.argument=null,this.styleIdentifier=function(){return this.styles[this.bracketNo-1]||null},this.openBracket=function(){return this.bracketNo++,"bracket"},this.closeBracket=function(){}}}var o={};function a(e,t){e.f=t}function s(e,t){var i;if(e.match(/^\\[a-zA-Z@]+/)){var s=e.current().slice(1);return n(t,i=new(i=o.hasOwnProperty(s)?o[s]:o.DEFAULT)),a(t,u),i.style}if(e.match(/^\\[$&%#{}_]/))return"tag";if(e.match(/^\\[,;!\/\\]/))return"tag";if(e.match("\\["))return a(t,(function(e,t){return l(e,t,"\\]")})),"keyword";if(e.match("\\("))return a(t,(function(e,t){return l(e,t,"\\)")})),"keyword";if(e.match("$$"))return a(t,(function(e,t){return l(e,t,"$$")})),"keyword";if(e.match("$"))return a(t,(function(e,t){return l(e,t,"$")})),"keyword";var c=e.next();return"%"==c?(e.skipToEnd(),"comment"):"}"==c||"]"==c?(i=r(t))?(i.closeBracket(c),a(t,u),"bracket"):"error":"{"==c||"["==c?(n(t,i=new(i=o.DEFAULT)),"bracket"):/\d/.test(c)?(e.eatWhile(/[\w.%]/),"atom"):(e.eatWhile(/[\w\-_]/),"begin"==(i=function(e){for(var t=e.cmdState,n=t.length-1;n>=0;n--){var r=t[n];if("DEFAULT"!=r.name)return r}return{styleIdentifier:function(){return null}}}(t)).name&&(i.argument=e.current()),i.styleIdentifier())}function l(e,t,n){if(e.eatSpace())return null;if(n&&e.match(n))return a(t,s),"keyword";if(e.match(/^\\[a-zA-Z@]+/))return"tag";if(e.match(/^[a-zA-Z]+/))return"variable-2";if(e.match(/^\\[$&%#{}_]/))return"tag";if(e.match(/^\\[,;!\/]/))return"tag";if(e.match(/^[\^_&]/))return"tag";if(e.match(/^[+\-<>|=,\/@!*:;'"`~#?]/))return null;if(e.match(/^(\d+\.\d*|\d*\.\d+|\d+)/))return"number";var r=e.next();return"{"==r||"}"==r||"["==r||"]"==r||"("==r||")"==r?"bracket":"%"==r?(e.skipToEnd(),"comment"):"error"}function u(e,t){var n=e.peek();return"{"==n||"["==n?(r(t).openBracket(n),e.eat(n),a(t,s),"bracket"):/[ \t\r]/.test(n)?(e.eat(n),null):(a(t,s),function(e){var t=e.cmdState.pop();t&&t.closeBracket()}(t),s(e,t))}return o.importmodule=i("importmodule","tag",["string","builtin"]),o.documentclass=i("documentclass","tag",["","atom"]),o.usepackage=i("usepackage","tag",["atom"]),o.begin=i("begin","tag",["atom"]),o.end=i("end","tag",["atom"]),o.label=i("label","tag",["atom"]),o.ref=i("ref","tag",["atom"]),o.eqref=i("eqref","tag",["atom"]),o.cite=i("cite","tag",["atom"]),o.bibitem=i("bibitem","tag",["atom"]),o.Bibitem=i("Bibitem","tag",["atom"]),o.RBibitem=i("RBibitem","tag",["atom"]),o.DEFAULT=function(){this.name="DEFAULT",this.style="tag",this.styleIdentifier=this.openBracket=this.closeBracket=function(){}},{startState:function(){return{cmdState:[],f:t.inMathMode?function(e,t){return l(e,t)}:s}},copyState:function(e){return{cmdState:e.cmdState.slice(),f:e.f}},token:function(e,t){return t.f(e,t)},blankLine:function(e){e.f=s,e.cmdState.length=0},lineComment:"%"}})),e.defineMIME("text/x-stex","stex"),e.defineMIME("text/x-latex","stex")}(n(23326))},98660:(e,t,n)=>{!function(e){"use strict";e.defineMode("stylus",(function(e){for(var f,g,v,y,b=e.indentUnit,w="",_=m(t),x=/^(a|b|i|s|col|em)$/i,k=m(o),E=m(a),C=m(u),S=m(l),A=m(n),T=h(n),O=m(i),P=m(r),D=m(s),R=/^\s*([.]{2,3}|&&|\|\||\*\*|[?!=:]?=|[-+*\/%<>]=?|\?:|\~)/,I=h(c),M=m(d),L=new RegExp(/^\-(moz|ms|o|webkit)-/i),N=m(p),j="",F={};w.length<b;)w+=" ";function B(e,t){if(j=e.string.match(/(^[\w-]+\s*=\s*$)|(^\s*[\w-]+\s*=\s*[\w-])|(^\s*(\.|#|@|\$|\&|\[|\d|\+|::?|\{|\>|~|\/)?\s*[\w-]*([a-z0-9-]|\*|\/\*)(\(|,)?)/),t.context.line.firstWord=j?j[0].replace(/^\s*/,""):"",t.context.line.indent=e.indentation(),f=e.peek(),e.match("//"))return e.skipToEnd(),["comment","comment"];if(e.match("/*"))return t.tokenize=z,z(e,t);if('"'==f||"'"==f)return e.next(),t.tokenize=U(f),t.tokenize(e,t);if("@"==f)return e.next(),e.eatWhile(/[\w\\-]/),["def",e.current()];if("#"==f){if(e.next(),e.match(/^[0-9a-f]{3}([0-9a-f]([0-9a-f]{2}){0,2})?\b(?!-)/i))return["atom","atom"];if(e.match(/^[a-z][\w-]*/i))return["builtin","hash"]}return e.match(L)?["meta","vendor-prefixes"]:e.match(/^-?[0-9]?\.?[0-9]/)?(e.eatWhile(/[a-z%]/i),["number","unit"]):"!"==f?(e.next(),[e.match(/^(important|optional)/i)?"keyword":"operator","important"]):"."==f&&e.match(/^\.[a-z][\w-]*/i)?["qualifier","qualifier"]:e.match(T)?("("==e.peek()&&(t.tokenize=q),["property","word"]):e.match(/^[a-z][\w-]*\(/i)?(e.backUp(1),["keyword","mixin"]):e.match(/^(\+|-)[a-z][\w-]*\(/i)?(e.backUp(1),["keyword","block-mixin"]):e.string.match(/^\s*&/)&&e.match(/^[-_]+[a-z][\w-]*/)?["qualifier","qualifier"]:e.match(/^(\/|&)(-|_|:|\.|#|[a-z])/)?(e.backUp(1),["variable-3","reference"]):e.match(/^&{1}\s*$/)?["variable-3","reference"]:e.match(I)?["operator","operator"]:e.match(/^\$?[-_]*[a-z0-9]+[\w-]*/i)?e.match(/^(\.|\[)[\w-\'\"\]]+/i,!1)&&!G(e.current())?(e.match("."),["variable-2","variable-name"]):["variable-2","word"]:e.match(R)?["operator",e.current()]:/[:;,{}\[\]\(\)]/.test(f)?(e.next(),[null,f]):(e.next(),[null,null])}function z(e,t){for(var n,r=!1;null!=(n=e.next());){if(r&&"/"==n){t.tokenize=null;break}r="*"==n}return["comment","comment"]}function U(e){return function(t,n){for(var r,i=!1;null!=(r=t.next());){if(r==e&&!i){")"==e&&t.backUp(1);break}i=!i&&"\\"==r}return(r==e||!i&&")"!=e)&&(n.tokenize=null),["string","string"]}}function q(e,t){return e.next(),e.match(/\s*[\"\')]/,!1)?t.tokenize=null:t.tokenize=U(")"),[null,"("]}function $(e,t,n,r){this.type=e,this.indent=t,this.prev=n,this.line=r||{firstWord:"",indent:0}}function W(e,t,n,r){return r=r>=0?r:b,e.context=new $(n,t.indentation()+r,e.context),n}function H(e,t){var n=e.context.indent-b;return t=t||!1,e.context=e.context.prev,t&&(e.context.indent=n),e.context.type}function V(e,t,n,r){for(var i=r||1;i>0;i--)n.context=n.context.prev;return function(e,t,n){return F[n.context.type](e,t,n)}(e,t,n)}function G(e){return e.toLowerCase()in _}function K(e){return(e=e.toLowerCase())in k||e in D}function Y(e){return e.toLowerCase()in M}function Z(e){return e.toLowerCase().match(L)}function X(e){var t=e.toLowerCase(),n="variable-2";return G(e)?n="tag":Y(e)?n="block-keyword":K(e)?n="property":t in C||t in N?n="atom":"return"==t||t in S?n="keyword":e.match(/^[A-Z]/)&&(n="string"),n}function Q(e,t){return ne(t)&&("{"==e||"]"==e||"hash"==e||"qualifier"==e)||"block-mixin"==e}function J(e,t){return"{"==e&&t.match(/^\s*\$?[\w-]+/i,!1)}function ee(e,t){return":"==e&&t.match(/^[a-z-]+/,!1)}function te(e){return e.sol()||e.string.match(new RegExp("^\\s*"+e.current().replace(/[-[\]{}()*+?.,\\^$|#\s]/g,"\\$&")))}function ne(e){return e.eol()||e.match(/^\s*$/,!1)}function re(e){var t=/^\s*[-_]*[a-z0-9]+[\w-]*/i,n="string"==typeof e?e.match(t):e.string.match(t);return n?n[0].replace(/^\s*/,""):""}return F.block=function(e,t,n){if("comment"==e&&te(t)||","==e&&ne(t)||"mixin"==e)return W(n,t,"block",0);if(J(e,t))return W(n,t,"interpolation");if(ne(t)&&"]"==e&&!/^\s*(\.|#|:|\[|\*|&)/.test(t.string)&&!G(re(t)))return W(n,t,"block",0);if(Q(e,t))return W(n,t,"block");if("}"==e&&ne(t))return W(n,t,"block",0);if("variable-name"==e)return t.string.match(/^\s?\$[\w-\.\[\]\'\"]+$/)||Y(re(t))?W(n,t,"variableName"):W(n,t,"variableName",0);if("="==e)return ne(t)||Y(re(t))?W(n,t,"block"):W(n,t,"block",0);if("*"==e&&(ne(t)||t.match(/\s*(,|\.|#|\[|:|{)/,!1)))return y="tag",W(n,t,"block");if(ee(e,t))return W(n,t,"pseudo");if(/@(font-face|media|supports|(-moz-)?document)/.test(e))return W(n,t,ne(t)?"block":"atBlock");if(/@(-(moz|ms|o|webkit)-)?keyframes$/.test(e))return W(n,t,"keyframes");if(/@extends?/.test(e))return W(n,t,"extend",0);if(e&&"@"==e.charAt(0))return t.indentation()>0&&K(t.current().slice(1))?(y="variable-2","block"):/(@import|@require|@charset)/.test(e)?W(n,t,"block",0):W(n,t,"block");if("reference"==e&&ne(t))return W(n,t,"block");if("("==e)return W(n,t,"parens");if("vendor-prefixes"==e)return W(n,t,"vendorPrefixes");if("word"==e){var r=t.current();if("property"==(y=X(r)))return te(t)?W(n,t,"block",0):(y="atom","block");if("tag"==y){if(/embed|menu|pre|progress|sub|table/.test(r)&&K(re(t)))return y="atom","block";if(t.string.match(new RegExp("\\[\\s*"+r+"|"+r+"\\s*\\]")))return y="atom","block";if(x.test(r)&&(te(t)&&t.string.match(/=/)||!te(t)&&!t.string.match(/^(\s*\.|#|\&|\[|\/|>|\*)/)&&!G(re(t))))return y="variable-2",Y(re(t))?"block":W(n,t,"block",0);if(ne(t))return W(n,t,"block")}if("block-keyword"==y)return y="keyword",t.current(/(if|unless)/)&&!te(t)?"block":W(n,t,"block");if("return"==r)return W(n,t,"block",0);if("variable-2"==y&&t.string.match(/^\s?\$[\w-\.\[\]\'\"]+$/))return W(n,t,"block")}return n.context.type},F.parens=function(e,t,n){if("("==e)return W(n,t,"parens");if(")"==e)return"parens"==n.context.prev.type?H(n):t.string.match(/^[a-z][\w-]*\(/i)&&ne(t)||Y(re(t))||/(\.|#|:|\[|\*|&|>|~|\+|\/)/.test(re(t))||!t.string.match(/^-?[a-z][\w-\.\[\]\'\"]*\s*=/)&&G(re(t))?W(n,t,"block"):t.string.match(/^[\$-]?[a-z][\w-\.\[\]\'\"]*\s*=/)||t.string.match(/^\s*(\(|\)|[0-9])/)||t.string.match(/^\s+[a-z][\w-]*\(/i)||t.string.match(/^\s+[\$-]?[a-z]/i)?W(n,t,"block",0):ne(t)?W(n,t,"block"):W(n,t,"block",0);if(e&&"@"==e.charAt(0)&&K(t.current().slice(1))&&(y="variable-2"),"word"==e){var r=t.current();"tag"==(y=X(r))&&x.test(r)&&(y="variable-2"),"property"!=y&&"to"!=r||(y="atom")}return"variable-name"==e?W(n,t,"variableName"):ee(e,t)?W(n,t,"pseudo"):n.context.type},F.vendorPrefixes=function(e,t,n){return"word"==e?(y="property",W(n,t,"block",0)):H(n)},F.pseudo=function(e,t,n){return K(re(t.string))?V(e,t,n):(t.match(/^[a-z-]+/),y="variable-3",ne(t)?W(n,t,"block"):H(n))},F.atBlock=function(e,t,n){if("("==e)return W(n,t,"atBlock_parens");if(Q(e,t))return W(n,t,"block");if(J(e,t))return W(n,t,"interpolation");if("word"==e){var r=t.current().toLowerCase();if("tag"==(y=/^(only|not|and|or)$/.test(r)?"keyword":A.hasOwnProperty(r)?"tag":P.hasOwnProperty(r)?"attribute":O.hasOwnProperty(r)?"property":E.hasOwnProperty(r)?"string-2":X(t.current()))&&ne(t))return W(n,t,"block")}return"operator"==e&&/^(not|and|or)$/.test(t.current())&&(y="keyword"),n.context.type},F.atBlock_parens=function(e,t,n){if("{"==e||"}"==e)return n.context.type;if(")"==e)return ne(t)?W(n,t,"block"):W(n,t,"atBlock");if("word"==e){var r=t.current().toLowerCase();return y=X(r),/^(max|min)/.test(r)&&(y="property"),"tag"==y&&(y=x.test(r)?"variable-2":"atom"),n.context.type}return F.atBlock(e,t,n)},F.keyframes=function(e,t,n){return"0"==t.indentation()&&("}"==e&&te(t)||"]"==e||"hash"==e||"qualifier"==e||G(t.current()))?V(e,t,n):"{"==e?W(n,t,"keyframes"):"}"==e?te(t)?H(n,!0):W(n,t,"keyframes"):"unit"==e&&/^[0-9]+\%$/.test(t.current())?W(n,t,"keyframes"):"word"==e&&"block-keyword"==(y=X(t.current()))?(y="keyword",W(n,t,"keyframes")):/@(font-face|media|supports|(-moz-)?document)/.test(e)?W(n,t,ne(t)?"block":"atBlock"):"mixin"==e?W(n,t,"block",0):n.context.type},F.interpolation=function(e,t,n){return"{"==e&&H(n)&&W(n,t,"block"),"}"==e?t.string.match(/^\s*(\.|#|:|\[|\*|&|>|~|\+|\/)/i)||t.string.match(/^\s*[a-z]/i)&&G(re(t))?W(n,t,"block"):!t.string.match(/^(\{|\s*\&)/)||t.match(/\s*[\w-]/,!1)?W(n,t,"block",0):W(n,t,"block"):"variable-name"==e?W(n,t,"variableName",0):("word"==e&&"tag"==(y=X(t.current()))&&(y="atom"),n.context.type)},F.extend=function(e,t,n){return"["==e||"="==e?"extend":"]"==e?H(n):"word"==e?(y=X(t.current()),"extend"):H(n)},F.variableName=function(e,t,n){return"string"==e||"["==e||"]"==e||t.current().match(/^(\.|\$)/)?(t.current().match(/^\.[\w-]+/i)&&(y="variable-2"),"variableName"):V(e,t,n)},{startState:function(e){return{tokenize:null,state:"block",context:new $("block",e||0,null)}},token:function(e,t){return!t.tokenize&&e.eatSpace()?null:((g=(t.tokenize||B)(e,t))&&"object"==typeof g&&(v=g[1],g=g[0]),y=g,t.state=F[t.state](v,e,t),y)},indent:function(e,t,n){var r=e.context,i=t&&t.charAt(0),o=r.indent,a=re(t),s=n.match(/^\s*/)[0].replace(/\t/g,w).length,l=e.context.prev?e.context.prev.line.firstWord:"",u=e.context.prev?e.context.prev.line.indent:s;return r.prev&&("}"==i&&("block"==r.type||"atBlock"==r.type||"keyframes"==r.type)||")"==i&&("parens"==r.type||"atBlock_parens"==r.type)||"{"==i&&"at"==r.type)?o=r.indent-b:/(\})/.test(i)||(/@|\$|\d/.test(i)||/^\{/.test(t)||/^\s*\/(\/|\*)/.test(t)||/^\s*\/\*/.test(l)||/^\s*[\w-\.\[\]\'\"]+\s*(\?|:|\+)?=/i.test(t)||/^(\+|-)?[a-z][\w-]*\(/i.test(t)||/^return/.test(t)||Y(a)?o=s:/(\.|#|:|\[|\*|&|>|~|\+|\/)/.test(i)||G(a)?o=/\,\s*$/.test(l)?u:/^\s+/.test(n)&&(/(\.|#|:|\[|\*|&|>|~|\+|\/)/.test(l)||G(l))?s<=u?u:u+b:s:/,\s*$/.test(n)||!Z(a)&&!K(a)||(o=Y(l)?s<=u?u:u+b:/^\{/.test(l)?s<=u?s:u+b:Z(l)||K(l)?s>=u?u:s:/^(\.|#|:|\[|\*|&|@|\+|\-|>|~|\/)/.test(l)||/=\s*$/.test(l)||G(l)||/^\$[\w-\.\[\]\'\"]/.test(l)?u+b:s)),o},electricChars:"}",blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",lineComment:"//",fold:"indent"}}));var t=["a","abbr","address","area","article","aside","audio","b","base","bdi","bdo","bgsound","blockquote","body","br","button","canvas","caption","cite","code","col","colgroup","data","datalist","dd","del","details","dfn","div","dl","dt","em","embed","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","iframe","img","input","ins","kbd","keygen","label","legend","li","link","main","map","mark","marquee","menu","menuitem","meta","meter","nav","nobr","noframes","noscript","object","ol","optgroup","option","output","p","param","pre","progress","q","rp","rt","ruby","s","samp","script","section","select","small","source","span","strong","style","sub","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","track","u","ul","var","video"],n=["domain","regexp","url-prefix","url"],r=["all","aural","braille","handheld","print","projection","screen","tty","tv","embossed"],i=["width","min-width","max-width","height","min-height","max-height","device-width","min-device-width","max-device-width","device-height","min-device-height","max-device-height","aspect-ratio","min-aspect-ratio","max-aspect-ratio","device-aspect-ratio","min-device-aspect-ratio","max-device-aspect-ratio","color","min-color","max-color","color-index","min-color-index","max-color-index","monochrome","min-monochrome","max-monochrome","resolution","min-resolution","max-resolution","scan","grid","dynamic-range","video-dynamic-range"],o=["align-content","align-items","align-self","alignment-adjust","alignment-baseline","anchor-point","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","azimuth","backface-visibility","background","background-attachment","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","binding","bleed","bookmark-label","bookmark-level","bookmark-state","bookmark-target","border","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","clear","clip","color","color-profile","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","content","counter-increment","counter-reset","crop","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","drop-initial-after-adjust","drop-initial-after-align","drop-initial-before-adjust","drop-initial-before-align","drop-initial-size","drop-initial-value","elevation","empty-cells","fit","fit-position","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","float-offset","flow-from","flow-into","font","font-feature-settings","font-family","font-kerning","font-language-override","font-size","font-size-adjust","font-stretch","font-style","font-synthesis","font-variant","font-variant-alternates","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-weight","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-position","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","inline-box-align","justify-content","left","letter-spacing","line-break","line-height","line-stacking","line-stacking-ruby","line-stacking-shift","line-stacking-strategy","list-style","list-style-image","list-style-position","list-style-type","margin","margin-bottom","margin-left","margin-right","margin-top","marker-offset","marks","marquee-direction","marquee-loop","marquee-play-count","marquee-speed","marquee-style","max-height","max-width","min-height","min-width","move-to","nav-down","nav-index","nav-left","nav-right","nav-up","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-style","overflow-wrap","overflow-x","overflow-y","padding","padding-bottom","padding-left","padding-right","padding-top","page","page-break-after","page-break-before","page-break-inside","page-policy","pause","pause-after","pause-before","perspective","perspective-origin","pitch","pitch-range","play-during","position","presentation-level","punctuation-trim","quotes","region-break-after","region-break-before","region-break-inside","region-fragment","rendering-intent","resize","rest","rest-after","rest-before","richness","right","rotation","rotation-point","ruby-align","ruby-overhang","ruby-position","ruby-span","shape-image-threshold","shape-inside","shape-margin","shape-outside","size","speak","speak-as","speak-header","speak-numeral","speak-punctuation","speech-rate","stress","string-set","tab-size","table-layout","target","target-name","target-new","target-position","text-align","text-align-last","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-height","text-indent","text-justify","text-outline","text-overflow","text-shadow","text-size-adjust","text-space-collapse","text-transform","text-underline-position","text-wrap","top","transform","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","z-index","clip-path","clip-rule","mask","enable-background","filter","flood-color","flood-opacity","lighting-color","stop-color","stop-opacity","pointer-events","color-interpolation","color-interpolation-filters","color-rendering","fill","fill-opacity","fill-rule","image-rendering","marker","marker-end","marker-mid","marker-start","shape-rendering","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","text-rendering","baseline-shift","dominant-baseline","glyph-orientation-horizontal","glyph-orientation-vertical","text-anchor","writing-mode","font-smoothing","osx-font-smoothing"],a=["scrollbar-arrow-color","scrollbar-base-color","scrollbar-dark-shadow-color","scrollbar-face-color","scrollbar-highlight-color","scrollbar-shadow-color","scrollbar-3d-light-color","scrollbar-track-color","shape-inside","searchfield-cancel-button","searchfield-decoration","searchfield-results-button","searchfield-results-decoration","zoom"],s=["font-family","src","unicode-range","font-variant","font-feature-settings","font-stretch","font-weight","font-style"],l=["aliceblue","antiquewhite","aqua","aquamarine","azure","beige","bisque","black","blanchedalmond","blue","blueviolet","brown","burlywood","cadetblue","chartreuse","chocolate","coral","cornflowerblue","cornsilk","crimson","cyan","darkblue","darkcyan","darkgoldenrod","darkgray","darkgreen","darkkhaki","darkmagenta","darkolivegreen","darkorange","darkorchid","darkred","darksalmon","darkseagreen","darkslateblue","darkslategray","darkturquoise","darkviolet","deeppink","deepskyblue","dimgray","dodgerblue","firebrick","floralwhite","forestgreen","fuchsia","gainsboro","ghostwhite","gold","goldenrod","gray","grey","green","greenyellow","honeydew","hotpink","indianred","indigo","ivory","khaki","lavender","lavenderblush","lawngreen","lemonchiffon","lightblue","lightcoral","lightcyan","lightgoldenrodyellow","lightgray","lightgreen","lightpink","lightsalmon","lightseagreen","lightskyblue","lightslategray","lightsteelblue","lightyellow","lime","limegreen","linen","magenta","maroon","mediumaquamarine","mediumblue","mediumorchid","mediumpurple","mediumseagreen","mediumslateblue","mediumspringgreen","mediumturquoise","mediumvioletred","midnightblue","mintcream","mistyrose","moccasin","navajowhite","navy","oldlace","olive","olivedrab","orange","orangered","orchid","palegoldenrod","palegreen","paleturquoise","palevioletred","papayawhip","peachpuff","peru","pink","plum","powderblue","purple","rebeccapurple","red","rosybrown","royalblue","saddlebrown","salmon","sandybrown","seagreen","seashell","sienna","silver","skyblue","slateblue","slategray","snow","springgreen","steelblue","tan","teal","thistle","tomato","turquoise","violet","wheat","white","whitesmoke","yellow","yellowgreen"],u=["above","absolute","activeborder","additive","activecaption","afar","after-white-space","ahead","alias","all","all-scroll","alphabetic","alternate","always","amharic","amharic-abegede","antialiased","appworkspace","arabic-indic","armenian","asterisks","attr","auto","avoid","avoid-column","avoid-page","avoid-region","background","backwards","baseline","below","bidi-override","binary","bengali","blink","block","block-axis","bold","bolder","border","border-box","both","bottom","break","break-all","break-word","bullets","button","buttonface","buttonhighlight","buttonshadow","buttontext","calc","cambodian","capitalize","caps-lock-indicator","caption","captiontext","caret","cell","center","checkbox","circle","cjk-decimal","cjk-earthly-branch","cjk-heavenly-stem","cjk-ideographic","clear","clip","close-quote","col-resize","collapse","column","compact","condensed","conic-gradient","contain","content","contents","content-box","context-menu","continuous","copy","counter","counters","cover","crop","cross","crosshair","currentcolor","cursive","cyclic","dashed","decimal","decimal-leading-zero","default","default-button","destination-atop","destination-in","destination-out","destination-over","devanagari","disc","discard","disclosure-closed","disclosure-open","document","dot-dash","dot-dot-dash","dotted","double","down","e-resize","ease","ease-in","ease-in-out","ease-out","element","ellipse","ellipsis","embed","end","ethiopic","ethiopic-abegede","ethiopic-abegede-am-et","ethiopic-abegede-gez","ethiopic-abegede-ti-er","ethiopic-abegede-ti-et","ethiopic-halehame-aa-er","ethiopic-halehame-aa-et","ethiopic-halehame-am-et","ethiopic-halehame-gez","ethiopic-halehame-om-et","ethiopic-halehame-sid-et","ethiopic-halehame-so-et","ethiopic-halehame-ti-er","ethiopic-halehame-ti-et","ethiopic-halehame-tig","ethiopic-numeric","ew-resize","expanded","extends","extra-condensed","extra-expanded","fantasy","fast","fill","fixed","flat","flex","footnotes","forwards","from","geometricPrecision","georgian","graytext","groove","gujarati","gurmukhi","hand","hangul","hangul-consonant","hebrew","help","hidden","hide","high","higher","highlight","highlighttext","hiragana","hiragana-iroha","horizontal","hsl","hsla","icon","ignore","inactiveborder","inactivecaption","inactivecaptiontext","infinite","infobackground","infotext","inherit","initial","inline","inline-axis","inline-block","inline-flex","inline-table","inset","inside","intrinsic","invert","italic","japanese-formal","japanese-informal","justify","kannada","katakana","katakana-iroha","keep-all","khmer","korean-hangul-formal","korean-hanja-formal","korean-hanja-informal","landscape","lao","large","larger","left","level","lighter","line-through","linear","linear-gradient","lines","list-item","listbox","listitem","local","logical","loud","lower","lower-alpha","lower-armenian","lower-greek","lower-hexadecimal","lower-latin","lower-norwegian","lower-roman","lowercase","ltr","malayalam","match","matrix","matrix3d","media-play-button","media-slider","media-sliderthumb","media-volume-slider","media-volume-sliderthumb","medium","menu","menulist","menulist-button","menutext","message-box","middle","min-intrinsic","mix","mongolian","monospace","move","multiple","myanmar","n-resize","narrower","ne-resize","nesw-resize","no-close-quote","no-drop","no-open-quote","no-repeat","none","normal","not-allowed","nowrap","ns-resize","numbers","numeric","nw-resize","nwse-resize","oblique","octal","open-quote","optimizeLegibility","optimizeSpeed","oriya","oromo","outset","outside","outside-shape","overlay","overline","padding","padding-box","painted","page","paused","persian","perspective","plus-darker","plus-lighter","pointer","polygon","portrait","pre","pre-line","pre-wrap","preserve-3d","progress","push-button","radial-gradient","radio","read-only","read-write","read-write-plaintext-only","rectangle","region","relative","repeat","repeating-linear-gradient","repeating-radial-gradient","repeating-conic-gradient","repeat-x","repeat-y","reset","reverse","rgb","rgba","ridge","right","rotate","rotate3d","rotateX","rotateY","rotateZ","round","row-resize","rtl","run-in","running","s-resize","sans-serif","scale","scale3d","scaleX","scaleY","scaleZ","scroll","scrollbar","scroll-position","se-resize","searchfield","searchfield-cancel-button","searchfield-decoration","searchfield-results-button","searchfield-results-decoration","semi-condensed","semi-expanded","separate","serif","show","sidama","simp-chinese-formal","simp-chinese-informal","single","skew","skewX","skewY","skip-white-space","slide","slider-horizontal","slider-vertical","sliderthumb-horizontal","sliderthumb-vertical","slow","small","small-caps","small-caption","smaller","solid","somali","source-atop","source-in","source-out","source-over","space","spell-out","square","square-button","standard","start","static","status-bar","stretch","stroke","sub","subpixel-antialiased","super","sw-resize","symbolic","symbols","table","table-caption","table-cell","table-column","table-column-group","table-footer-group","table-header-group","table-row","table-row-group","tamil","telugu","text","text-bottom","text-top","textarea","textfield","thai","thick","thin","threeddarkshadow","threedface","threedhighlight","threedlightshadow","threedshadow","tibetan","tigre","tigrinya-er","tigrinya-er-abegede","tigrinya-et","tigrinya-et-abegede","to","top","trad-chinese-formal","trad-chinese-informal","translate","translate3d","translateX","translateY","translateZ","transparent","ultra-condensed","ultra-expanded","underline","up","upper-alpha","upper-armenian","upper-greek","upper-hexadecimal","upper-latin","upper-norwegian","upper-roman","uppercase","urdu","url","var","vertical","vertical-text","visible","visibleFill","visiblePainted","visibleStroke","visual","w-resize","wait","wave","wider","window","windowframe","windowtext","words","x-large","x-small","xor","xx-large","xx-small","bicubic","optimizespeed","grayscale","row","row-reverse","wrap","wrap-reverse","column-reverse","flex-start","flex-end","space-between","space-around","unset"],c=["in","and","or","not","is not","is a","is","isnt","defined","if unless"],d=["for","if","else","unless","from","to"],p=["null","true","false","href","title","type","not-allowed","readonly","disabled"],f=t.concat(n,r,i,o,a,l,u,s,c,d,p,["@font-face","@keyframes","@media","@viewport","@page","@host","@supports","@block","@css"]);function h(e){return e=e.sort((function(e,t){return t>e})),new RegExp("^(("+e.join(")|(")+"))\\b")}function m(e){for(var t={},n=0;n<e.length;++n)t[e[n]]=!0;return t}e.registerHelper("hintWords","stylus",f),e.defineMIME("text/x-styl","stylus")}(n(23326))},93251:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=0;n<e.length;n++)t[e[n]]=!0;return t}var n=t(["_","var","let","actor","class","enum","extension","import","protocol","struct","func","typealias","associatedtype","open","public","internal","fileprivate","private","deinit","init","new","override","self","subscript","super","convenience","dynamic","final","indirect","lazy","required","static","unowned","unowned(safe)","unowned(unsafe)","weak","as","is","break","case","continue","default","else","fallthrough","for","guard","if","in","repeat","switch","where","while","defer","return","inout","mutating","nonmutating","isolated","nonisolated","catch","do","rethrows","throw","throws","async","await","try","didSet","get","set","willSet","assignment","associativity","infix","left","none","operator","postfix","precedence","precedencegroup","prefix","right","Any","AnyObject","Type","dynamicType","Self","Protocol","__COLUMN__","__FILE__","__FUNCTION__","__LINE__"]),r=t(["var","let","actor","class","enum","extension","import","protocol","struct","func","typealias","associatedtype","for"]),i=t(["true","false","nil","self","super","_"]),o=t(["Array","Bool","Character","Dictionary","Double","Float","Int","Int8","Int16","Int32","Int64","Never","Optional","Set","String","UInt8","UInt16","UInt32","UInt64","Void"]),a="+-/*%=|&<>~^?!",s=":;,.(){}[]",l=/^\-?0b[01][01_]*/,u=/^\-?0o[0-7][0-7_]*/,c=/^\-?0x[\dA-Fa-f][\dA-Fa-f_]*(?:(?:\.[\dA-Fa-f][\dA-Fa-f_]*)?[Pp]\-?\d[\d_]*)?/,d=/^\-?\d[\d_]*(?:\.\d[\d_]*)?(?:[Ee]\-?\d[\d_]*)?/,p=/^\$\d+|(`?)[_A-Za-z][_A-Za-z$0-9]*\1/,f=/^\.(?:\$\d+|(`?)[_A-Za-z][_A-Za-z$0-9]*\1)/,h=/^\#[A-Za-z]+/,m=/^@(?:\$\d+|(`?)[_A-Za-z][_A-Za-z$0-9]*\1)/;function g(e,t,g){if(e.sol()&&(t.indented=e.indentation()),e.eatSpace())return null;var v,w=e.peek();if("/"==w){if(e.match("//"))return e.skipToEnd(),"comment";if(e.match("/*"))return t.tokenize.push(b),b(e,t)}if(e.match(h))return"builtin";if(e.match(m))return"attribute";if(e.match(l))return"number";if(e.match(u))return"number";if(e.match(c))return"number";if(e.match(d))return"number";if(e.match(f))return"property";if(a.indexOf(w)>-1)return e.next(),"operator";if(s.indexOf(w)>-1)return e.next(),e.match(".."),"punctuation";if(v=e.match(/("""|"|')/)){var _=y.bind(null,v[0]);return t.tokenize.push(_),_(e,t)}if(e.match(p)){var x=e.current();return o.hasOwnProperty(x)?"variable-2":i.hasOwnProperty(x)?"atom":n.hasOwnProperty(x)?(r.hasOwnProperty(x)&&(t.prev="define"),"keyword"):"define"==g?"def":"variable"}return e.next(),null}function v(){var e=0;return function(t,n,r){var i=g(t,n,r);if("punctuation"==i)if("("==t.current())++e;else if(")"==t.current()){if(0==e)return t.backUp(1),n.tokenize.pop(),n.tokenize[n.tokenize.length-1](t,n);--e}return i}}function y(e,t,n){for(var r,i=1==e.length,o=!1;r=t.peek();)if(o){if(t.next(),"("==r)return n.tokenize.push(v()),"string";o=!1}else{if(t.match(e))return n.tokenize.pop(),"string";t.next(),o="\\"==r}return i&&n.tokenize.pop(),"string"}function b(e,t){for(var n;n=e.next();)if("/"===n&&e.eat("*"))t.tokenize.push(b);else if("*"===n&&e.eat("/")){t.tokenize.pop();break}return"comment"}function w(e,t,n){this.prev=e,this.align=t,this.indented=n}function _(e,t){var n=t.match(/^\s*($|\/[\/\*])/,!1)?null:t.column()+1;e.context=new w(e.context,n,e.indented)}function x(e){e.context&&(e.indented=e.context.indented,e.context=e.context.prev)}e.defineMode("swift",(function(e){return{startState:function(){return{prev:null,context:null,indented:0,tokenize:[]}},token:function(e,t){var n=t.prev;t.prev=null;var r=(t.tokenize[t.tokenize.length-1]||g)(e,t,n);if(r&&"comment"!=r?t.prev||(t.prev=r):t.prev=n,"punctuation"==r){var i=/[\(\[\{]|([\]\)\}])/.exec(e.current());i&&(i[1]?x:_)(t,e)}return r},indent:function(t,n){var r=t.context;if(!r)return 0;var i=/^[\]\}\)]/.test(n);return null!=r.align?r.align-(i?1:0):r.indented+(i?0:e.indentUnit)},electricInput:/^\s*[\)\}\]]$/,lineComment:"//",blockCommentStart:"/*",blockCommentEnd:"*/",fold:"brace",closeBrackets:"()[]{}''\"\"``"}})),e.defineMIME("text/x-swift","swift")}(n(23326))},27220:(e,t,n)=>{!function(e){"use strict";e.defineMode("tcl",(function(){function e(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var t=e("Tcl safe after append array auto_execok auto_import auto_load auto_mkindex auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd close concat continue dde eof encoding error eval exec exit expr fblocked fconfigure fcopy file fileevent filename filename flush for foreach format gets glob global history http if incr info interp join lappend lindex linsert list llength load lrange lreplace lsearch lset lsort memory msgcat namespace open package parray pid pkg::create pkg_mkIndex proc puts pwd re_syntax read regex regexp registry regsub rename resource return scan seek set socket source split string subst switch tcl_endOfWord tcl_findLibrary tcl_startOfNextWord tcl_wordBreakAfter tcl_startOfPreviousWord tcl_wordBreakBefore tcltest tclvars tell time trace unknown unset update uplevel upvar variable vwait"),n=e("if elseif else and not or eq ne in ni for foreach while switch"),r=/[+\-*&%=<>!?^\/\|]/;function i(e,t,n){return t.tokenize=n,n(e,t)}function o(e,l){var u=l.beforeParams;l.beforeParams=!1;var c,d=e.next();if('"'!=d&&"'"!=d||!l.inParams){if(/[\[\]{}\(\),;\.]/.test(d))return"("==d&&u?l.inParams=!0:")"==d&&(l.inParams=!1),null;if(/\d/.test(d))return e.eatWhile(/[\w\.]/),"number";if("#"==d)return e.eat("*")?i(e,l,a):"#"==d&&e.match(/ *\[ *\[/)?i(e,l,s):(e.skipToEnd(),"comment");if('"'==d)return e.skipTo(/"/),"comment";if("$"==d)return e.eatWhile(/[$_a-z0-9A-Z\.{:]/),e.eatWhile(/}/),l.beforeParams=!0,"builtin";if(r.test(d))return e.eatWhile(r),"comment";e.eatWhile(/[\w\$_{}\xa1-\uffff]/);var p=e.current().toLowerCase();return t&&t.propertyIsEnumerable(p)?"keyword":n&&n.propertyIsEnumerable(p)?(l.beforeParams=!0,"keyword"):null}return i(e,l,(c=d,function(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if(n==c&&!r){i=!0;break}r=!r&&"\\"==n}return i&&(t.tokenize=o),"string"}))}function a(e,t){for(var n,r=!1;n=e.next();){if("#"==n&&r){t.tokenize=o;break}r="*"==n}return"comment"}function s(e,t){for(var n,r=0;n=e.next();){if("#"==n&&2==r){t.tokenize=o;break}"]"==n?r++:" "!=n&&(r=0)}return"meta"}return{startState:function(){return{tokenize:o,beforeParams:!1,inParams:!1}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},lineComment:"#"}})),e.defineMIME("text/x-tcl","tcl")}(n(23326))},17028:(e,t,n)=>{!function(e){"use strict";var t={addition:"positive",attributes:"attribute",bold:"strong",cite:"keyword",code:"atom",definitionList:"number",deletion:"negative",div:"punctuation",em:"em",footnote:"variable",footCite:"qualifier",header:"header",html:"comment",image:"string",italic:"em",link:"link",linkDefinition:"link",list1:"variable-2",list2:"variable-3",list3:"keyword",notextile:"string-2",pre:"operator",p:"property",quote:"bracket",span:"quote",specialChar:"tag",strong:"strong",sub:"builtin",sup:"builtin",table:"variable-3",tableHeading:"operator"};function n(e,n,o){if("_"===o)return e.eat("_")?r(e,n,"italic",/__/,2):r(e,n,"em",/_/,1);if("*"===o)return e.eat("*")?r(e,n,"bold",/\*\*/,2):r(e,n,"strong",/\*/,1);if("["===o)return e.match(/\d+\]/)&&(n.footCite=!0),i(n);if("("===o&&e.match(/^(r|tm|c)\)/))return a(n,t.specialChar);if("<"===o&&e.match(/(\w+)[^>]+>[^<]+<\/\1>/))return a(n,t.html);if("?"===o&&e.eat("?"))return r(e,n,"cite",/\?\?/,2);if("="===o&&e.eat("="))return r(e,n,"notextile",/==/,2);if("-"===o&&!e.eat("-"))return r(e,n,"deletion",/-/,1);if("+"===o)return r(e,n,"addition",/\+/,1);if("~"===o)return r(e,n,"sub",/~/,1);if("^"===o)return r(e,n,"sup",/\^/,1);if("%"===o)return r(e,n,"span",/%/,1);if("@"===o)return r(e,n,"code",/@/,1);if("!"===o){var s=r(e,n,"image",/(?:\([^\)]+\))?!/,1);return e.match(/^:\S+/),s}return i(n)}function r(e,t,n,r,o){var a=e.pos>o?e.string.charAt(e.pos-o-1):null,s=e.peek();if(t[n]){if((!s||/\W/.test(s))&&a&&/\S/.test(a)){var l=i(t);return t[n]=!1,l}}else(!a||/\W/.test(a))&&s&&/\S/.test(s)&&e.match(new RegExp("^.*\\S"+r.source+"(?:\\W|$)"),!1)&&(t[n]=!0,t.mode=c.attributes);return i(t)}function i(e){var n=o(e);if(n)return n;var r=[];return e.layoutType&&r.push(t[e.layoutType]),r=r.concat(function(e){for(var n=[],r=1;r<arguments.length;++r)e[arguments[r]]&&n.push(t[arguments[r]]);return n}(e,"addition","bold","cite","code","deletion","em","footCite","image","italic","link","span","strong","sub","sup","table","tableHeading")),"header"===e.layoutType&&r.push(t.header+"-"+e.header),r.length?r.join(" "):null}function o(e){var n=e.layoutType;switch(n){case"notextile":case"code":case"pre":return t[n];default:return e.notextile?t.notextile+(n?" "+t[n]:""):null}}function a(e,t){var n=o(e);if(n)return n;var r=i(e);return t?r?r+" "+t:t:r}function s(e){var t=e.spanningLayout,n=e.layoutType;for(var r in e)e.hasOwnProperty(r)&&delete e[r];e.mode=c.newLayout,t&&(e.layoutType=n,e.spanningLayout=!0)}var l={cache:{},single:{bc:"bc",bq:"bq",definitionList:/- .*?:=+/,definitionListEnd:/.*=:\s*$/,div:"div",drawTable:/\|.*\|/,foot:/fn\d+/,header:/h[1-6]/,html:/\s*<(?:\/)?(\w+)(?:[^>]+)?>(?:[^<]+<\/\1>)?/,link:/[^"]+":\S/,linkDefinition:/\[[^\s\]]+\]\S+/,list:/(?:#+|\*+)/,notextile:"notextile",para:"p",pre:"pre",table:"table",tableCellAttributes:/[\/\\]\d+/,tableHeading:/\|_\./,tableText:/[^"_\*\[\(\?\+~\^%@|-]+/,text:/[^!"_=\*\[\(<\?\+~\^%@-]+/},attributes:{align:/(?:<>|<|>|=)/,selector:/\([^\(][^\)]+\)/,lang:/\[[^\[\]]+\]/,pad:/(?:\(+|\)+){1,2}/,css:/\{[^\}]+\}/},createRe:function(e){switch(e){case"drawTable":return l.makeRe("^",l.single.drawTable,"$");case"html":return l.makeRe("^",l.single.html,"(?:",l.single.html,")*","$");case"linkDefinition":return l.makeRe("^",l.single.linkDefinition,"$");case"listLayout":return l.makeRe("^",l.single.list,u("allAttributes"),"*\\s+");case"tableCellAttributes":return l.makeRe("^",l.choiceRe(l.single.tableCellAttributes,u("allAttributes")),"+\\.");case"type":return l.makeRe("^",u("allTypes"));case"typeLayout":return l.makeRe("^",u("allTypes"),u("allAttributes"),"*\\.\\.?","(\\s+|$)");case"attributes":return l.makeRe("^",u("allAttributes"),"+");case"allTypes":return l.choiceRe(l.single.div,l.single.foot,l.single.header,l.single.bc,l.single.bq,l.single.notextile,l.single.pre,l.single.table,l.single.para);case"allAttributes":return l.choiceRe(l.attributes.selector,l.attributes.css,l.attributes.lang,l.attributes.align,l.attributes.pad);default:return l.makeRe("^",l.single[e])}},makeRe:function(){for(var e="",t=0;t<arguments.length;++t){var n=arguments[t];e+="string"==typeof n?n:n.source}return new RegExp(e)},choiceRe:function(){for(var e=[arguments[0]],t=1;t<arguments.length;++t)e[2*t-1]="|",e[2*t]=arguments[t];return e.unshift("(?:"),e.push(")"),l.makeRe.apply(null,e)}};function u(e){return l.cache[e]||(l.cache[e]=l.createRe(e))}var c={newLayout:function(e,t){return e.match(u("typeLayout"),!1)?(t.spanningLayout=!1,(t.mode=c.blockType)(e,t)):(o(t)||(e.match(u("listLayout"),!1)?n=c.list:e.match(u("drawTable"),!1)?n=c.table:e.match(u("linkDefinition"),!1)?n=c.linkDefinition:e.match(u("definitionList"))?n=c.definitionList:e.match(u("html"),!1)&&(n=c.html)),(t.mode=n||c.text)(e,t));var n},blockType:function(e,t){var n,r;return t.layoutType=null,(n=e.match(u("type")))?((n=(r=n[0]).match(u("header")))?(t.layoutType="header",t.header=parseInt(n[0][1])):r.match(u("bq"))?t.layoutType="quote":r.match(u("bc"))?t.layoutType="code":r.match(u("foot"))?t.layoutType="footnote":r.match(u("notextile"))?t.layoutType="notextile":r.match(u("pre"))?t.layoutType="pre":r.match(u("div"))?t.layoutType="div":r.match(u("table"))&&(t.layoutType="table"),t.mode=c.attributes,i(t)):(t.mode=c.text)(e,t)},text:function(e,t){if(e.match(u("text")))return i(t);var r=e.next();return'"'===r?(t.mode=c.link)(e,t):n(e,t,r)},attributes:function(e,n){return n.mode=c.layoutLength,e.match(u("attributes"))?a(n,t.attributes):i(n)},layoutLength:function(e,t){return e.eat(".")&&e.eat(".")&&(t.spanningLayout=!0),t.mode=c.text,i(t)},list:function(e,t){var n=e.match(u("list"));t.listDepth=n[0].length;var r=(t.listDepth-1)%3;return t.layoutType=r?1===r?"list2":"list3":"list1",t.mode=c.attributes,i(t)},link:function(e,n){return n.mode=c.text,e.match(u("link"))?(e.match(/\S+/),a(n,t.link)):i(n)},linkDefinition:function(e,n){return e.skipToEnd(),a(n,t.linkDefinition)},definitionList:function(e,t){return e.match(u("definitionList")),t.layoutType="definitionList",e.match(/\s*$/)?t.spanningLayout=!0:t.mode=c.attributes,i(t)},html:function(e,n){return e.skipToEnd(),a(n,t.html)},table:function(e,t){return t.layoutType="table",(t.mode=c.tableCell)(e,t)},tableCell:function(e,t){return e.match(u("tableHeading"))?t.tableHeading=!0:e.eat("|"),t.mode=c.tableCellAttributes,i(t)},tableCellAttributes:function(e,n){return n.mode=c.tableText,e.match(u("tableCellAttributes"))?a(n,t.attributes):i(n)},tableText:function(e,t){return e.match(u("tableText"))?i(t):"|"===e.peek()?(t.mode=c.tableCell,i(t)):n(e,t,e.next())}};e.defineMode("textile",(function(){return{startState:function(){return{mode:c.newLayout}},token:function(e,t){return e.sol()&&function(e,t){t.mode=c.newLayout,t.tableHeading=!1,"definitionList"===t.layoutType&&t.spanningLayout&&e.match(u("definitionListEnd"),!1)&&(t.spanningLayout=!1)}(e,t),t.mode(e,t)},blankLine:s}})),e.defineMIME("text/x-textile","textile")}(n(23326))},2362:(e,t,n)=>{!function(e){"use strict";e.defineMode("tiddlywiki",(function(){var e={},t={allTags:!0,closeAll:!0,list:!0,newJournal:!0,newTiddler:!0,permaview:!0,saveChanges:!0,search:!0,slider:!0,tabs:!0,tag:!0,tagging:!0,tags:!0,tiddler:!0,timeline:!0,today:!0,version:!0,option:!0,with:!0,filter:!0},n=/[\w_\-]/i,r=/^\-\-\-\-+$/,i=/^\/\*\*\*$/,o=/^\*\*\*\/$/,a=/^<<<$/,s=/^\/\/\{\{\{$/,l=/^\/\/\}\}\}$/,u=/^<!--\{\{\{-->$/,c=/^<!--\}\}\}-->$/,d=/^\{\{\{$/,p=/^\}\}\}$/,f=/.*?\}\}\}/;function h(e,t,n){return t.tokenize=n,n(e,t)}function m(t,p){var f=t.sol(),m=t.peek();if(p.block=!1,f&&/[<\/\*{}\-]/.test(m)){if(t.match(d))return p.block=!0,h(t,p,y);if(t.match(a))return"quote";if(t.match(i)||t.match(o))return"comment";if(t.match(s)||t.match(l)||t.match(u)||t.match(c))return"comment";if(t.match(r))return"hr"}if(t.next(),f&&/[\/\*!#;:>|]/.test(m)){if("!"==m)return t.skipToEnd(),"header";if("*"==m)return t.eatWhile("*"),"comment";if("#"==m)return t.eatWhile("#"),"comment";if(";"==m)return t.eatWhile(";"),"comment";if(":"==m)return t.eatWhile(":"),"comment";if(">"==m)return t.eatWhile(">"),"quote";if("|"==m)return"header"}if("{"==m&&t.match("{{"))return h(t,p,y);if(/[hf]/i.test(m)&&/[ti]/i.test(t.peek())&&t.match(/\b(ttps?|tp|ile):\/\/[\-A-Z0-9+&@#\/%?=~_|$!:,.;]*[A-Z0-9+&@#\/%=~_|$]/i))return"link";if('"'==m)return"string";if("~"==m)return"brace";if(/[\[\]]/.test(m)&&t.match(m))return"brace";if("@"==m)return t.eatWhile(n),"link";if(/\d/.test(m))return t.eatWhile(/\d/),"number";if("/"==m){if(t.eat("%"))return h(t,p,g);if(t.eat("/"))return h(t,p,b)}if("_"==m&&t.eat("_"))return h(t,p,w);if("-"==m&&t.eat("-")){if(" "!=t.peek())return h(t,p,_);if(" "==t.peek())return"brace"}return"'"==m&&t.eat("'")?h(t,p,v):"<"==m&&t.eat("<")?h(t,p,x):(t.eatWhile(/[\w\$_]/),e.propertyIsEnumerable(t.current())?"keyword":null)}function g(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=m;break}r="%"==n}return"comment"}function v(e,t){for(var n,r=!1;n=e.next();){if("'"==n&&r){t.tokenize=m;break}r="'"==n}return"strong"}function y(e,t){var n=t.block;return n&&e.current()?"comment":!n&&e.match(f)||n&&e.sol()&&e.match(p)?(t.tokenize=m,"comment"):(e.next(),"comment")}function b(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=m;break}r="/"==n}return"em"}function w(e,t){for(var n,r=!1;n=e.next();){if("_"==n&&r){t.tokenize=m;break}r="_"==n}return"underlined"}function _(e,t){for(var n,r=!1;n=e.next();){if("-"==n&&r){t.tokenize=m;break}r="-"==n}return"strikethrough"}function x(e,n){if("<<"==e.current())return"macro";var r=e.next();return r?">"==r&&">"==e.peek()?(e.next(),n.tokenize=m,"macro"):(e.eatWhile(/[\w\$_]/),t.propertyIsEnumerable(e.current())?"keyword":null):(n.tokenize=m,null)}return{startState:function(){return{tokenize:m}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)}}})),e.defineMIME("text/x-tiddlywiki","tiddlywiki")}(n(23326))},19750:(e,t,n)=>{!function(e){"use strict";e.defineMode("tiki",(function(e){function t(e,t,n){return function(i,o){for(;!i.eol();){if(i.match(t)){o.tokenize=r;break}i.next()}return n&&(o.tokenize=n),e}}function n(e){return function(t,n){for(;!t.eol();)t.next();return n.tokenize=r,e}}function r(e,i){function o(t){return i.tokenize=t,t(e,i)}var a=e.sol(),s=e.next();switch(s){case"{":return e.eat("/"),e.eatSpace(),e.eatWhile(/[^\s\u00a0=\"\'\/?(}]/),i.tokenize=u,"tag";case"_":if(e.eat("_"))return o(t("strong","__",r));break;case"'":if(e.eat("'"))return o(t("em","''",r));break;case"(":if(e.eat("("))return o(t("variable-2","))",r));break;case"[":return o(t("variable-3","]",r));case"|":if(e.eat("|"))return o(t("comment","||"));break;case"-":if(e.eat("="))return o(t("header string","=-",r));if(e.eat("-"))return o(t("error tw-deleted","--",r));break;case"=":if(e.match("=="))return o(t("tw-underline","===",r));break;case":":if(e.eat(":"))return o(t("comment","::"));break;case"^":return o(t("tw-box","^"));case"~":if(e.match("np~"))return o(t("meta","~/np~"))}if(a)switch(s){case"!":return e.match("!!!!!")||e.match("!!!!")||e.match("!!!")||e.match("!!"),o(n("header string"));case"*":case"#":case"+":return o(n("tw-listitem bracket"))}return null}var i,o,a,s,l=e.indentUnit;function u(e,t){var n,i=e.next(),a=e.peek();return"}"==i?(t.tokenize=r,"tag"):"("==i||")"==i?"bracket":"="==i?(o="equals",">"==a&&(e.next(),a=e.peek()),/[\'\"]/.test(a)||(t.tokenize=function(e,t){for(;!e.eol();){var n=e.next(),r=e.peek();if(" "==n||","==n||/[ )}]/.test(r)){t.tokenize=u;break}}return"string"}),"operator"):/[\'\"]/.test(i)?(t.tokenize=(n=i,function(e,t){for(;!e.eol();)if(e.next()==n){t.tokenize=u;break}return"string"}),t.tokenize(e,t)):(e.eatWhile(/[^\s\u00a0=\"\'\/?]/),"keyword")}function c(){for(var e=arguments.length-1;e>=0;e--)a.cc.push(arguments[e])}function d(){return c.apply(null,arguments),!0}function p(e,t){var n=a.context&&a.context.noIndent;a.context={prev:a.context,pluginName:e,indent:a.indented,startOfLine:t,noIndent:n}}function f(){a.context&&(a.context=a.context.prev)}function h(e){if("openPlugin"==e)return a.pluginName=i,d(m,(t=a.startOfLine,function(e){return"selfclosePlugin"==e||"endPlugin"==e?d():"endPlugin"==e?(p(a.pluginName,t),d()):d()}));var t;if("closePlugin"==e){var n=!1;return a.context?(n=a.context.pluginName!=i,f()):n=!0,n&&(s="error"),d(function(e){return function(t){return e&&(s="error"),"endPlugin"==t?d():c()}}(n))}return"string"==e?(a.context&&"!cdata"==a.context.name||p("!cdata"),a.tokenize==r&&f(),d()):d()}function m(e){return"keyword"==e?(s="attribute",d(m)):"equals"==e?d(g,m):c()}function g(e){return"keyword"==e?(s="string",d()):"string"==e?d(v):c()}function v(e){return"string"==e?d(v):c()}return{startState:function(){return{tokenize:r,cc:[],indented:0,startOfLine:!0,pluginName:null,context:null}},token:function(e,t){if(e.sol()&&(t.startOfLine=!0,t.indented=e.indentation()),e.eatSpace())return null;s=o=i=null;var n=t.tokenize(e,t);if((n||o)&&"comment"!=n)for(a=t;!(t.cc.pop()||h)(o||n););return t.startOfLine=!1,s||n},indent:function(e,t){var n=e.context;if(n&&n.noIndent)return 0;for(n&&/^{\//.test(t)&&(n=n.prev);n&&!n.startOfLine;)n=n.prev;return n?n.indent+l:0},electricChars:"/"}})),e.defineMIME("text/tiki","tiki")}(n(23326))},218:(e,t,n)=>{!function(e){"use strict";e.defineMode("toml",(function(){return{startState:function(){return{inString:!1,stringType:"",lhs:!0,inArray:0}},token:function(e,t){if(t.inString||'"'!=e.peek()&&"'"!=e.peek()||(t.stringType=e.peek(),e.next(),t.inString=!0),e.sol()&&0===t.inArray&&(t.lhs=!0),t.inString){for(;t.inString&&!e.eol();)e.peek()===t.stringType?(e.next(),t.inString=!1):"\\"===e.peek()?(e.next(),e.next()):e.match(/^.[^\\\"\']*/);return t.lhs?"property string":"string"}return t.inArray&&"]"===e.peek()?(e.next(),t.inArray--,"bracket"):t.lhs&&"["===e.peek()&&e.skipTo("]")?(e.next(),"]"===e.peek()&&e.next(),"atom"):"#"===e.peek()?(e.skipToEnd(),"comment"):e.eatSpace()?null:t.lhs&&e.eatWhile((function(e){return"="!=e&&" "!=e}))?"property":t.lhs&&"="===e.peek()?(e.next(),t.lhs=!1,null):!t.lhs&&e.match(/^\d\d\d\d[\d\-\:\.T]*Z/)?"atom":t.lhs||!e.match("true")&&!e.match("false")?t.lhs||"["!==e.peek()?!t.lhs&&e.match(/^\-?\d+(?:\.\d+)?/)?"number":(e.eatSpace()||e.next(),null):(t.inArray++,e.next(),"bracket"):"atom"}}})),e.defineMIME("text/x-toml","toml")}(n(23326))},87815:(e,t,n)=>{!function(e){"use strict";e.defineMode("tornado:inner",(function(){var e=["and","as","assert","autoescape","block","break","class","comment","context","continue","datetime","def","del","elif","else","end","escape","except","exec","extends","false","finally","for","from","global","if","import","in","include","is","json_encode","lambda","length","linkify","load","module","none","not","or","pass","print","put","raise","raw","return","self","set","squeeze","super","true","try","url_escape","while","with","without","xhtml_escape","yield"];function t(n,r){n.eatWhile(/[^\{]/);var i,o=n.next();if("{"==o&&(o=n.eat(/\{|%|#/)))return r.tokenize=("{"==(i=o)&&(i="}"),function(n,r){return n.next()==i&&n.eat("}")?(r.tokenize=t,"tag"):n.match(e)?"keyword":"#"==i?"comment":"string"}),"tag"}return e=new RegExp("^(("+e.join(")|(")+"))\\b"),{startState:function(){return{tokenize:t}},token:function(e,t){return t.tokenize(e,t)}}})),e.defineMode("tornado",(function(t){var n=e.getMode(t,"text/html"),r=e.getMode(t,"tornado:inner");return e.overlayMode(n,r)})),e.defineMIME("text/x-tornado","tornado")}(n(23326),n(38425),n(19962))},40957:(e,t,n)=>{!function(e){"use strict";e.defineMode("troff",(function(){var e={};function t(t){if(t.eatSpace())return null;var n=t.sol(),r=t.next();if("\\"===r)return t.match("fB")||t.match("fR")||t.match("fI")||t.match("u")||t.match("d")||t.match("%")||t.match("&")?"string":t.match("m[")?(t.skipTo("]"),t.next(),"string"):t.match("s+")||t.match("s-")?(t.eatWhile(/[\d-]/),"string"):t.match("(")||t.match("*(")?(t.eatWhile(/[\w-]/),"string"):"string";if(n&&("."===r||"'"===r)&&t.eat("\\")&&t.eat('"'))return t.skipToEnd(),"comment";if(n&&"."===r){if(t.match("B ")||t.match("I ")||t.match("R "))return"attribute";if(t.match("TH ")||t.match("SH ")||t.match("SS ")||t.match("HP "))return t.skipToEnd(),"quote";if(t.match(/[A-Z]/)&&t.match(/[A-Z]/)||t.match(/[a-z]/)&&t.match(/[a-z]/))return"attribute"}t.eatWhile(/[\w-]/);var i=t.current();return e.hasOwnProperty(i)?e[i]:null}function n(e,n){return(n.tokens[0]||t)(e,n)}return{startState:function(){return{tokens:[]}},token:function(e,t){return n(e,t)}}})),e.defineMIME("text/troff","troff"),e.defineMIME("text/x-troff","troff"),e.defineMIME("application/x-troff","troff")}(n(23326))},78175:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}e.defineMode("ttcn-cfg",(function(e,t){var n,r=e.indentUnit,i=t.keywords||{},o=t.fileNCtrlMaskOptions||{},a=t.externalCommands||{},s=t.multiLineStrings,l=!1!==t.indentStatements,u=/[\|]/;function c(e,t){var r,l=e.next();if('"'==l||"'"==l)return t.tokenize=(r=l,function(e,t){for(var n,i=!1,o=!1;null!=(n=e.next());){if(n==r&&!i){var a=e.peek();a&&("b"!=(a=a.toLowerCase())&&"h"!=a&&"o"!=a||e.next()),o=!0;break}i=!i&&"\\"==n}return(o||!i&&!s)&&(t.tokenize=null),"string"}),t.tokenize(e,t);if(/[:=]/.test(l))return n=l,"punctuation";if("#"==l)return e.skipToEnd(),"comment";if(/\d/.test(l))return e.eatWhile(/[\w\.]/),"number";if(u.test(l))return e.eatWhile(u),"operator";if("["==l)return e.eatWhile(/[\w_\]]/),"number sectionTitle";e.eatWhile(/[\w\$_]/);var c=e.current();return i.propertyIsEnumerable(c)?"keyword":o.propertyIsEnumerable(c)?"negative fileNCtrlMaskOptions":a.propertyIsEnumerable(c)?"negative externalCommands":"variable"}function d(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function p(e,t,n){var r=e.indented;return e.context&&"statement"==e.context.type&&(r=e.context.indented),e.context=new d(r,t,n,null,e.context)}function f(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return{startState:function(e){return{tokenize:null,context:new d((e||0)-r,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var r=t.context;if(e.sol()&&(null==r.align&&(r.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;n=null;var i=(t.tokenize||c)(e,t);if("comment"==i)return i;if(null==r.align&&(r.align=!0),";"!=n&&":"!=n&&","!=n||"statement"!=r.type)if("{"==n)p(t,e.column(),"}");else if("["==n)p(t,e.column(),"]");else if("("==n)p(t,e.column(),")");else if("}"==n){for(;"statement"==r.type;)r=f(t);for("}"==r.type&&(r=f(t));"statement"==r.type;)r=f(t)}else n==r.type?f(t):l&&(("}"==r.type||"top"==r.type)&&";"!=n||"statement"==r.type&&"newstatement"==n)&&p(t,e.column(),"statement");else f(t);return t.startOfLine=!1,i},electricChars:"{}",lineComment:"#",fold:"brace"}})),e.defineMIME("text/x-ttcn-cfg",{name:"ttcn-cfg",keywords:t("Yes No LogFile FileMask ConsoleMask AppendFile TimeStampFormat LogEventTypes SourceInfoFormat LogEntityName LogSourceInfo DiskFullAction LogFileNumber LogFileSize MatchingHints Detailed Compact SubCategories Stack Single None Seconds DateTime Time Stop Error Retry Delete TCPPort KillTimer NumHCs UnixSocketsEnabled LocalAddress"),fileNCtrlMaskOptions:t("TTCN_EXECUTOR TTCN_ERROR TTCN_WARNING TTCN_PORTEVENT TTCN_TIMEROP TTCN_VERDICTOP TTCN_DEFAULTOP TTCN_TESTCASE TTCN_ACTION TTCN_USER TTCN_FUNCTION TTCN_STATISTICS TTCN_PARALLEL TTCN_MATCHING TTCN_DEBUG EXECUTOR ERROR WARNING PORTEVENT TIMEROP VERDICTOP DEFAULTOP TESTCASE ACTION USER FUNCTION STATISTICS PARALLEL MATCHING DEBUG LOG_ALL LOG_NOTHING ACTION_UNQUALIFIED DEBUG_ENCDEC DEBUG_TESTPORT DEBUG_UNQUALIFIED DEFAULTOP_ACTIVATE DEFAULTOP_DEACTIVATE DEFAULTOP_EXIT DEFAULTOP_UNQUALIFIED ERROR_UNQUALIFIED EXECUTOR_COMPONENT EXECUTOR_CONFIGDATA EXECUTOR_EXTCOMMAND EXECUTOR_LOGOPTIONS EXECUTOR_RUNTIME EXECUTOR_UNQUALIFIED FUNCTION_RND FUNCTION_UNQUALIFIED MATCHING_DONE MATCHING_MCSUCCESS MATCHING_MCUNSUCC MATCHING_MMSUCCESS MATCHING_MMUNSUCC MATCHING_PCSUCCESS MATCHING_PCUNSUCC MATCHING_PMSUCCESS MATCHING_PMUNSUCC MATCHING_PROBLEM MATCHING_TIMEOUT MATCHING_UNQUALIFIED PARALLEL_PORTCONN PARALLEL_PORTMAP PARALLEL_PTC PARALLEL_UNQUALIFIED PORTEVENT_DUALRECV PORTEVENT_DUALSEND PORTEVENT_MCRECV PORTEVENT_MCSEND PORTEVENT_MMRECV PORTEVENT_MMSEND PORTEVENT_MQUEUE PORTEVENT_PCIN PORTEVENT_PCOUT PORTEVENT_PMIN PORTEVENT_PMOUT PORTEVENT_PQUEUE PORTEVENT_STATE PORTEVENT_UNQUALIFIED STATISTICS_UNQUALIFIED STATISTICS_VERDICT TESTCASE_FINISH TESTCASE_START TESTCASE_UNQUALIFIED TIMEROP_GUARD TIMEROP_READ TIMEROP_START TIMEROP_STOP TIMEROP_TIMEOUT TIMEROP_UNQUALIFIED USER_UNQUALIFIED VERDICTOP_FINAL VERDICTOP_GETVERDICT VERDICTOP_SETVERDICT VERDICTOP_UNQUALIFIED WARNING_UNQUALIFIED"),externalCommands:t("BeginControlPart EndControlPart BeginTestCase EndTestCase"),multiLineStrings:!0})}(n(23326))},95655:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}e.defineMode("ttcn",(function(e,t){var n,r=e.indentUnit,i=t.keywords||{},o=t.builtin||{},a=t.timerOps||{},s=t.portOps||{},l=t.configOps||{},u=t.verdictOps||{},c=t.sutOps||{},d=t.functionOps||{},p=t.verdictConsts||{},f=t.booleanConsts||{},h=t.otherConsts||{},m=t.types||{},g=t.visibilityModifiers||{},v=t.templateMatch||{},y=t.multiLineStrings,b=!1!==t.indentStatements,w=/[+\-*&@=<>!\/]/;function _(e,t){var r,b=e.next();if('"'==b||"'"==b)return t.tokenize=(r=b,function(e,t){for(var n,i=!1,o=!1;null!=(n=e.next());){if(n==r&&!i){var a=e.peek();a&&("b"!=(a=a.toLowerCase())&&"h"!=a&&"o"!=a||e.next()),o=!0;break}i=!i&&"\\"==n}return(o||!i&&!y)&&(t.tokenize=null),"string"}),t.tokenize(e,t);if(/[\[\]{}\(\),;\\:\?\.]/.test(b))return n=b,"punctuation";if("#"==b)return e.skipToEnd(),"atom preprocessor";if("%"==b)return e.eatWhile(/\b/),"atom ttcn3Macros";if(/\d/.test(b))return e.eatWhile(/[\w\.]/),"number";if("/"==b){if(e.eat("*"))return t.tokenize=x,x(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}if(w.test(b))return"@"==b&&(e.match("try")||e.match("catch")||e.match("lazy"))?"keyword":(e.eatWhile(w),"operator");e.eatWhile(/[\w\$_\xa1-\uffff]/);var _=e.current();return i.propertyIsEnumerable(_)?"keyword":o.propertyIsEnumerable(_)?"builtin":a.propertyIsEnumerable(_)?"def timerOps":l.propertyIsEnumerable(_)?"def configOps":u.propertyIsEnumerable(_)?"def verdictOps":s.propertyIsEnumerable(_)?"def portOps":c.propertyIsEnumerable(_)?"def sutOps":d.propertyIsEnumerable(_)?"def functionOps":p.propertyIsEnumerable(_)?"string verdictConsts":f.propertyIsEnumerable(_)?"string booleanConsts":h.propertyIsEnumerable(_)?"string otherConsts":m.propertyIsEnumerable(_)?"builtin types":g.propertyIsEnumerable(_)?"builtin visibilityModifiers":v.propertyIsEnumerable(_)?"atom templateMatch":"variable"}function x(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=null;break}r="*"==n}return"comment"}function k(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function E(e,t,n){var r=e.indented;return e.context&&"statement"==e.context.type&&(r=e.context.indented),e.context=new k(r,t,n,null,e.context)}function C(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return{startState:function(e){return{tokenize:null,context:new k((e||0)-r,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var r=t.context;if(e.sol()&&(null==r.align&&(r.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;n=null;var i=(t.tokenize||_)(e,t);if("comment"==i)return i;if(null==r.align&&(r.align=!0),";"!=n&&":"!=n&&","!=n||"statement"!=r.type)if("{"==n)E(t,e.column(),"}");else if("["==n)E(t,e.column(),"]");else if("("==n)E(t,e.column(),")");else if("}"==n){for(;"statement"==r.type;)r=C(t);for("}"==r.type&&(r=C(t));"statement"==r.type;)r=C(t)}else n==r.type?C(t):b&&(("}"==r.type||"top"==r.type)&&";"!=n||"statement"==r.type&&"newstatement"==n)&&E(t,e.column(),"statement");else C(t);return t.startOfLine=!1,i},electricChars:"{}",blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//",fold:"brace"}})),function(t,n){"string"==typeof t&&(t=[t]);var r=[];function i(e){if(e)for(var t in e)e.hasOwnProperty(t)&&r.push(t)}i(n.keywords),i(n.builtin),i(n.timerOps),i(n.portOps),r.length&&(n.helperType=t[0],e.registerHelper("hintWords",t[0],r));for(var o=0;o<t.length;++o)e.defineMIME(t[o],n)}(["text/x-ttcn","text/x-ttcn3","text/x-ttcnpp"],{name:"ttcn",keywords:t("activate address alive all alt altstep and and4b any break case component const continue control deactivate display do else encode enumerated except exception execute extends extension external for from function goto group if import in infinity inout interleave label language length log match message mixed mod modifies module modulepar mtc noblock not not4b nowait of on optional or or4b out override param pattern port procedure record recursive rem repeat return runs select self sender set signature system template testcase to type union value valueof var variant while with xor xor4b"),builtin:t("bit2hex bit2int bit2oct bit2str char2int char2oct encvalue decomp decvalue float2int float2str hex2bit hex2int hex2oct hex2str int2bit int2char int2float int2hex int2oct int2str int2unichar isbound ischosen ispresent isvalue lengthof log2str oct2bit oct2char oct2hex oct2int oct2str regexp replace rnd sizeof str2bit str2float str2hex str2int str2oct substr unichar2int unichar2char enum2int"),types:t("anytype bitstring boolean char charstring default float hexstring integer objid octetstring universal verdicttype timer"),timerOps:t("read running start stop timeout"),portOps:t("call catch check clear getcall getreply halt raise receive reply send trigger"),configOps:t("create connect disconnect done kill killed map unmap"),verdictOps:t("getverdict setverdict"),sutOps:t("action"),functionOps:t("apply derefers refers"),verdictConsts:t("error fail inconc none pass"),booleanConsts:t("true false"),otherConsts:t("null NULL omit"),visibilityModifiers:t("private public friend"),templateMatch:t("complement ifpresent subset superset permutation"),multiLineStrings:!0})}(n(23326))},42944:(e,t,n)=>{!function(e){"use strict";e.defineMode("turtle",(function(e){var t,n=e.indentUnit;function r(e){return new RegExp("^(?:"+e.join("|")+")$","i")}r([]);var i=r(["@prefix","@base","a"]),o=/[*+\-<>=&|]/;function a(e,n){var r,s=e.next();if(t=null,"<"!=s||e.match(/^[\s\u00a0=]/,!1)){if('"'==s||"'"==s)return n.tokenize=(r=s,function(e,t){for(var n,i=!1;null!=(n=e.next());){if(n==r&&!i){t.tokenize=a;break}i=!i&&"\\"==n}return"string"}),n.tokenize(e,n);if(/[{}\(\),\.;\[\]]/.test(s))return t=s,null;if("#"==s)return e.skipToEnd(),"comment";if(o.test(s))return e.eatWhile(o),null;if(":"==s)return"operator";if(e.eatWhile(/[_\w\d]/),":"==e.peek())return"variable-3";var l=e.current();return i.test(l)?"meta":s>="A"&&s<="Z"?"comment":"keyword"}return e.match(/^[^\s\u00a0>]*>?/),"atom"}function s(e,t,n){e.context={prev:e.context,indent:e.indent,col:n,type:t}}function l(e){e.indent=e.context.indent,e.context=e.context.prev}return{startState:function(){return{tokenize:a,context:null,indent:0,col:0}},token:function(e,n){if(e.sol()&&(n.context&&null==n.context.align&&(n.context.align=!1),n.indent=e.indentation()),e.eatSpace())return null;var r=n.tokenize(e,n);if("comment"!=r&&n.context&&null==n.context.align&&"pattern"!=n.context.type&&(n.context.align=!0),"("==t)s(n,")",e.column());else if("["==t)s(n,"]",e.column());else if("{"==t)s(n,"}",e.column());else if(/[\]\}\)]/.test(t)){for(;n.context&&"pattern"==n.context.type;)l(n);n.context&&t==n.context.type&&l(n)}else"."==t&&n.context&&"pattern"==n.context.type?l(n):/atom|string|variable/.test(r)&&n.context&&(/[\}\]]/.test(n.context.type)?s(n,"pattern",e.column()):"pattern"!=n.context.type||n.context.align||(n.context.align=!0,n.context.col=e.column()));return r},indent:function(e,t){var r=t&&t.charAt(0),i=e.context;if(/[\]\}]/.test(r))for(;i&&"pattern"==i.type;)i=i.prev;var o=i&&r==i.type;return i?"pattern"==i.type?i.col:i.align?i.col+(o?0:1):i.indent+(o?0:n):0},lineComment:"#"}})),e.defineMIME("text/turtle","turtle")}(n(23326))},21649:(e,t,n)=>{!function(e){"use strict";e.defineMode("twig:inner",(function(){var e=["and","as","autoescape","endautoescape","block","do","endblock","else","elseif","extends","for","endfor","embed","endembed","filter","endfilter","flush","from","if","endif","in","is","include","import","not","or","set","spaceless","endspaceless","with","endwith","trans","endtrans","blocktrans","endblocktrans","macro","endmacro","use","verbatim","endverbatim"],t=/^[+\-*&%=<>!?|~^]/,n=/^[:\[\(\{]/,r=["true","false","null","empty","defined","divisibleby","divisible by","even","odd","iterable","sameas","same as"],i=/^(\d[+\-\*\/])?\d+(\.\d+)?/;return e=new RegExp("(("+e.join(")|(")+"))\\b"),r=new RegExp("(("+r.join(")|(")+"))\\b"),{startState:function(){return{}},token:function(o,a){return function(o,a){var s=o.peek();if(a.incomment)return o.skipTo("#}")?(o.eatWhile(/\#|}/),a.incomment=!1):o.skipToEnd(),"comment";if(a.intag){if(a.operator){if(a.operator=!1,o.match(r))return"atom";if(o.match(i))return"number"}if(a.sign){if(a.sign=!1,o.match(r))return"atom";if(o.match(i))return"number"}if(a.instring)return s==a.instring&&(a.instring=!1),o.next(),"string";if("'"==s||'"'==s)return a.instring=s,o.next(),"string";if(o.match(a.intag+"}")||o.eat("-")&&o.match(a.intag+"}"))return a.intag=!1,"tag";if(o.match(t))return a.operator=!0,"operator";if(o.match(n))a.sign=!0;else if(o.eat(" ")||o.sol()){if(o.match(e))return"keyword";if(o.match(r))return"atom";if(o.match(i))return"number";o.sol()&&o.next()}else o.next();return"variable"}if(o.eat("{")){if(o.eat("#"))return a.incomment=!0,o.skipTo("#}")?(o.eatWhile(/\#|}/),a.incomment=!1):o.skipToEnd(),"comment";if(s=o.eat(/\{|%/))return a.intag=s,"{"==s&&(a.intag="}"),o.eat("-"),"tag"}o.next()}(o,a)}}})),e.defineMode("twig",(function(t,n){var r=e.getMode(t,"twig:inner");return n&&n.base?e.multiplexingMode(e.getMode(t,n.base),{open:/\{[{#%]/,close:/[}#%]\}/,mode:r,parseDelimiters:!0}):r})),e.defineMIME("text/x-twig","twig")}(n(23326),n(15718))},35053:(e,t,n)=>{!function(e){"use strict";e.defineMode("vb",(function(t,n){var r="error";function i(e){return new RegExp("^(("+e.join(")|(")+"))\\b","i")}var o=new RegExp("^[\\+\\-\\*/%&\\\\|\\^~<>!]"),a=new RegExp("^[\\(\\)\\[\\]\\{\\}@,:`=;\\.]"),s=new RegExp("^((==)|(<>)|(<=)|(>=)|(<>)|(<<)|(>>)|(//)|(\\*\\*))"),l=new RegExp("^((\\+=)|(\\-=)|(\\*=)|(%=)|(/=)|(&=)|(\\|=)|(\\^=))"),u=new RegExp("^((//=)|(>>=)|(<<=)|(\\*\\*=))"),c=new RegExp("^[_A-Za-z][_A-Za-z0-9]*"),d=["class","module","sub","enum","select","while","if","function","get","set","property","try","structure","synclock","using","with"],p=["else","elseif","case","catch","finally"],f=["next","loop"],h=["and","andalso","or","orelse","xor","in","not","is","isnot","like"],m=i(h),g=["#const","#else","#elseif","#end","#if","#region","addhandler","addressof","alias","as","byref","byval","cbool","cbyte","cchar","cdate","cdbl","cdec","cint","clng","cobj","compare","const","continue","csbyte","cshort","csng","cstr","cuint","culng","cushort","declare","default","delegate","dim","directcast","each","erase","error","event","exit","explicit","false","for","friend","gettype","goto","handles","implements","imports","infer","inherits","interface","isfalse","istrue","lib","me","mod","mustinherit","mustoverride","my","mybase","myclass","namespace","narrowing","new","nothing","notinheritable","notoverridable","of","off","on","operator","option","optional","out","overloads","overridable","overrides","paramarray","partial","private","protected","public","raiseevent","readonly","redim","removehandler","resume","return","shadows","shared","static","step","stop","strict","then","throw","to","true","trycast","typeof","until","until","when","widening","withevents","writeonly"],v=["object","boolean","char","string","byte","sbyte","short","ushort","int16","uint16","integer","uinteger","int32","uint32","long","ulong","int64","uint64","decimal","single","double","float","date","datetime","intptr","uintptr"],y=i(g),b=i(v),w='"',_=i(d),x=i(p),k=i(f),E=i(["end"]),C=i(["do"]);function S(e,t){t.currentIndent++}function A(e,t){t.currentIndent--}function T(e,t){if(e.eatSpace())return null;if("'"===e.peek())return e.skipToEnd(),"comment";if(e.match(/^((&H)|(&O))?[0-9\.a-f]/i,!1)){var i=!1;if((e.match(/^\d*\.\d+F?/i)||e.match(/^\d+\.\d*F?/)||e.match(/^\.\d+F?/))&&(i=!0),i)return e.eat(/J/i),"number";var d=!1;if(e.match(/^&H[0-9a-f]+/i)||e.match(/^&O[0-7]+/i)?d=!0:e.match(/^[1-9]\d*F?/)?(e.eat(/J/i),d=!0):e.match(/^0(?![\dx])/i)&&(d=!0),d)return e.eat(/L/i),"number"}return e.match(w)?(t.tokenize=(p=e.current(),f=1==p.length,h="string",function(e,t){for(;!e.eol();){if(e.eatWhile(/[^'"]/),e.match(p))return t.tokenize=T,h;e.eat(/['"]/)}if(f){if(n.singleLineStringErrors)return r;t.tokenize=T}return h}),t.tokenize(e,t)):e.match(u)||e.match(l)?null:e.match(s)||e.match(o)||e.match(m)?"operator":e.match(a)?null:e.match(C)?(S(0,t),t.doInCurrentLine=!0,"keyword"):e.match(_)?(t.doInCurrentLine?t.doInCurrentLine=!1:S(0,t),"keyword"):e.match(x)?"keyword":e.match(E)?(A(0,t),A(0,t),"keyword"):e.match(k)?(A(0,t),"keyword"):e.match(b)||e.match(y)?"keyword":e.match(c)?"variable":(e.next(),r);var p,f,h}return e.registerHelper("hintWords","vb",d.concat(p).concat(f).concat(h).concat(g).concat(v)),{electricChars:"dDpPtTfFeE ",startState:function(){return{tokenize:T,lastToken:null,currentIndent:0,nextLineIndent:0,doInCurrentLine:!1}},token:function(e,t){e.sol()&&(t.currentIndent+=t.nextLineIndent,t.nextLineIndent=0,t.doInCurrentLine=0);var n=function(e,t){var n=t.tokenize(e,t),i=e.current();if("."===i)return"variable"===(n=t.tokenize(e,t))?"variable":r;var o="[({".indexOf(i);return-1!==o&&S(0,t),-1!==(o="])}".indexOf(i))&&A(0,t)?r:n}(e,t);return t.lastToken={style:n,content:e.current()},n},indent:function(e,n){var r=n.replace(/^\s+|\s+$/g,"");return r.match(k)||r.match(E)||r.match(x)?t.indentUnit*(e.currentIndent-1):e.currentIndent<0?0:e.currentIndent*t.indentUnit},lineComment:"'"}})),e.defineMIME("text/x-vb","vb")}(n(23326))},29048:(e,t,n)=>{!function(e){"use strict";e.defineMode("vbscript",(function(e,t){var n="error";function r(e){return new RegExp("^(("+e.join(")|(")+"))\\b","i")}var i=new RegExp("^[\\+\\-\\*/&\\\\\\^<>=]"),o=new RegExp("^((<>)|(<=)|(>=))"),a=new RegExp("^[\\.,]"),s=new RegExp("^[\\(\\)]"),l=new RegExp("^[A-Za-z][_A-Za-z0-9]*"),u=r(["and","or","not","xor","is","mod","eqv","imp"]),c=["WScript","err","debug","RegExp"],d=["clear","execute","raise","replace","test","write","writeline","close","open","state","eof","update","addnew","end","createobject","quit"].concat(["description","firstindex","global","helpcontext","helpfile","ignorecase","length","number","pattern","source","value","count"]);c=c.concat(["vbBlack","vbRed","vbGreen","vbYellow","vbBlue","vbMagenta","vbCyan","vbWhite","vbBinaryCompare","vbTextCompare","vbSunday","vbMonday","vbTuesday","vbWednesday","vbThursday","vbFriday","vbSaturday","vbUseSystemDayOfWeek","vbFirstJan1","vbFirstFourDays","vbFirstFullWeek","vbGeneralDate","vbLongDate","vbShortDate","vbLongTime","vbShortTime","vbObjectError","vbOKOnly","vbOKCancel","vbAbortRetryIgnore","vbYesNoCancel","vbYesNo","vbRetryCancel","vbCritical","vbQuestion","vbExclamation","vbInformation","vbDefaultButton1","vbDefaultButton2","vbDefaultButton3","vbDefaultButton4","vbApplicationModal","vbSystemModal","vbOK","vbCancel","vbAbort","vbRetry","vbIgnore","vbYes","vbNo","vbCr","VbCrLf","vbFormFeed","vbLf","vbNewLine","vbNullChar","vbNullString","vbTab","vbVerticalTab","vbUseDefault","vbTrue","vbFalse","vbEmpty","vbNull","vbInteger","vbLong","vbSingle","vbDouble","vbCurrency","vbDate","vbString","vbObject","vbError","vbBoolean","vbVariant","vbDataObject","vbDecimal","vbByte","vbArray"]),e.isASP&&(c=c.concat(["server","response","request","session","application"]),d=d.concat(["addheader","appendtolog","binarywrite","end","flush","redirect","binaryread","remove","removeall","lock","unlock","abandon","getlasterror","htmlencode","mappath","transfer","urlencode"],["buffer","cachecontrol","charset","contenttype","expires","expiresabsolute","isclientconnected","pics","status","clientcertificate","cookies","form","querystring","servervariables","totalbytes","contents","staticobjects","codepage","lcid","sessionid","timeout","scripttimeout"]));var p=r(["dim","redim","then","until","randomize","byval","byref","new","property","exit","in","const","private","public","get","set","let","stop","on error resume next","on error goto 0","option explicit","call","me"]),f=r(["true","false","nothing","empty","null"]),h=r(["abs","array","asc","atn","cbool","cbyte","ccur","cdate","cdbl","chr","cint","clng","cos","csng","cstr","date","dateadd","datediff","datepart","dateserial","datevalue","day","escape","eval","execute","exp","filter","formatcurrency","formatdatetime","formatnumber","formatpercent","getlocale","getobject","getref","hex","hour","inputbox","instr","instrrev","int","fix","isarray","isdate","isempty","isnull","isnumeric","isobject","join","lbound","lcase","left","len","loadpicture","log","ltrim","rtrim","trim","maths","mid","minute","month","monthname","msgbox","now","oct","replace","rgb","right","rnd","round","scriptengine","scriptenginebuildversion","scriptenginemajorversion","scriptengineminorversion","second","setlocale","sgn","sin","space","split","sqr","strcomp","string","strreverse","tan","time","timer","timeserial","timevalue","typename","ubound","ucase","unescape","vartype","weekday","weekdayname","year"]),m=r(c),g=r(d),v='"',y=r(["class","sub","select","while","if","function","property","with","for"]),b=r(["else","elseif","case"]),w=r(["next","loop","wend"]),_=r(["end"]),x=r(["do"]),k=r(["on error resume next","exit"]),E=r(["rem"]);function C(e,t){t.currentIndent++}function S(e,t){t.currentIndent--}function A(e,r){if(e.eatSpace())return"space";if("'"===e.peek())return e.skipToEnd(),"comment";if(e.match(E))return e.skipToEnd(),"comment";if(e.match(/^((&H)|(&O))?[0-9\.]/i,!1)&&!e.match(/^((&H)|(&O))?[0-9\.]+[a-z_]/i,!1)){var c=!1;if((e.match(/^\d*\.\d+/i)||e.match(/^\d+\.\d*/)||e.match(/^\.\d+/))&&(c=!0),c)return e.eat(/J/i),"number";var d=!1;if(e.match(/^&H[0-9a-f]+/i)||e.match(/^&O[0-7]+/i)?d=!0:e.match(/^[1-9]\d*F?/)?(e.eat(/J/i),d=!0):e.match(/^0(?![\dx])/i)&&(d=!0),d)return e.eat(/L/i),"number"}return e.match(v)?(r.tokenize=(T=e.current(),O=1==T.length,P="string",function(e,r){for(;!e.eol();){if(e.eatWhile(/[^'"]/),e.match(T))return r.tokenize=A,P;e.eat(/['"]/)}if(O){if(t.singleLineStringErrors)return n;r.tokenize=A}return P}),r.tokenize(e,r)):e.match(o)||e.match(i)||e.match(u)?"operator":e.match(a)?null:e.match(s)?"bracket":e.match(k)?(r.doInCurrentLine=!0,"keyword"):e.match(x)?(C(0,r),r.doInCurrentLine=!0,"keyword"):e.match(y)?(r.doInCurrentLine?r.doInCurrentLine=!1:C(0,r),"keyword"):e.match(b)?"keyword":e.match(_)?(S(0,r),S(0,r),"keyword"):e.match(w)?(r.doInCurrentLine?r.doInCurrentLine=!1:S(0,r),"keyword"):e.match(p)?"keyword":e.match(f)?"atom":e.match(g)?"variable-2":e.match(h)?"builtin":e.match(m)?"variable-2":e.match(l)?"variable":(e.next(),n);var T,O,P}return{electricChars:"dDpPtTfFeE ",startState:function(){return{tokenize:A,lastToken:null,currentIndent:0,nextLineIndent:0,doInCurrentLine:!1,ignoreKeyword:!1}},token:function(e,t){e.sol()&&(t.currentIndent+=t.nextLineIndent,t.nextLineIndent=0,t.doInCurrentLine=0);var r=function(e,t){var r=t.tokenize(e,t),i=e.current();return"."===i?(r=t.tokenize(e,t),i=e.current(),!r||"variable"!==r.substr(0,8)&&"builtin"!==r&&"keyword"!==r?n:("builtin"!==r&&"keyword"!==r||(r="variable"),d.indexOf(i.substr(1))>-1&&(r="variable-2"),r)):r}(e,t);return t.lastToken={style:r,content:e.current()},"space"===r&&(r=null),r},indent:function(t,n){var r=n.replace(/^\s+|\s+$/g,"");return r.match(w)||r.match(_)||r.match(b)?e.indentUnit*(t.currentIndent-1):t.currentIndent<0?0:t.currentIndent*e.indentUnit}}})),e.defineMIME("text/vbscript","vbscript")}(n(23326))},8989:(e,t,n)=>{!function(e){"use strict";e.defineMode("velocity",(function(){function e(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var t=e("#end #else #break #stop #[[ #]] #{end} #{else} #{break} #{stop}"),n=e("#if #elseif #foreach #set #include #parse #macro #define #evaluate #{if} #{elseif} #{foreach} #{set} #{include} #{parse} #{macro} #{define} #{evaluate}"),r=e("$foreach.count $foreach.hasNext $foreach.first $foreach.last $foreach.topmost $foreach.parent.count $foreach.parent.hasNext $foreach.parent.first $foreach.parent.last $foreach.parent $velocityCount $!bodyContent $bodyContent"),i=/[+\-*&%=<>!?:\/|]/;function o(e,t,n){return t.tokenize=n,n(e,t)}function a(e,a){var c=a.beforeParams;a.beforeParams=!1;var d=e.next();if("'"==d&&!a.inString&&a.inParams)return a.lastTokenWasBuiltin=!1,o(e,a,s(d));if('"'!=d){if(/[\[\]{}\(\),;\.]/.test(d))return"("==d&&c?a.inParams=!0:")"==d&&(a.inParams=!1,a.lastTokenWasBuiltin=!0),null;if(/\d/.test(d))return a.lastTokenWasBuiltin=!1,e.eatWhile(/[\w\.]/),"number";if("#"==d&&e.eat("*"))return a.lastTokenWasBuiltin=!1,o(e,a,l);if("#"==d&&e.match(/ *\[ *\[/))return a.lastTokenWasBuiltin=!1,o(e,a,u);if("#"==d&&e.eat("#"))return a.lastTokenWasBuiltin=!1,e.skipToEnd(),"comment";if("$"==d)return e.eat("!"),e.eatWhile(/[\w\d\$_\.{}-]/),r&&r.propertyIsEnumerable(e.current())?"keyword":(a.lastTokenWasBuiltin=!0,a.beforeParams=!0,"builtin");if(i.test(d))return a.lastTokenWasBuiltin=!1,e.eatWhile(i),"operator";e.eatWhile(/[\w\$_{}@]/);var p=e.current();return t&&t.propertyIsEnumerable(p)?"keyword":n&&n.propertyIsEnumerable(p)||e.current().match(/^#@?[a-z0-9_]+ *$/i)&&"("==e.peek()&&(!n||!n.propertyIsEnumerable(p.toLowerCase()))?(a.beforeParams=!0,a.lastTokenWasBuiltin=!1,"keyword"):a.inString?(a.lastTokenWasBuiltin=!1,"string"):e.pos>p.length&&"."==e.string.charAt(e.pos-p.length-1)&&a.lastTokenWasBuiltin?"builtin":(a.lastTokenWasBuiltin=!1,null)}return a.lastTokenWasBuiltin=!1,a.inString?(a.inString=!1,"string"):a.inParams?o(e,a,s(d)):void 0}function s(e){return function(t,n){for(var r,i=!1,o=!1;null!=(r=t.next());){if(r==e&&!i){o=!0;break}if('"'==e&&"$"==t.peek()&&!i){n.inString=!0,o=!0;break}i=!i&&"\\"==r}return o&&(n.tokenize=a),"string"}}function l(e,t){for(var n,r=!1;n=e.next();){if("#"==n&&r){t.tokenize=a;break}r="*"==n}return"comment"}function u(e,t){for(var n,r=0;n=e.next();){if("#"==n&&2==r){t.tokenize=a;break}"]"==n?r++:" "!=n&&(r=0)}return"meta"}return{startState:function(){return{tokenize:a,beforeParams:!1,inParams:!1,inString:!1,lastTokenWasBuiltin:!1}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},blockCommentStart:"#*",blockCommentEnd:"*#",lineComment:"##",fold:"velocity"}})),e.defineMIME("text/velocity","velocity")}(n(23326))},24454:(e,t,n)=>{!function(e){"use strict";e.defineMode("verilog",(function(t,n){var r=t.indentUnit,i=n.statementIndentUnit||r,o=n.dontAlignCalls,a=n.compilerDirectivesUseRegularIndentation,s=n.noIndentKeywords||[],l=n.multiLineStrings,u=n.hooks||{};function c(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}var d,p,f=c("accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 null or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor"),h=/[\+\-\*\/!~&|^%=?:<>]/,m=/[\[\]{}()]/,g=/\d[0-9_]*/,v=/\d*\s*'s?d\s*\d[0-9_]*/i,y=/\d*\s*'s?b\s*[xz01][xz01_]*/i,b=/\d*\s*'s?o\s*[xz0-7][xz0-7_]*/i,w=/\d*\s*'s?h\s*[0-9a-fxz?][0-9a-fxz?_]*/i,_=/(\d[\d_]*(\.\d[\d_]*)?E-?[\d_]+)|(\d[\d_]*\.\d[\d_]*)/i,x=/^((`?\w+)|[)}\]])/,k=/[)}\]]/,E=new RegExp("^(`(?:ifdef|ifndef|elsif|else|endif|undef|undefineall|define|include|begin_keywords|celldefine|default|nettype|end_keywords|endcelldefine|line|nounconnected_drive|pragma|resetall|timescale|unconnected_drive))\\b"),C=/^(`(?:ifdef|ifndef|elsif|else))\b/,S=/^(`(?:elsif|else|endif))\b/,A=c("case checker class clocking config function generate interface module package primitive program property specify sequence table task"),T={};for(var O in A)T[O]="end"+O;for(var P in T.begin="end",T.casex="endcase",T.casez="endcase",T.do="while",T.fork="join;join_any;join_none",T.covergroup="endgroup",T.macro_begin="macro_end",s)O=s[P],T[O]&&(T[O]=void 0);var D=c("always always_comb always_ff always_latch assert assign assume else export for foreach forever if import initial repeat while extern typedef");function R(e,t){var n,r,i=e.peek();if(u[i]&&0!=(n=u[i](e,t)))return n;if(u.tokenBase&&0!=(n=u.tokenBase(e,t)))return n;if(/[,;:\.]/.test(i))return d=e.next(),null;if(m.test(i))return d=e.next(),"bracket";if("`"==i){if(e.next(),e.eatWhile(/[\w\$_]/)){var o=e.current();if(p=o,o.startsWith("`uvm_")&&o.endsWith("_begin")){var a=p.substr(0,p.length-5)+"end";T[o]=a,d="newblock"}else{e.eatSpace(),"("==e.peek()&&(d="newmacro");var s=e.current();e.backUp(s.length-o.length)}return"def"}return null}if("$"==i)return e.next(),e.eatWhile(/[\w\$_]/)?"meta":null;if("#"==i)return e.next(),e.eatWhile(/[\d_.]/),"def";if("@"==i)return e.next(),e.eatWhile(/[@]/),"def";if('"'==i)return e.next(),t.tokenize=(r=i,function(e,t){for(var n,i=!1,o=!1;null!=(n=e.next());){if(n==r&&!i){o=!0;break}i=!i&&"\\"==n}return(o||!i&&!l)&&(t.tokenize=R),"string"}),t.tokenize(e,t);if("/"==i){if(e.next(),e.eat("*"))return t.tokenize=I,I(e,t);if(e.eat("/"))return e.skipToEnd(),"comment";e.backUp(1)}return e.match(_)||e.match(v)||e.match(y)||e.match(b)||e.match(w)||e.match(g)||e.match(_)?"number":e.eatWhile(h)?(d=e.current(),"meta"):e.eatWhile(/[\w\$_]/)?(o=e.current(),f[o]?(T[o]&&(d="newblock","fork"===o&&(e.eatSpace(),";"==e.peek()&&(d="newstatement"),e.backUp(e.current().length-o.length))),D[o]&&(d="newstatement"),p=o,"keyword"):"variable"):(e.next(),null)}function I(e,t){for(var n,r=!1;n=e.next();){if("/"==n&&r){t.tokenize=R;break}r="*"==n}return"comment"}function M(e,t,n,r,i,o){this.indented=e,this.column=t,this.type=n,this.scopekind=r,this.align=i,this.prev=o}function L(e,t,n,r){var i=new M(e.indented,t,n,r||"",null,e.context);return e.context=i}function N(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}function j(e,t){if(e==t)return!0;var n=t.split(";");for(var r in n)if(e==n[r])return!0;return!1}function F(e,t){return null!=e&&(e.scopekind===t||F(e.prev,t))}return{electricInput:function(){var e=[];for(var t in T)if(T[t]){var n=T[t].split(";");for(var r in n)e.push(n[r])}return new RegExp("[{}()\\[\\]]|("+e.join("|")+")$")}(),startState:function(e){var t={tokenize:null,context:new M((e||0)-r,0,"top","top",!1),indented:0,compilerDirectiveIndented:0,startOfLine:!0};return u.startState&&u.startState(t),t},token:function(e,t){var n,r=t.context;if(e.sol()&&(null==r.align&&(r.align=!1),t.indented=e.indentation(),t.startOfLine=!0),u.token&&void 0!==(n=u.token(e,t)))return n;if(e.eatSpace())return null;if(d=null,p=null,"comment"==(n=(t.tokenize||R)(e,t))||"meta"==n||"variable"==n)return"="!==d&&"<="!==d||F(r,"assignment")||(L(t,e.column()+d.length,"assignment","assignment"),null==r.align&&(r.align=!0)),n;null==r.align&&(r.align=!0);var o="assignment"==r.type&&k.test(d)&&r.prev&&r.prev.type===d;if(d==r.type||o){if(o&&(r=N(t)),r=N(t),")"==d){if(r&&"macro"===r.type)for(r=N(t);r&&("statement"==r.type||"assignment"==r.type);)r=N(t)}else if("}"==d&&r&&"statement"===r.type)for(;r&&"statement"==r.type;)r=N(t)}else if((";"==d||","==d)&&("statement"==r.type||"assignment"==r.type)||r.type&&j(p,r.type))for(r=N(t);r&&("statement"==r.type||"assignment"==r.type);)r=N(t);else if("{"==d)L(t,e.column(),"}");else if("["==d)L(t,e.column(),"]");else if("("==d)L(t,e.column(),")");else if(r&&"endcase"==r.type&&":"==d)L(t,e.column(),"statement","case");else if("newstatement"==d)L(t,e.column(),"statement",p);else if("newblock"==d){if("function"!=p||!r||"statement"!=r.type&&"endgroup"!=r.type)if("task"==p&&r&&"statement"==r.type);else if("class"==p&&r&&"statement"==r.type);else{var a=T[p];L(t,e.column(),a,p)}}else("newmacro"==d||p&&p.match(E))&&("newmacro"==d&&L(t,e.column(),"macro","macro"),p.match(S)&&(t.compilerDirectiveIndented-=i),p.match(C)&&(t.compilerDirectiveIndented+=i));return t.startOfLine=!1,n},indent:function(t,n){if(t.tokenize!=R&&null!=t.tokenize)return e.Pass;if(u.indent){var s=u.indent(t);if(s>=0)return s}var l=t.context,c=n&&n.charAt(0);"statement"==l.type&&"}"==c&&(l=l.prev);var d=!1,p=n.match(x);return p&&(d=j(p[0],l.type)),!a&&n.match(E)?n.match(S)?t.compilerDirectiveIndented-i:t.compilerDirectiveIndented:"statement"==l.type?l.indented+("{"==c?0:i):!k.test(l.type)&&"assignment"!=l.type||!l.align||o?")"!=l.type||d?l.indented+(d?0:r):l.indented+i:l.column+(d?0:1)},blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//",fold:"indent"}})),e.defineMIME("text/x-verilog",{name:"verilog"}),e.defineMIME("text/x-systemverilog",{name:"verilog"});var t={"|":"link",">":"property",$:"variable",$$:"variable","?$":"qualifier","?*":"qualifier","-":"hr","/":"property","/-":"property","@":"variable-3","@-":"variable-3","@++":"variable-3","@+=":"variable-3","@+=-":"variable-3","@--":"variable-3","@-=":"variable-3","%+":"tag","%-":"tag","%":"tag",">>":"tag","<<":"tag","<>":"tag","#":"tag","^":"attribute","^^":"attribute","^!":"attribute","*":"variable-2","**":"variable-2","\\":"keyword",'"':"comment"},n={"/":"beh-hier",">":"beh-hier","-":"phys-hier","|":"pipe","?":"when","@":"stage","\\":"keyword"},r=/^([~!@#\$%\^&\*-\+=\?\/\\\|'"<>]+)([\d\w_]*)/,i=/^[! ] /,o=/^[! ] */,a=/^\/[\/\*]/;function s(e,t,n){var r=t/3;return"tlv-"+e.tlvIndentationStyle[r]+"-"+n}e.defineMIME("text/x-tlv",{name:"verilog",hooks:{electricInput:!1,token:function(e,l){var u=void 0;if(e.sol()&&!l.tlvInBlockComment){"\\"==e.peek()&&(u="def",e.skipToEnd(),e.string.match(/\\SV/)?l.tlvCodeActive=!1:e.string.match(/\\TLV/)&&(l.tlvCodeActive=!0)),l.tlvCodeActive&&0==e.pos&&0==l.indented&&(g=e.match(o,!1))&&(l.indented=g[0].length);var c=l.indented,d=c/3;if(d<=l.tlvIndentationStyle.length){var p=e.string.length==c,f=3*d;if(f<e.string.length){var h=e.string.slice(f),m=h[0];n[m]&&(g=h.match(r))&&t[g[1]]&&(c+=3,"\\"==m&&f>0||(l.tlvIndentationStyle[d]=n[m],d++))}if(!p)for(;l.tlvIndentationStyle.length>d;)l.tlvIndentationStyle.pop()}l.tlvNextIndent=c}if(l.tlvCodeActive){var g,v=!1;if(void 0!==u)u+=" "+s(l,0,"scope-ident");else if(e.pos/3<l.tlvIndentationStyle.length&&(g=e.match(e.sol()?i:/^ /)))u="tlv-indent-"+(e.pos%2==0?"even":"odd")+" "+s(l,e.pos-3,"indent"),"!"==g[0].charAt(0)&&(u+=" tlv-alert-line-prefix"),function(e){var t;return(t=e.match(r,!1))&&t[2].length>0}(e)&&(u+=" "+s(l,e.pos,"before-scope-ident"));else if(l.tlvInBlockComment)e.match(/^.*?\*\//)?l.tlvInBlockComment=!1:e.skipToEnd(),u="comment";else if((g=e.match(a))&&!l.tlvInBlockComment)"//"==g[0]?e.skipToEnd():l.tlvInBlockComment=!0,u="comment";else if(g=e.match(r)){var y=g[1],b=g[2];t.hasOwnProperty(y)&&(b.length>0||e.eol())?(u=t[y],e.column()==l.indented&&(u+=" "+s(l,e.column(),"scope-ident"))):(e.backUp(e.current().length-1),u="tlv-default")}else e.match(/^\t+/)?u="tlv-tab":e.match(/^[\[\]{}\(\);\:]+/)?u="meta":(g=e.match(/^[mM]4([\+_])?[\w\d_]*/))?u="+"==g[1]?"tlv-m4-plus":"tlv-m4":e.match(/^ +/)?u=e.eol()?"error":"tlv-default":e.match(/^[\w\d_]+/)?u="number":(e.next(),u="tlv-default");v&&(u+=" tlv-statement")}else e.match(/^[mM]4([\w\d_]*)/)&&(u="tlv-m4");return u},indent:function(e){return 1==e.tlvCodeActive?e.tlvNextIndent:-1},startState:function(e){e.tlvIndentationStyle=[],e.tlvCodeActive=!0,e.tlvNextIndent=-1,e.tlvInBlockComment=!1}}})}(n(23326))},41838:(e,t,n)=>{!function(e){"use strict";function t(e){for(var t={},n=e.split(","),r=0;r<n.length;++r){var i=n[r].toUpperCase(),o=n[r].charAt(0).toUpperCase()+n[r].slice(1);t[n[r]]=!0,t[i]=!0,t[o]=!0}return t}function n(e){return e.eatWhile(/[\w\$_]/),"meta"}e.defineMode("vhdl",(function(e,r){var i,o=e.indentUnit,a=r.atoms||t("null"),s=r.hooks||{"`":n,$:n},l=r.multiLineStrings,u=t("abs,access,after,alias,all,and,architecture,array,assert,attribute,begin,block,body,buffer,bus,case,component,configuration,constant,disconnect,downto,else,elsif,end,end block,end case,end component,end for,end generate,end if,end loop,end process,end record,end units,entity,exit,file,for,function,generate,generic,generic map,group,guarded,if,impure,in,inertial,inout,is,label,library,linkage,literal,loop,map,mod,nand,new,next,nor,null,of,on,open,or,others,out,package,package body,port,port map,postponed,procedure,process,pure,range,record,register,reject,rem,report,return,rol,ror,select,severity,signal,sla,sll,sra,srl,subtype,then,to,transport,type,unaffected,units,until,use,variable,wait,when,while,with,xnor,xor"),c=t("architecture,entity,begin,case,port,else,elsif,end,for,function,if"),d=/[&|~><!\)\(*#%@+\/=?\:;}{,\.\^\-\[\]]/;function p(e,t){var n,r=e.next();if(s[r]){var o=s[r](e,t);if(!1!==o)return o}if('"'==r)return t.tokenize=(n=r,function(e,t){for(var r,i=!1,o=!1;null!=(r=e.next());){if(r==n&&!i){o=!0;break}i=!i&&"--"==r}return(o||!i&&!l)&&(t.tokenize=p),"string-2"}),t.tokenize(e,t);if("'"==r)return t.tokenize=function(e){return function(t,n){for(var r,i=!1,o=!1;null!=(r=t.next());){if(r==e&&!i){o=!0;break}i=!i&&"--"==r}return(o||!i&&!l)&&(n.tokenize=p),"string"}}(r),t.tokenize(e,t);if(/[\[\]{}\(\),;\:\.]/.test(r))return i=r,null;if(/[\d']/.test(r))return e.eatWhile(/[\w\.']/),"number";if("-"==r&&e.eat("-"))return e.skipToEnd(),"comment";if(d.test(r))return e.eatWhile(d),"operator";e.eatWhile(/[\w\$_]/);var f=e.current();return u.propertyIsEnumerable(f.toLowerCase())?(c.propertyIsEnumerable(f)&&(i="newstatement"),"keyword"):a.propertyIsEnumerable(f)?"atom":"variable"}function f(e,t,n,r,i){this.indented=e,this.column=t,this.type=n,this.align=r,this.prev=i}function h(e,t,n){return e.context=new f(e.indented,t,n,null,e.context)}function m(e){var t=e.context.type;return")"!=t&&"]"!=t&&"}"!=t||(e.indented=e.context.indented),e.context=e.context.prev}return{startState:function(e){return{tokenize:null,context:new f((e||0)-o,0,"top",!1),indented:0,startOfLine:!0}},token:function(e,t){var n=t.context;if(e.sol()&&(null==n.align&&(n.align=!1),t.indented=e.indentation(),t.startOfLine=!0),e.eatSpace())return null;i=null;var r=(t.tokenize||p)(e,t);if("comment"==r||"meta"==r)return r;if(null==n.align&&(n.align=!0),";"!=i&&":"!=i||"statement"!=n.type)if("{"==i)h(t,e.column(),"}");else if("["==i)h(t,e.column(),"]");else if("("==i)h(t,e.column(),")");else if("}"==i){for(;"statement"==n.type;)n=m(t);for("}"==n.type&&(n=m(t));"statement"==n.type;)n=m(t)}else i==n.type?m(t):("}"==n.type||"top"==n.type||"statement"==n.type&&"newstatement"==i)&&h(t,e.column(),"statement");else m(t);return t.startOfLine=!1,r},indent:function(e,t){if(e.tokenize!=p&&null!=e.tokenize)return 0;var n=t&&t.charAt(0),r=e.context,i=n==r.type;return"statement"==r.type?r.indented+("{"==n?0:o):r.align?r.column+(i?0:1):r.indented+(i?0:o)},electricChars:"{}"}})),e.defineMIME("text/x-vhdl","vhdl")}(n(23326))},58568:(e,t,n)=>{!function(e){"use strict";var t,r;t=n(23326),n(19962),n(9812),n(49055),n(86386),n(98627),n(67838),n(98660),n(12904),n(16791),r={script:[["lang",/coffee(script)?/,"coffeescript"],["type",/^(?:text|application)\/(?:x-)?coffee(?:script)?$/,"coffeescript"],["lang",/^babel$/,"javascript"],["type",/^text\/babel$/,"javascript"],["type",/^text\/ecmascript-\d+$/,"javascript"]],style:[["lang",/^stylus$/i,"stylus"],["lang",/^sass$/i,"sass"],["lang",/^less$/i,"text/x-less"],["lang",/^scss$/i,"text/x-scss"],["type",/^(text\/)?(x-)?styl(us)?$/i,"stylus"],["type",/^text\/sass/i,"sass"],["type",/^(text\/)?(x-)?scss$/i,"text/x-scss"],["type",/^(text\/)?(x-)?less$/i,"text/x-less"]],template:[["lang",/^vue-template$/i,"vue"],["lang",/^pug$/i,"pug"],["lang",/^handlebars$/i,"handlebars"],["type",/^(text\/)?(x-)?pug$/i,"pug"],["type",/^text\/x-handlebars-template$/i,"handlebars"],[null,null,"vue-template"]]},t.defineMode("vue-template",(function(e,n){return t.overlayMode(t.getMode(e,n.backdrop||"text/html"),{token:function(e){if(e.match(/^\{\{.*?\}\}/))return"meta mustache";for(;e.next()&&!e.match("{{",!1););return null}})})),t.defineMode("vue",(function(e){return t.getMode(e,{name:"htmlmixed",tags:r})}),"htmlmixed","xml","javascript","coffeescript","css","sass","stylus","pug","handlebars"),t.defineMIME("script/x-vue","vue"),t.defineMIME("text/x-vue","vue")}()},69693:(e,t,n)=>{!function(e){"use strict";e.defineSimpleMode("wast",{start:[{regex:/[+\-]?(?:nan(?::0x[0-9a-fA-F]+)?|infinity|inf|0x[0-9a-fA-F]+\.?[0-9a-fA-F]*p[+\/-]?\d+|\d+(?:\.\d*)?[eE][+\-]?\d*|\d+\.\d*|0x[0-9a-fA-F]+|\d+)/,token:"number"},{regex:new RegExp(["align","block","br(_if|_table|_on_(cast|data|func|i31|null))?","call(_indirect|_ref)?","current_memory","\\bdata\\b","catch(_all)?","delegate","drop","elem","else","end","export","\\bextern\\b","\\bfunc\\b","global(\\.(get|set))?","if","import","local(\\.(get|set|tee))?","loop","module","mut","nop","offset","param","result","rethrow","return(_call(_indirect|_ref)?)?","select","start","table(\\.(size|get|set|size|grow|fill|init|copy))?","then","throw","try","type","unreachable","unwind","i(32|64)\\.(store(8|16)|(load(8|16)_[su]))","i64\\.(load32_[su]|store32)","[fi](32|64)\\.(const|load|store)","f(32|64)\\.(abs|add|ceil|copysign|div|eq|floor|[gl][et]|max|min|mul|nearest|neg?|sqrt|sub|trunc)","i(32|64)\\.(a[dn]d|c[lt]z|(div|rem)_[su]|eqz?|[gl][te]_[su]|mul|ne|popcnt|rot[lr]|sh(l|r_[su])|sub|x?or)","i64\\.extend_[su]_i32","i32\\.wrap_i64","i(32|64)\\.trunc_f(32|64)_[su]","f(32|64)\\.convert_i(32|64)_[su]","f64\\.promote_f32","f32\\.demote_f64","f32\\.reinterpret_i32","i32\\.reinterpret_f32","f64\\.reinterpret_i64","i64\\.reinterpret_f64","memory(\\.((atomic\\.(notify|wait(32|64)))|grow|size))?","i64.atomic\\.(load32_u|store32|rmw32\\.(a[dn]d|sub|x?or|(cmp)?xchg)_u)","i(32|64)\\.atomic\\.(load((8|16)_u)?|store(8|16)?|rmw(\\.(a[dn]d|sub|x?or|(cmp)?xchg)|(8|16)\\.(a[dn]d|sub|x?or|(cmp)?xchg)_u))","v128\\.load(8x8|16x4|32x2)_[su]","v128\\.load(8|16|32|64)_splat","v128\\.(load|store)(8|16|32|64)_lane","v128\\.load(32|64)_zero","v128.(load|store|const|not|andnot|and|or|xor|bitselect|any_true)","i(8x16|16x8)\\.(extract_lane_[su]|(add|sub)_sat_[su]|avgr_u)","i(8x16|16x8|32x4|64x2)\\.(neg|add|sub|abs|shl|shr_[su]|all_true|bitmask|eq|ne|[lg][te]_s)","(i(8x16|16x8|32x4|64x2)|f(32x4|64x2)).(splat|replace_lane)","i(8x16|16x8|32x4)\\.(([lg][te]_u)|((min|max)_[su]))","f(32x4|64x2)\\.(neg|add|sub|abs|nearest|eq|ne|[lg][te]|sqrt|mul|div|min|max|ceil|floor|trunc)","[fi](32x4|64x2)\\.extract_lane","i8x16\\.(shuffle|swizzle|popcnt|narrow_i16x8_[su])","i16x8\\.(narrow_i32x4_[su]|mul|extadd_pairwise_i8x16_[su]|q15mulr_sat_s)","i16x8\\.(extend|extmul)_(low|high)_i8x16_[su]","i32x4\\.(mul|dot_i16x8_s|trunc_sat_f64x2_[su]_zero)","i32x4\\.((extend|extmul)_(low|high)_i16x8_|trunc_sat_f32x4_|extadd_pairwise_i16x8_)[su]","i64x2\\.(mul|(extend|extmul)_(low|high)_i32x4_[su])","f32x4\\.(convert_i32x4_[su]|demote_f64x2_zero)","f64x2\\.(promote_low_f32x4|convert_low_i32x4_[su])","\\bany\\b","array\\.len","(array|struct)(\\.(new_(default_)?with_rtt|get(_[su])?|set))?","\\beq\\b","field","i31\\.(new|get_[su])","\\bnull\\b","ref(\\.(([ai]s_(data|func|i31))|cast|eq|func|(is_|as_non_)?null|test))?","rtt(\\.(canon|sub))?"].join("|")),token:"keyword"},{regex:/\b((any|data|eq|extern|i31|func)ref|[fi](32|64)|i(8|16))\b/,token:"atom"},{regex:/\$([a-zA-Z0-9_`\+\-\*\/\\\^~=<>!\?@#$%&|:\.]+)/,token:"variable-2"},{regex:/"(?:[^"\\\x00-\x1f\x7f]|\\[nt\\'"]|\\[0-9a-fA-F][0-9a-fA-F])*"/,token:"string"},{regex:/\(;.*?/,token:"comment",next:"comment"},{regex:/;;.*$/,token:"comment"},{regex:/\(/,indent:!0},{regex:/\)/,dedent:!0}],comment:[{regex:/.*?;\)/,token:"comment",next:"start"},{regex:/.*/,token:"comment"}],meta:{dontIndentStates:["comment"]}}),e.defineMIME("text/webassembly","wast")}(n(23326),n(81094))},29178:(e,t,n)=>{!function(e){"use strict";function t(e){return new RegExp("^(("+e.join(")|(")+"))\\b")}var n=["Clamp","Constructor","EnforceRange","Exposed","ImplicitThis","Global","PrimaryGlobal","LegacyArrayClass","LegacyUnenumerableNamedProperties","LenientThis","NamedConstructor","NewObject","NoInterfaceObject","OverrideBuiltins","PutForwards","Replaceable","SameObject","TreatNonObjectAsNull","TreatNullAs","EmptyString","Unforgeable","Unscopeable"],r=t(n),i=["unsigned","short","long","unrestricted","float","double","boolean","byte","octet","Promise","ArrayBuffer","DataView","Int8Array","Int16Array","Int32Array","Uint8Array","Uint16Array","Uint32Array","Uint8ClampedArray","Float32Array","Float64Array","ByteString","DOMString","USVString","sequence","object","RegExp","Error","DOMException","FrozenArray","any","void"],o=t(i),a=["attribute","callback","const","deleter","dictionary","enum","getter","implements","inherit","interface","iterable","legacycaller","maplike","partial","required","serializer","setlike","setter","static","stringifier","typedef","optional","readonly","or"],s=t(a),l=["true","false","Infinity","NaN","null"],u=t(l);e.registerHelper("hintWords","webidl",n.concat(i).concat(a).concat(l));var c=t(["callback","dictionary","enum","interface"]),d=t(["typedef"]),p=/^[:<=>?]/,f=/^-?([1-9][0-9]*|0[Xx][0-9A-Fa-f]+|0[0-7]*)/,h=/^-?(([0-9]+\.[0-9]*|[0-9]*\.[0-9]+)([Ee][+-]?[0-9]+)?|[0-9]+[Ee][+-]?[0-9]+)/,m=/^_?[A-Za-z][0-9A-Z_a-z-]*/,g=/^_?[A-Za-z][0-9A-Z_a-z-]*(?=\s*;)/,v=/^"[^"]*"/,y=/^\/\*.*?\*\//,b=/^\/\*.*/,w=/^.*?\*\//;e.defineMode("webidl",(function(){return{startState:function(){return{inComment:!1,lastToken:"",startDef:!1,endDef:!1}},token:function(e,t){var n=function(e,t){if(e.eatSpace())return null;if(t.inComment)return e.match(w)?(t.inComment=!1,"comment"):(e.skipToEnd(),"comment");if(e.match("//"))return e.skipToEnd(),"comment";if(e.match(y))return"comment";if(e.match(b))return t.inComment=!0,"comment";if(e.match(/^-?[0-9\.]/,!1)&&(e.match(f)||e.match(h)))return"number";if(e.match(v))return"string";if(t.startDef&&e.match(m))return"def";if(t.endDef&&e.match(g))return t.endDef=!1,"def";if(e.match(s))return"keyword";if(e.match(o)){var n=t.lastToken,i=(e.match(/^\s*(.+?)\b/,!1)||[])[1];return":"===n||"implements"===n||"implements"===i||"="===i?"builtin":"variable-3"}return e.match(r)?"builtin":e.match(u)?"atom":e.match(m)?"variable":e.match(p)?"operator":(e.next(),null)}(e,t);if(n){var i=e.current();t.lastToken=i,"keyword"===n?(t.startDef=c.test(i),t.endDef=t.endDef||d.test(i)):t.startDef=!1}return n}}})),e.defineMIME("text/x-webidl","webidl")}(n(23326))},9812:(e,t,n)=>{!function(e){"use strict";var t={autoSelfClosers:{area:!0,base:!0,br:!0,col:!0,command:!0,embed:!0,frame:!0,hr:!0,img:!0,input:!0,keygen:!0,link:!0,meta:!0,param:!0,source:!0,track:!0,wbr:!0,menuitem:!0},implicitlyClosed:{dd:!0,li:!0,optgroup:!0,option:!0,p:!0,rp:!0,rt:!0,tbody:!0,td:!0,tfoot:!0,th:!0,tr:!0},contextGrabbers:{dd:{dd:!0,dt:!0},dt:{dd:!0,dt:!0},li:{li:!0},option:{option:!0,optgroup:!0},optgroup:{optgroup:!0},p:{address:!0,article:!0,aside:!0,blockquote:!0,dir:!0,div:!0,dl:!0,fieldset:!0,footer:!0,form:!0,h1:!0,h2:!0,h3:!0,h4:!0,h5:!0,h6:!0,header:!0,hgroup:!0,hr:!0,menu:!0,nav:!0,ol:!0,p:!0,pre:!0,section:!0,table:!0,ul:!0},rp:{rp:!0,rt:!0},rt:{rp:!0,rt:!0},tbody:{tbody:!0,tfoot:!0},td:{td:!0,th:!0},tfoot:{tbody:!0},th:{td:!0,th:!0},thead:{tbody:!0,tfoot:!0},tr:{tr:!0}},doNotIndent:{pre:!0},allowUnquoted:!0,allowMissing:!0,caseFold:!0},n={autoSelfClosers:{},implicitlyClosed:{},contextGrabbers:{},doNotIndent:{},allowUnquoted:!1,allowMissing:!1,allowMissingTagName:!1,caseFold:!1};e.defineMode("xml",(function(r,i){var o,a,s=r.indentUnit,l={},u=i.htmlMode?t:n;for(var c in u)l[c]=u[c];for(var c in i)l[c]=i[c];function d(e,t){function n(n){return t.tokenize=n,n(e,t)}var r=e.next();return"<"==r?e.eat("!")?e.eat("[")?e.match("CDATA[")?n(f("atom","]]>")):null:e.match("--")?n(f("comment","--\x3e")):e.match("DOCTYPE",!0,!0)?(e.eatWhile(/[\w\._\-]/),n(h(1))):null:e.eat("?")?(e.eatWhile(/[\w\._\-]/),t.tokenize=f("meta","?>"),"meta"):(o=e.eat("/")?"closeTag":"openTag",t.tokenize=p,"tag bracket"):"&"==r?(e.eat("#")?e.eat("x")?e.eatWhile(/[a-fA-F\d]/)&&e.eat(";"):e.eatWhile(/[\d]/)&&e.eat(";"):e.eatWhile(/[\w\.\-:]/)&&e.eat(";"))?"atom":"error":(e.eatWhile(/[^&<]/),null)}function p(e,t){var n,r,i=e.next();if(">"==i||"/"==i&&e.eat(">"))return t.tokenize=d,o=">"==i?"endTag":"selfcloseTag","tag bracket";if("="==i)return o="equals",null;if("<"==i){t.tokenize=d,t.state=b,t.tagName=t.tagStart=null;var a=t.tokenize(e,t);return a?a+" tag error":"tag error"}return/[\'\"]/.test(i)?(t.tokenize=(n=i,r=function(e,t){for(;!e.eol();)if(e.next()==n){t.tokenize=p;break}return"string"},r.isInAttribute=!0,r),t.stringStartCol=e.column(),t.tokenize(e,t)):(e.match(/^[^\s\u00a0=<>\"\']*[^\s\u00a0=<>\"\'\/]/),"word")}function f(e,t){return function(n,r){for(;!n.eol();){if(n.match(t)){r.tokenize=d;break}n.next()}return e}}function h(e){return function(t,n){for(var r;null!=(r=t.next());){if("<"==r)return n.tokenize=h(e+1),n.tokenize(t,n);if(">"==r){if(1==e){n.tokenize=d;break}return n.tokenize=h(e-1),n.tokenize(t,n)}}return"meta"}}function m(e){return e&&e.toLowerCase()}function g(e,t,n){this.prev=e.context,this.tagName=t||"",this.indent=e.indented,this.startOfLine=n,(l.doNotIndent.hasOwnProperty(t)||e.context&&e.context.noIndent)&&(this.noIndent=!0)}function v(e){e.context&&(e.context=e.context.prev)}function y(e,t){for(var n;;){if(!e.context)return;if(n=e.context.tagName,!l.contextGrabbers.hasOwnProperty(m(n))||!l.contextGrabbers[m(n)].hasOwnProperty(m(t)))return;v(e)}}function b(e,t,n){return"openTag"==e?(n.tagStart=t.column(),w):"closeTag"==e?_:b}function w(e,t,n){return"word"==e?(n.tagName=t.current(),a="tag",E):l.allowMissingTagName&&"endTag"==e?(a="tag bracket",E(e,0,n)):(a="error",w)}function _(e,t,n){if("word"==e){var r=t.current();return n.context&&n.context.tagName!=r&&l.implicitlyClosed.hasOwnProperty(m(n.context.tagName))&&v(n),n.context&&n.context.tagName==r||!1===l.matchClosing?(a="tag",x):(a="tag error",k)}return l.allowMissingTagName&&"endTag"==e?(a="tag bracket",x(e,0,n)):(a="error",k)}function x(e,t,n){return"endTag"!=e?(a="error",x):(v(n),b)}function k(e,t,n){return a="error",x(e,0,n)}function E(e,t,n){if("word"==e)return a="attribute",C;if("endTag"==e||"selfcloseTag"==e){var r=n.tagName,i=n.tagStart;return n.tagName=n.tagStart=null,"selfcloseTag"==e||l.autoSelfClosers.hasOwnProperty(m(r))?y(n,r):(y(n,r),n.context=new g(n,r,i==n.indented)),b}return a="error",E}function C(e,t,n){return"equals"==e?S:(l.allowMissing||(a="error"),E(e,0,n))}function S(e,t,n){return"string"==e?A:"word"==e&&l.allowUnquoted?(a="string",E):(a="error",E(e,0,n))}function A(e,t,n){return"string"==e?A:E(e,0,n)}return d.isInText=!0,{startState:function(e){var t={tokenize:d,state:b,indented:e||0,tagName:null,tagStart:null,context:null};return null!=e&&(t.baseIndent=e),t},token:function(e,t){if(!t.tagName&&e.sol()&&(t.indented=e.indentation()),e.eatSpace())return null;o=null;var n=t.tokenize(e,t);return(n||o)&&"comment"!=n&&(a=null,t.state=t.state(o||n,e,t),a&&(n="error"==a?n+" error":a)),n},indent:function(t,n,r){var i=t.context;if(t.tokenize.isInAttribute)return t.tagStart==t.indented?t.stringStartCol+1:t.indented+s;if(i&&i.noIndent)return e.Pass;if(t.tokenize!=p&&t.tokenize!=d)return r?r.match(/^(\s*)/)[0].length:0;if(t.tagName)return!1!==l.multilineTagIndentPastTag?t.tagStart+t.tagName.length+2:t.tagStart+s*(l.multilineTagIndentFactor||1);if(l.alignCDATA&&/<!\[CDATA\[/.test(n))return 0;var o=n&&/^<(\/)?([\w_:\.-]*)/.exec(n);if(o&&o[1])for(;i;){if(i.tagName==o[2]){i=i.prev;break}if(!l.implicitlyClosed.hasOwnProperty(m(i.tagName)))break;i=i.prev}else if(o)for(;i;){var a=l.contextGrabbers[m(i.tagName)];if(!a||!a.hasOwnProperty(m(o[2])))break;i=i.prev}for(;i&&i.prev&&!i.startOfLine;)i=i.prev;return i?i.indent+s:t.baseIndent||0},electricInput:/<\/[\s\w:]+>$/,blockCommentStart:"\x3c!--",blockCommentEnd:"--\x3e",configuration:l.htmlMode?"html":"xml",helperType:l.htmlMode?"html":"xml",skipAttribute:function(e){e.state==S&&(e.state=E)},xmlCurrentTag:function(e){return e.tagName?{name:e.tagName,close:"closeTag"==e.type}:null},xmlCurrentContext:function(e){for(var t=[],n=e.context;n;n=n.prev)t.push(n.tagName);return t.reverse()}}})),e.defineMIME("text/xml","xml"),e.defineMIME("application/xml","xml"),e.mimeModes.hasOwnProperty("text/html")||e.defineMIME("text/html",{name:"xml",htmlMode:!0})}(n(23326))},58757:(e,t,n)=>{!function(e){"use strict";e.defineMode("xquery",(function(){var e=function(){function e(e){return{type:e,style:"keyword"}}for(var t=e("operator"),n={type:"atom",style:"atom"},r={type:"axis_specifier",style:"qualifier"},i={",":{type:"punctuation",style:null}},o=["after","all","allowing","ancestor","ancestor-or-self","any","array","as","ascending","at","attribute","base-uri","before","boundary-space","by","case","cast","castable","catch","child","collation","comment","construction","contains","content","context","copy","copy-namespaces","count","decimal-format","declare","default","delete","descendant","descendant-or-self","descending","diacritics","different","distance","document","document-node","element","else","empty","empty-sequence","encoding","end","entire","every","exactly","except","external","first","following","following-sibling","for","from","ftand","ftnot","ft-option","ftor","function","fuzzy","greatest","group","if","import","in","inherit","insensitive","insert","instance","intersect","into","invoke","is","item","language","last","lax","least","let","levels","lowercase","map","modify","module","most","namespace","next","no","node","nodes","no-inherit","no-preserve","not","occurs","of","only","option","order","ordered","ordering","paragraph","paragraphs","parent","phrase","preceding","preceding-sibling","preserve","previous","processing-instruction","relationship","rename","replace","return","revalidation","same","satisfies","schema","schema-attribute","schema-element","score","self","sensitive","sentence","sentences","sequence","skip","sliding","some","stable","start","stemming","stop","strict","strip","switch","text","then","thesaurus","times","to","transform","treat","try","tumbling","type","typeswitch","union","unordered","update","updating","uppercase","using","validate","value","variable","version","weight","when","where","wildcards","window","with","without","word","words","xquery"],a=0,s=o.length;a<s;a++)i[o[a]]=e(o[a]);var l=["xs:anyAtomicType","xs:anySimpleType","xs:anyType","xs:anyURI","xs:base64Binary","xs:boolean","xs:byte","xs:date","xs:dateTime","xs:dateTimeStamp","xs:dayTimeDuration","xs:decimal","xs:double","xs:duration","xs:ENTITIES","xs:ENTITY","xs:float","xs:gDay","xs:gMonth","xs:gMonthDay","xs:gYear","xs:gYearMonth","xs:hexBinary","xs:ID","xs:IDREF","xs:IDREFS","xs:int","xs:integer","xs:item","xs:java","xs:language","xs:long","xs:Name","xs:NCName","xs:negativeInteger","xs:NMTOKEN","xs:NMTOKENS","xs:nonNegativeInteger","xs:nonPositiveInteger","xs:normalizedString","xs:NOTATION","xs:numeric","xs:positiveInteger","xs:precisionDecimal","xs:QName","xs:short","xs:string","xs:time","xs:token","xs:unsignedByte","xs:unsignedInt","xs:unsignedLong","xs:unsignedShort","xs:untyped","xs:untypedAtomic","xs:yearMonthDuration"];for(a=0,s=l.length;a<s;a++)i[l[a]]=n;var u=["eq","ne","lt","le","gt","ge",":=","=",">",">=","<","<=",".","|","?","and","or","div","idiv","mod","*","/","+","-"];for(a=0,s=u.length;a<s;a++)i[u[a]]=t;var c=["self::","attribute::","child::","descendant::","descendant-or-self::","parent::","ancestor::","ancestor-or-self::","following::","preceding::","following-sibling::","preceding-sibling::"];for(a=0,s=c.length;a<s;a++)i[c[a]]=r;return i}();function t(e,t,n){return t.tokenize=n,n(e,t)}function n(d,m){var g=d.next(),v=!1,y=function(e){return'"'===e.current()?e.match(/^[^\"]+\"\:/,!1):"'"===e.current()&&e.match(/^[^\"]+\'\:/,!1)}(d);if("<"==g){if(d.match("!--",!0))return t(d,m,s);if(d.match("![CDATA",!1))return m.tokenize=l,"tag";if(d.match("?",!1))return t(d,m,u);var b=d.eat("/");d.eatSpace();for(var w,_="";w=d.eat(/[^\s\u00a0=<>\"\'\/?]/);)_+=w;return t(d,m,function(e,t){return function(r,i){return r.eatSpace(),t&&r.eat(">")?(h(i),i.tokenize=n,"tag"):(r.eat("/")||f(i,{type:"tag",name:e,tokenize:n}),r.eat(">")?(i.tokenize=n,"tag"):(i.tokenize=a,"tag"))}}(_,b))}if("{"==g)return f(m,{type:"codeblock"}),null;if("}"==g)return h(m),null;if(c(m))return">"==g?"tag":"/"==g&&d.eat(">")?(h(m),"tag"):"variable";if(/\d/.test(g))return d.match(/^\d*(?:\.\d*)?(?:E[+\-]?\d+)?/),"atom";if("("===g&&d.eat(":"))return f(m,{type:"comment"}),t(d,m,r);if(y||'"'!==g&&"'"!==g){if("$"===g)return t(d,m,o);if(":"===g&&d.eat("="))return"keyword";if("("===g)return f(m,{type:"paren"}),null;if(")"===g)return h(m),null;if("["===g)return f(m,{type:"bracket"}),null;if("]"===g)return h(m),null;var x=e.propertyIsEnumerable(g)&&e[g];if(y&&'"'===g)for(;'"'!==d.next(););if(y&&"'"===g)for(;"'"!==d.next(););x||d.eatWhile(/[\w\$_-]/);var k=d.eat(":");!d.eat(":")&&k&&d.eatWhile(/[\w\$_-]/),d.match(/^[ \t]*\(/,!1)&&(v=!0);var E=d.current();return x=e.propertyIsEnumerable(E)&&e[E],v&&!x&&(x={type:"function_call",style:"variable def"}),function(e){return p(e,"xmlconstructor")}(m)?(h(m),"variable"):("element"!=E&&"attribute"!=E&&"axis_specifier"!=x.type||f(m,{type:"xmlconstructor"}),x?x.style:"variable")}return t(d,m,i(g))}function r(e,t){for(var n,r=!1,i=!1,o=0;n=e.next();){if(")"==n&&r){if(!(o>0)){h(t);break}o--}else":"==n&&i&&o++;r=":"==n,i="("==n}return"comment"}function i(e,t){return function(r,o){var a;if(function(e){return p(e,"string")}(o)&&r.current()==e)return h(o),t&&(o.tokenize=t),"string";if(f(o,{type:"string",name:e,tokenize:i(e,t)}),r.match("{",!1)&&d(o))return o.tokenize=n,"string";for(;a=r.next();){if(a==e){h(o),t&&(o.tokenize=t);break}if(r.match("{",!1)&&d(o))return o.tokenize=n,"string"}return"string"}}function o(e,t){var r=/[\w\$_-]/;if(e.eat('"')){for(;'"'!==e.next(););e.eat(":")}else e.eatWhile(r),e.match(":=",!1)||e.eat(":");return e.eatWhile(r),t.tokenize=n,"variable"}function a(e,r){var o=e.next();return"/"==o&&e.eat(">")?(d(r)&&h(r),c(r)&&h(r),"tag"):">"==o?(d(r)&&h(r),"tag"):"="==o?null:'"'==o||"'"==o?t(e,r,i(o,a)):(d(r)||f(r,{type:"attribute",tokenize:a}),e.eat(/[a-zA-Z_:]/),e.eatWhile(/[-a-zA-Z0-9_:.]/),e.eatSpace(),(e.match(">",!1)||e.match("/",!1))&&(h(r),r.tokenize=n),"attribute")}function s(e,t){for(var r;r=e.next();)if("-"==r&&e.match("->",!0))return t.tokenize=n,"comment"}function l(e,t){for(var r;r=e.next();)if("]"==r&&e.match("]",!0))return t.tokenize=n,"comment"}function u(e,t){for(var r;r=e.next();)if("?"==r&&e.match(">",!0))return t.tokenize=n,"comment meta"}function c(e){return p(e,"tag")}function d(e){return p(e,"attribute")}function p(e,t){return e.stack.length&&e.stack[e.stack.length-1].type==t}function f(e,t){e.stack.push(t)}function h(e){e.stack.pop();var t=e.stack.length&&e.stack[e.stack.length-1].tokenize;e.tokenize=t||n}return{startState:function(){return{tokenize:n,cc:[],stack:[]}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},blockCommentStart:"(:",blockCommentEnd:":)"}})),e.defineMIME("application/xquery","xquery")}(n(23326))},82644:(e,t,n)=>{!function(e){"use strict";e.defineMode("yacas",(function(t,n){var r=function(e){for(var t={},n=e.split(" "),r=0;r<n.length;++r)t[n[r]]=!0;return t}("Assert BackQuote D Defun Deriv For ForEach FromFile FromString Function Integrate InverseTaylor Limit LocalSymbols Macro MacroRule MacroRulePattern NIntegrate Rule RulePattern Subst TD TExplicitSum TSum Taylor Taylor1 Taylor2 Taylor3 ToFile ToStdout ToString TraceRule Until While"),i="(?:[a-zA-Z\\$'][a-zA-Z0-9\\$']*)",o=new RegExp("(?:(?:\\.\\d+|\\d+\\.\\d*|\\d+)(?:[eE][+-]?\\d+)?)"),a=new RegExp(i),s=new RegExp(i+"?_"+i),l=new RegExp(i+"\\s*\\(");function u(e,t){var n;if('"'===(n=e.next()))return t.tokenize=c,t.tokenize(e,t);if("/"===n){if(e.eat("*"))return t.tokenize=d,t.tokenize(e,t);if(e.eat("/"))return e.skipToEnd(),"comment"}e.backUp(1);var i=e.match(/^(\w+)\s*\(/,!1);null!==i&&r.hasOwnProperty(i[1])&&t.scopes.push("bodied");var u=p(t);if("bodied"===u&&"["===n&&t.scopes.pop(),"["!==n&&"{"!==n&&"("!==n||t.scopes.push(n),("["===(u=p(t))&&"]"===n||"{"===u&&"}"===n||"("===u&&")"===n)&&t.scopes.pop(),";"===n)for(;"bodied"===u;)t.scopes.pop(),u=p(t);return e.match(/\d+ *#/,!0,!1)?"qualifier":e.match(o,!0,!1)?"number":e.match(s,!0,!1)?"variable-3":e.match(/(?:\[|\]|{|}|\(|\))/,!0,!1)?"bracket":e.match(l,!0,!1)?(e.backUp(1),"variable"):e.match(a,!0,!1)?"variable-2":e.match(/(?:\\|\+|\-|\*|\/|,|;|\.|:|@|~|=|>|<|&|\||_|`|'|\^|\?|!|%|#)/,!0,!1)?"operator":"error"}function c(e,t){for(var n,r=!1,i=!1;null!=(n=e.next());){if('"'===n&&!i){r=!0;break}i=!i&&"\\"===n}return r&&!i&&(t.tokenize=u),"string"}function d(e,t){for(var n,r;null!=(r=e.next());){if("*"===n&&"/"===r){t.tokenize=u;break}n=r}return"comment"}function p(e){var t=null;return e.scopes.length>0&&(t=e.scopes[e.scopes.length-1]),t}return{startState:function(){return{tokenize:u,scopes:[]}},token:function(e,t){return e.eatSpace()?null:t.tokenize(e,t)},indent:function(n,r){if(n.tokenize!==u&&null!==n.tokenize)return e.Pass;var i=0;return"]"!==r&&"];"!==r&&"}"!==r&&"};"!==r&&");"!==r||(i=-1),(n.scopes.length+i)*t.indentUnit},electricChars:"{}[]();",blockCommentStart:"/*",blockCommentEnd:"*/",lineComment:"//"}})),e.defineMIME("text/x-yacas",{name:"yacas"})}(n(23326))},19515:(e,t,n)=>{var r;r=n(23326),n(35306),r.defineMode("yaml-frontmatter",(function(e,t){var n=r.getMode(e,"yaml"),i=r.getMode(e,t&&t.base||"gfm");function o(e){return 1==e.state?{mode:n,state:e.yaml}:{mode:i,state:e.inner}}return{startState:function(){return{state:0,yaml:null,inner:r.startState(i)}},copyState:function(e){return{state:e.state,yaml:e.yaml&&r.copyState(n,e.yaml),inner:r.copyState(i,e.inner)}},token:function(e,t){if(0==t.state)return e.match("---",!1)?(t.state=1,t.yaml=r.startState(n),n.token(e,t.yaml)):(t.state=2,i.token(e,t.inner));if(1==t.state){var o=e.sol()&&e.match(/(---|\.\.\.)/,!1),a=n.token(e,t.yaml);return o&&(t.state=2,t.yaml=null),a}return i.token(e,t.inner)},innerMode:o,indent:function(e,t,n){var i=o(e);return i.mode.indent?i.mode.indent(i.state,t,n):r.Pass},blankLine:function(e){var t=o(e);if(t.mode.blankLine)return t.mode.blankLine(t.state)}}}))},35306:(e,t,n)=>{!function(e){"use strict";e.defineMode("yaml",(function(){var e=new RegExp("\\b(("+["true","false","on","off","yes","no"].join(")|(")+"))$","i");return{token:function(t,n){var r=t.peek(),i=n.escaped;if(n.escaped=!1,"#"==r&&(0==t.pos||/\s/.test(t.string.charAt(t.pos-1))))return t.skipToEnd(),"comment";if(t.match(/^('([^']|\\.)*'?|"([^"]|\\.)*"?)/))return"string";if(n.literal&&t.indentation()>n.keyCol)return t.skipToEnd(),"string";if(n.literal&&(n.literal=!1),t.sol()){if(n.keyCol=0,n.pair=!1,n.pairStart=!1,t.match("---"))return"def";if(t.match("..."))return"def";if(t.match(/\s*-\s+/))return"meta"}if(t.match(/^(\{|\}|\[|\])/))return"{"==r?n.inlinePairs++:"}"==r?n.inlinePairs--:"["==r?n.inlineList++:n.inlineList--,"meta";if(n.inlineList>0&&!i&&","==r)return t.next(),"meta";if(n.inlinePairs>0&&!i&&","==r)return n.keyCol=0,n.pair=!1,n.pairStart=!1,t.next(),"meta";if(n.pairStart){if(t.match(/^\s*(\||\>)\s*/))return n.literal=!0,"meta";if(t.match(/^\s*(\&|\*)[a-z0-9\._-]+\b/i))return"variable-2";if(0==n.inlinePairs&&t.match(/^\s*-?[0-9\.\,]+\s?$/))return"number";if(n.inlinePairs>0&&t.match(/^\s*-?[0-9\.\,]+\s?(?=(,|}))/))return"number";if(t.match(e))return"keyword"}return!n.pair&&t.match(/^\s*(?:[,\[\]{}&*!|>'"%@`][^\s'":]|[^\s,\[\]{}#&*!|>'"%@`])[^#:]*(?=:($|\s))/)?(n.pair=!0,n.keyCol=t.indentation(),"atom"):n.pair&&t.match(/^:\s*/)?(n.pairStart=!0,"meta"):(n.pairStart=!1,n.escaped="\\"==r,t.next(),null)},startState:function(){return{pair:!1,pairStart:!1,keyCol:0,inlinePairs:0,inlineList:0,literal:!1,escaped:!1}},lineComment:"#",fold:"indent"}})),e.defineMIME("text/x-yaml","yaml"),e.defineMIME("text/yaml","yaml")}(n(23326))},76215:(e,t,n)=>{!function(e){"use strict";e.defineMode("z80",(function(e,t){var n,r,i=t.ez80;i?(n=/^(exx?|(ld|cp)([di]r?)?|[lp]ea|pop|push|ad[cd]|cpl|daa|dec|inc|neg|sbc|sub|and|bit|[cs]cf|x?or|res|set|r[lr]c?a?|r[lr]d|s[lr]a|srl|djnz|nop|[de]i|halt|im|in([di]mr?|ir?|irx|2r?)|ot(dmr?|[id]rx|imr?)|out(0?|[di]r?|[di]2r?)|tst(io)?|slp)(\.([sl]?i)?[sl])?\b/i,r=/^(((call|j[pr]|rst|ret[in]?)(\.([sl]?i)?[sl])?)|(rs|st)mix)\b/i):(n=/^(exx?|(ld|cp|in)([di]r?)?|pop|push|ad[cd]|cpl|daa|dec|inc|neg|sbc|sub|and|bit|[cs]cf|x?or|res|set|r[lr]c?a?|r[lr]d|s[lr]a|srl|djnz|nop|rst|[de]i|halt|im|ot[di]r|out[di]?)\b/i,r=/^(call|j[pr]|ret[in]?|b_?(call|jump))\b/i);var o=/^(af?|bc?|c|de?|e|hl?|l|i[xy]?|r|sp)\b/i,a=/^(n?[zc]|p[oe]?|m)\b/i,s=/^([hl][xy]|i[xy][hl]|slia|sll)\b/i,l=/^([\da-f]+h|[0-7]+o|[01]+b|\d+d?)\b/i;return{startState:function(){return{context:0}},token:function(e,t){if(e.column()||(t.context=0),e.eatSpace())return null;var u;if(e.eatWhile(/\w/)){if(i&&e.eat(".")&&e.eatWhile(/\w/),u=e.current(),!e.indentation())return e.match(l)?"number":null;if((1==t.context||4==t.context)&&o.test(u))return t.context=4,"var2";if(2==t.context&&a.test(u))return t.context=4,"var3";if(n.test(u))return t.context=1,"keyword";if(r.test(u))return t.context=2,"keyword";if(4==t.context&&l.test(u))return"number";if(s.test(u))return"error"}else{if(e.eat(";"))return e.skipToEnd(),"comment";if(e.eat('"')){for(;(u=e.next())&&'"'!=u;)"\\"==u&&e.next();return"string"}if(e.eat("'")){if(e.match(/\\?.'/))return"number"}else if(e.eat(".")||e.sol()&&e.eat("#")){if(t.context=5,e.eatWhile(/\w/))return"def"}else if(e.eat("$")){if(e.eatWhile(/[\da-f]/i))return"number"}else if(e.eat("%")){if(e.eatWhile(/[01]/))return"number"}else e.next()}return null}}})),e.defineMIME("text/x-z80","z80"),e.defineMIME("text/x-ez80",{name:"z80",ez80:!0})}(n(23326))},52106:(e,t,n)=>{var r={"./apl/apl.js":66317,"./asciiarmor/asciiarmor.js":64617,"./asn.1/asn.1.js":34474,"./asterisk/asterisk.js":94147,"./brainfuck/brainfuck.js":91352,"./clike/clike.js":80011,"./clojure/clojure.js":3463,"./cmake/cmake.js":26055,"./cobol/cobol.js":25619,"./coffeescript/coffeescript.js":86386,"./commonlisp/commonlisp.js":98824,"./crystal/crystal.js":67400,"./css/css.js":98627,"./cypher/cypher.js":28320,"./d/d.js":76184,"./dart/dart.js":31061,"./diff/diff.js":16357,"./django/django.js":51872,"./dockerfile/dockerfile.js":72694,"./dtd/dtd.js":39974,"./dylan/dylan.js":49024,"./ebnf/ebnf.js":5807,"./ecl/ecl.js":53002,"./eiffel/eiffel.js":57921,"./elm/elm.js":12589,"./erlang/erlang.js":93710,"./factor/factor.js":20601,"./fcl/fcl.js":5801,"./forth/forth.js":45729,"./fortran/fortran.js":81640,"./gas/gas.js":37471,"./gfm/gfm.js":48239,"./gherkin/gherkin.js":41028,"./go/go.js":68459,"./groovy/groovy.js":36275,"./haml/haml.js":88099,"./handlebars/handlebars.js":16791,"./haskell-literate/haskell-literate.js":93476,"./haskell/haskell.js":88216,"./haxe/haxe.js":45930,"./htmlembedded/htmlembedded.js":39119,"./htmlmixed/htmlmixed.js":38425,"./http/http.js":58527,"./idl/idl.js":63647,"./javascript/javascript.js":49055,"./jinja2/jinja2.js":81032,"./jsx/jsx.js":27968,"./julia/julia.js":38519,"./livescript/livescript.js":70688,"./lua/lua.js":41574,"./markdown/markdown.js":48033,"./mathematica/mathematica.js":87448,"./mbox/mbox.js":80704,"./mirc/mirc.js":13038,"./mllike/mllike.js":65994,"./modelica/modelica.js":51755,"./mscgen/mscgen.js":88907,"./mumps/mumps.js":81482,"./nginx/nginx.js":77549,"./nsis/nsis.js":13939,"./ntriples/ntriples.js":53921,"./octave/octave.js":55118,"./oz/oz.js":46768,"./pascal/pascal.js":44199,"./pegjs/pegjs.js":6575,"./perl/perl.js":82193,"./php/php.js":17134,"./pig/pig.js":20997,"./powershell/powershell.js":50261,"./properties/properties.js":16557,"./protobuf/protobuf.js":38487,"./pug/pug.js":12904,"./puppet/puppet.js":47912,"./python/python.js":56141,"./q/q.js":68106,"./r/r.js":32848,"./rpm/rpm.js":87660,"./rst/rst.js":93315,"./ruby/ruby.js":53019,"./rust/rust.js":56987,"./sas/sas.js":17547,"./sass/sass.js":67838,"./scheme/scheme.js":84169,"./shell/shell.js":25397,"./sieve/sieve.js":84712,"./slim/slim.js":1051,"./smalltalk/smalltalk.js":57434,"./smarty/smarty.js":69595,"./solr/solr.js":83172,"./soy/soy.js":34785,"./sparql/sparql.js":16683,"./spreadsheet/spreadsheet.js":77977,"./sql/sql.js":14149,"./stex/stex.js":38234,"./stylus/stylus.js":98660,"./swift/swift.js":93251,"./tcl/tcl.js":27220,"./textile/textile.js":17028,"./tiddlywiki/tiddlywiki.js":2362,"./tiki/tiki.js":19750,"./toml/toml.js":218,"./tornado/tornado.js":87815,"./troff/troff.js":40957,"./ttcn-cfg/ttcn-cfg.js":78175,"./ttcn/ttcn.js":95655,"./turtle/turtle.js":42944,"./twig/twig.js":21649,"./vb/vb.js":35053,"./vbscript/vbscript.js":29048,"./velocity/velocity.js":8989,"./verilog/verilog.js":24454,"./vhdl/vhdl.js":41838,"./vue/vue.js":58568,"./wast/wast.js":69693,"./webidl/webidl.js":29178,"./xml/xml.js":9812,"./xquery/xquery.js":58757,"./yacas/yacas.js":82644,"./yaml-frontmatter/yaml-frontmatter.js":19515,"./yaml/yaml.js":35306,"./z80/z80.js":76215};function i(e){var t=o(e);return n(t)}function o(e){if(!n.o(r,e)){var t=new Error("Cannot find module '"+e+"'");throw t.code="MODULE_NOT_FOUND",t}return r[e]}i.keys=function(){return Object.keys(r)},i.resolve=o,e.exports=i,i.id=52106},38925:e=>{"use strict";e.exports=function(e){return String(e).replace(/\s+/g," ")}},97327:(e,t)=>{"use strict";t.parse=function(e){for(var t,r=[],o=String(e||i),a=o.indexOf(n),s=0,l=!1;!l;)-1===a&&(a=o.length,l=!0),!(t=o.slice(s,a).trim())&&l||r.push(t),s=a+1,a=o.indexOf(n,s);return r},t.stringify=function(e,t){var o=t||{},a=!1===o.padLeft?i:r,s=o.padRight?r:i;return e[e.length-1]===i&&(e=e.concat(i)),e.join(s+n+a).trim()};var n=",",r=" ",i=""},64114:e=>{e.exports=function(e,n){for(var r=[],i=0;i<e.length;i++){var o=n(e[i],i);t(o)?r.push.apply(r,o):r.push(o)}return r};var t=Array.isArray||function(e){return"[object Array]"===Object.prototype.toString.call(e)}},15592:(e,t,n)=>{"use strict";var r=n(37320),i={};function o(e,t,n,r,i,o,a,s){if(!e){var l;if(void 0===t)l=new Error("Minified exception occurred; use the non-minified dev environment for the full error message and additional helpful warnings.");else{var u=[n,r,i,o,a,s],c=0;(l=new Error(t.replace(/%s/g,(function(){return u[c++]})))).name="Invariant Violation"}throw l.framesToPop=1,l}}var a="mixins";e.exports=function(e,t,n){var s=[],l={mixins:"DEFINE_MANY",statics:"DEFINE_MANY",propTypes:"DEFINE_MANY",contextTypes:"DEFINE_MANY",childContextTypes:"DEFINE_MANY",getDefaultProps:"DEFINE_MANY_MERGED",getInitialState:"DEFINE_MANY_MERGED",getChildContext:"DEFINE_MANY_MERGED",render:"DEFINE_ONCE",componentWillMount:"DEFINE_MANY",componentDidMount:"DEFINE_MANY",componentWillReceiveProps:"DEFINE_MANY",shouldComponentUpdate:"DEFINE_ONCE",componentWillUpdate:"DEFINE_MANY",componentDidUpdate:"DEFINE_MANY",componentWillUnmount:"DEFINE_MANY",UNSAFE_componentWillMount:"DEFINE_MANY",UNSAFE_componentWillReceiveProps:"DEFINE_MANY",UNSAFE_componentWillUpdate:"DEFINE_MANY",updateComponent:"OVERRIDE_BASE"},u={getDerivedStateFromProps:"DEFINE_MANY_MERGED"},c={displayName:function(e,t){e.displayName=t},mixins:function(e,t){if(t)for(var n=0;n<t.length;n++)p(e,t[n])},childContextTypes:function(e,t){e.childContextTypes=r({},e.childContextTypes,t)},contextTypes:function(e,t){e.contextTypes=r({},e.contextTypes,t)},getDefaultProps:function(e,t){e.getDefaultProps?e.getDefaultProps=h(e.getDefaultProps,t):e.getDefaultProps=t},propTypes:function(e,t){e.propTypes=r({},e.propTypes,t)},statics:function(e,t){!function(e,t){if(t)for(var n in t){var r=t[n];if(t.hasOwnProperty(n)){if(o(!(n in c),'ReactClass: You are attempting to define a reserved property, `%s`, that shouldn\'t be on the "statics" key. Define it as an instance property instead; it will still be accessible on the constructor.',n),n in e)return o("DEFINE_MANY_MERGED"===(u.hasOwnProperty(n)?u[n]:null),"ReactClass: You are attempting to define `%s` on your component more than once. This conflict may be due to a mixin.",n),void(e[n]=h(e[n],r));e[n]=r}}}(e,t)},autobind:function(){}};function d(e,t){var n=l.hasOwnProperty(t)?l[t]:null;b.hasOwnProperty(t)&&o("OVERRIDE_BASE"===n,"ReactClassInterface: You are attempting to override `%s` from your class specification. Ensure that your method names do not overlap with React methods.",t),e&&o("DEFINE_MANY"===n||"DEFINE_MANY_MERGED"===n,"ReactClassInterface: You are attempting to define `%s` on your component more than once. This conflict may be due to a mixin.",t)}function p(e,n){if(n){o("function"!=typeof n,"ReactClass: You're attempting to use a component class or function as a mixin. Instead, just use a regular object."),o(!t(n),"ReactClass: You're attempting to use a component as a mixin. Instead, just use a regular object.");var r=e.prototype,i=r.__reactAutoBindPairs;for(var s in n.hasOwnProperty(a)&&c.mixins(e,n.mixins),n)if(n.hasOwnProperty(s)&&s!==a){var u=n[s],p=r.hasOwnProperty(s);if(d(p,s),c.hasOwnProperty(s))c[s](e,u);else{var f=l.hasOwnProperty(s);if("function"!=typeof u||f||p||!1===n.autobind)if(p){var g=l[s];o(f&&("DEFINE_MANY_MERGED"===g||"DEFINE_MANY"===g),"ReactClass: Unexpected spec policy %s for key %s when mixing in component specs.",g,s),"DEFINE_MANY_MERGED"===g?r[s]=h(r[s],u):"DEFINE_MANY"===g&&(r[s]=m(r[s],u))}else r[s]=u;else i.push(s,u),r[s]=u}}}}function f(e,t){for(var n in o(e&&t&&"object"==typeof e&&"object"==typeof t,"mergeIntoWithNoDuplicateKeys(): Cannot merge non-objects."),t)t.hasOwnProperty(n)&&(o(void 0===e[n],"mergeIntoWithNoDuplicateKeys(): Tried to merge two objects with the same key: `%s`. This conflict may be due to a mixin; in particular, this may be caused by two getInitialState() or getDefaultProps() methods returning objects with clashing keys.",n),e[n]=t[n]);return e}function h(e,t){return function(){var n=e.apply(this,arguments),r=t.apply(this,arguments);if(null==n)return r;if(null==r)return n;var i={};return f(i,n),f(i,r),i}}function m(e,t){return function(){e.apply(this,arguments),t.apply(this,arguments)}}function g(e,t){return t.bind(e)}var v={componentDidMount:function(){this.__isMounted=!0}},y={componentWillUnmount:function(){this.__isMounted=!1}},b={replaceState:function(e,t){this.updater.enqueueReplaceState(this,e,t)},isMounted:function(){return!!this.__isMounted}},w=function(){};return r(w.prototype,e.prototype,b),function(e){var t=function(e,r,a){this.__reactAutoBindPairs.length&&function(e){for(var t=e.__reactAutoBindPairs,n=0;n<t.length;n+=2){var r=t[n],i=t[n+1];e[r]=g(e,i)}}(this),this.props=e,this.context=r,this.refs=i,this.updater=a||n,this.state=null;var s=this.getInitialState?this.getInitialState():null;o("object"==typeof s&&!Array.isArray(s),"%s.getInitialState(): must return an object or null",t.displayName||"ReactCompositeComponent"),this.state=s};for(var r in t.prototype=new w,t.prototype.constructor=t,t.prototype.__reactAutoBindPairs=[],s.forEach(p.bind(null,t)),p(t,v),p(t,e),p(t,y),t.getDefaultProps&&(t.defaultProps=t.getDefaultProps()),o(t.prototype.render,"createClass(...): Class specification must implement a `render` method."),l)t.prototype[r]||(t.prototype[r]=null);return t}}},58335:(e,t,n)=>{"use strict";var r=n(2784),i=n(15592);if(void 0===r)throw Error("create-react-class could not find the React object. If you are using script tags, make sure that React is being loaded before create-react-class.");var o=(new r.Component).updater;e.exports=i(r.Component,r.isValidElement,o)},29764:(e,t,n)=>{"use strict";n.r(t),n.d(t,{default:()=>s});var r=n(40272),i=n.n(r),o=n(82609),a=n.n(o)()(i());a.push([e.id,"/* BASICS */\n\n.CodeMirror {\n /* Set height, width, borders, and global font properties here */\n font-family: monospace;\n height: 300px;\n color: black;\n direction: ltr;\n}\n\n/* PADDING */\n\n.CodeMirror-lines {\n padding: 4px 0; /* Vertical padding around content */\n}\n.CodeMirror pre.CodeMirror-line,\n.CodeMirror pre.CodeMirror-line-like {\n padding: 0 4px; /* Horizontal padding of content */\n}\n\n.CodeMirror-scrollbar-filler, .CodeMirror-gutter-filler {\n background-color: white; /* The little square between H and V scrollbars */\n}\n\n/* GUTTER */\n\n.CodeMirror-gutters {\n border-right: 1px solid #ddd;\n background-color: #f7f7f7;\n white-space: nowrap;\n}\n.CodeMirror-linenumbers {}\n.CodeMirror-linenumber {\n padding: 0 3px 0 5px;\n min-width: 20px;\n text-align: right;\n color: #999;\n white-space: nowrap;\n}\n\n.CodeMirror-guttermarker { color: black; }\n.CodeMirror-guttermarker-subtle { color: #999; }\n\n/* CURSOR */\n\n.CodeMirror-cursor {\n border-left: 1px solid black;\n border-right: none;\n width: 0;\n}\n/* Shown when moving in bi-directional text */\n.CodeMirror div.CodeMirror-secondarycursor {\n border-left: 1px solid silver;\n}\n.cm-fat-cursor .CodeMirror-cursor {\n width: auto;\n border: 0 !important;\n background: #7e7;\n}\n.cm-fat-cursor div.CodeMirror-cursors {\n z-index: 1;\n}\n.cm-fat-cursor .CodeMirror-line::selection,\n.cm-fat-cursor .CodeMirror-line > span::selection, \n.cm-fat-cursor .CodeMirror-line > span > span::selection { background: transparent; }\n.cm-fat-cursor .CodeMirror-line::-moz-selection,\n.cm-fat-cursor .CodeMirror-line > span::-moz-selection,\n.cm-fat-cursor .CodeMirror-line > span > span::-moz-selection { background: transparent; }\n.cm-fat-cursor { caret-color: transparent; }\n@-moz-keyframes blink {\n 0% {}\n 50% { background-color: transparent; }\n 100% {}\n}\n@-webkit-keyframes blink {\n 0% {}\n 50% { background-color: transparent; }\n 100% {}\n}\n@keyframes blink {\n 0% {}\n 50% { background-color: transparent; }\n 100% {}\n}\n\n/* Can style cursor different in overwrite (non-insert) mode */\n.CodeMirror-overwrite .CodeMirror-cursor {}\n\n.cm-tab { display: inline-block; text-decoration: inherit; }\n\n.CodeMirror-rulers {\n position: absolute;\n left: 0; right: 0; top: -50px; bottom: 0;\n overflow: hidden;\n}\n.CodeMirror-ruler {\n border-left: 1px solid #ccc;\n top: 0; bottom: 0;\n position: absolute;\n}\n\n/* DEFAULT THEME */\n\n.cm-s-default .cm-header {color: blue;}\n.cm-s-default .cm-quote {color: #090;}\n.cm-negative {color: #d44;}\n.cm-positive {color: #292;}\n.cm-header, .cm-strong {font-weight: bold;}\n.cm-em {font-style: italic;}\n.cm-link {text-decoration: underline;}\n.cm-strikethrough {text-decoration: line-through;}\n\n.cm-s-default .cm-keyword {color: #708;}\n.cm-s-default .cm-atom {color: #219;}\n.cm-s-default .cm-number {color: #164;}\n.cm-s-default .cm-def {color: #00f;}\n.cm-s-default .cm-variable,\n.cm-s-default .cm-punctuation,\n.cm-s-default .cm-property,\n.cm-s-default .cm-operator {}\n.cm-s-default .cm-variable-2 {color: #05a;}\n.cm-s-default .cm-variable-3, .cm-s-default .cm-type {color: #085;}\n.cm-s-default .cm-comment {color: #a50;}\n.cm-s-default .cm-string {color: #a11;}\n.cm-s-default .cm-string-2 {color: #f50;}\n.cm-s-default .cm-meta {color: #555;}\n.cm-s-default .cm-qualifier {color: #555;}\n.cm-s-default .cm-builtin {color: #30a;}\n.cm-s-default .cm-bracket {color: #997;}\n.cm-s-default .cm-tag {color: #170;}\n.cm-s-default .cm-attribute {color: #00c;}\n.cm-s-default .cm-hr {color: #999;}\n.cm-s-default .cm-link {color: #00c;}\n\n.cm-s-default .cm-error {color: #f00;}\n.cm-invalidchar {color: #f00;}\n\n.CodeMirror-composing { border-bottom: 2px solid; }\n\n/* Default styles for common addons */\n\ndiv.CodeMirror span.CodeMirror-matchingbracket {color: #0b0;}\ndiv.CodeMirror span.CodeMirror-nonmatchingbracket {color: #a22;}\n.CodeMirror-matchingtag { background: rgba(255, 150, 0, .3); }\n.CodeMirror-activeline-background {background: #e8f2ff;}\n\n/* STOP */\n\n/* The rest of this file contains styles related to the mechanics of\n the editor. You probably shouldn't touch them. */\n\n.CodeMirror {\n position: relative;\n overflow: hidden;\n background: white;\n}\n\n.CodeMirror-scroll {\n overflow: scroll !important; /* Things will break if this is overridden */\n /* 50px is the magic margin used to hide the element's real scrollbars */\n /* See overflow: hidden in .CodeMirror */\n margin-bottom: -50px; margin-right: -50px;\n padding-bottom: 50px;\n height: 100%;\n outline: none; /* Prevent dragging from highlighting the element */\n position: relative;\n z-index: 0;\n}\n.CodeMirror-sizer {\n position: relative;\n border-right: 50px solid transparent;\n}\n\n/* The fake, visible scrollbars. Used to force redraw during scrolling\n before actual scrolling happens, thus preventing shaking and\n flickering artifacts. */\n.CodeMirror-vscrollbar, .CodeMirror-hscrollbar, .CodeMirror-scrollbar-filler, .CodeMirror-gutter-filler {\n position: absolute;\n z-index: 6;\n display: none;\n outline: none;\n}\n.CodeMirror-vscrollbar {\n right: 0; top: 0;\n overflow-x: hidden;\n overflow-y: scroll;\n}\n.CodeMirror-hscrollbar {\n bottom: 0; left: 0;\n overflow-y: hidden;\n overflow-x: scroll;\n}\n.CodeMirror-scrollbar-filler {\n right: 0; bottom: 0;\n}\n.CodeMirror-gutter-filler {\n left: 0; bottom: 0;\n}\n\n.CodeMirror-gutters {\n position: absolute; left: 0; top: 0;\n min-height: 100%;\n z-index: 3;\n}\n.CodeMirror-gutter {\n white-space: normal;\n height: 100%;\n display: inline-block;\n vertical-align: top;\n margin-bottom: -50px;\n}\n.CodeMirror-gutter-wrapper {\n position: absolute;\n z-index: 4;\n background: none !important;\n border: none !important;\n}\n.CodeMirror-gutter-background {\n position: absolute;\n top: 0; bottom: 0;\n z-index: 4;\n}\n.CodeMirror-gutter-elt {\n position: absolute;\n cursor: default;\n z-index: 4;\n}\n.CodeMirror-gutter-wrapper ::selection { background-color: transparent }\n.CodeMirror-gutter-wrapper ::-moz-selection { background-color: transparent }\n\n.CodeMirror-lines {\n cursor: text;\n min-height: 1px; /* prevents collapsing before first draw */\n}\n.CodeMirror pre.CodeMirror-line,\n.CodeMirror pre.CodeMirror-line-like {\n /* Reset some styles that the rest of the page might have set */\n -moz-border-radius: 0; -webkit-border-radius: 0; border-radius: 0;\n border-width: 0;\n background: transparent;\n font-family: inherit;\n font-size: inherit;\n margin: 0;\n white-space: pre;\n word-wrap: normal;\n line-height: inherit;\n color: inherit;\n z-index: 2;\n position: relative;\n overflow: visible;\n -webkit-tap-highlight-color: transparent;\n -webkit-font-variant-ligatures: contextual;\n font-variant-ligatures: contextual;\n}\n.CodeMirror-wrap pre.CodeMirror-line,\n.CodeMirror-wrap pre.CodeMirror-line-like {\n word-wrap: break-word;\n white-space: pre-wrap;\n word-break: normal;\n}\n\n.CodeMirror-linebackground {\n position: absolute;\n left: 0; right: 0; top: 0; bottom: 0;\n z-index: 0;\n}\n\n.CodeMirror-linewidget {\n position: relative;\n z-index: 2;\n padding: 0.1px; /* Force widget margins to stay inside of the container */\n}\n\n.CodeMirror-widget {}\n\n.CodeMirror-rtl pre { direction: rtl; }\n\n.CodeMirror-code {\n outline: none;\n}\n\n/* Force content-box sizing for the elements where we expect it */\n.CodeMirror-scroll,\n.CodeMirror-sizer,\n.CodeMirror-gutter,\n.CodeMirror-gutters,\n.CodeMirror-linenumber {\n -moz-box-sizing: content-box;\n box-sizing: content-box;\n}\n\n.CodeMirror-measure {\n position: absolute;\n width: 100%;\n height: 0;\n overflow: hidden;\n visibility: hidden;\n}\n\n.CodeMirror-cursor {\n position: absolute;\n pointer-events: none;\n}\n.CodeMirror-measure pre { position: static; }\n\ndiv.CodeMirror-cursors {\n visibility: hidden;\n position: relative;\n z-index: 3;\n}\ndiv.CodeMirror-dragcursors {\n visibility: visible;\n}\n\n.CodeMirror-focused div.CodeMirror-cursors {\n visibility: visible;\n}\n\n.CodeMirror-selected { background: #d9d9d9; }\n.CodeMirror-focused .CodeMirror-selected { background: #d7d4f0; }\n.CodeMirror-crosshair { cursor: crosshair; }\n.CodeMirror-line::selection, .CodeMirror-line > span::selection, .CodeMirror-line > span > span::selection { background: #d7d4f0; }\n.CodeMirror-line::-moz-selection, .CodeMirror-line > span::-moz-selection, .CodeMirror-line > span > span::-moz-selection { background: #d7d4f0; }\n\n.cm-searching {\n background-color: #ffa;\n background-color: rgba(255, 255, 0, .4);\n}\n\n/* Used to force a border model for a node */\n.cm-force-border { padding-right: .1px; }\n\n@media print {\n /* Hide the cursor when printing */\n .CodeMirror div.CodeMirror-cursors {\n visibility: hidden;\n }\n}\n\n/* See issue #2901 */\n.cm-tab-wrap-hack:after { content: ''; }\n\n/* Help users use markselection to safely style text background */\nspan.CodeMirror-selectedtext { background: none; }\n","",{version:3,sources:["webpack://./../../node_modules/codemirror/lib/codemirror.css"],names:[],mappings:"AAAA,WAAW;;AAEX;EACE,gEAAgE;EAChE,sBAAsB;EACtB,aAAa;EACb,YAAY;EACZ,cAAc;AAChB;;AAEA,YAAY;;AAEZ;EACE,cAAc,EAAE,oCAAoC;AACtD;AACA;;EAEE,cAAc,EAAE,kCAAkC;AACpD;;AAEA;EACE,uBAAuB,EAAE,iDAAiD;AAC5E;;AAEA,WAAW;;AAEX;EACE,4BAA4B;EAC5B,yBAAyB;EACzB,mBAAmB;AACrB;AACA,yBAAyB;AACzB;EACE,oBAAoB;EACpB,eAAe;EACf,iBAAiB;EACjB,WAAW;EACX,mBAAmB;AACrB;;AAEA,2BAA2B,YAAY,EAAE;AACzC,kCAAkC,WAAW,EAAE;;AAE/C,WAAW;;AAEX;EACE,4BAA4B;EAC5B,kBAAkB;EAClB,QAAQ;AACV;AACA,6CAA6C;AAC7C;EACE,6BAA6B;AAC/B;AACA;EACE,WAAW;EACX,oBAAoB;EACpB,gBAAgB;AAClB;AACA;EACE,UAAU;AACZ;AACA;;2DAE2D,uBAAuB,EAAE;AACpF;;gEAEgE,uBAAuB,EAAE;AACzF,iBAAiB,wBAAwB,EAAE;AAC3C;EACE,IAAI;EACJ,MAAM,6BAA6B,EAAE;EACrC,MAAM;AACR;AACA;EACE,IAAI;EACJ,MAAM,6BAA6B,EAAE;EACrC,MAAM;AACR;AACA;EACE,IAAI;EACJ,MAAM,6BAA6B,EAAE;EACrC,MAAM;AACR;;AAEA,8DAA8D;AAC9D,0CAA0C;;AAE1C,UAAU,qBAAqB,EAAE,wBAAwB,EAAE;;AAE3D;EACE,kBAAkB;EAClB,OAAO,EAAE,QAAQ,EAAE,UAAU,EAAE,SAAS;EACxC,gBAAgB;AAClB;AACA;EACE,2BAA2B;EAC3B,MAAM,EAAE,SAAS;EACjB,kBAAkB;AACpB;;AAEA,kBAAkB;;AAElB,0BAA0B,WAAW,CAAC;AACtC,yBAAyB,WAAW,CAAC;AACrC,cAAc,WAAW,CAAC;AAC1B,cAAc,WAAW,CAAC;AAC1B,wBAAwB,iBAAiB,CAAC;AAC1C,QAAQ,kBAAkB,CAAC;AAC3B,UAAU,0BAA0B,CAAC;AACrC,mBAAmB,6BAA6B,CAAC;;AAEjD,2BAA2B,WAAW,CAAC;AACvC,wBAAwB,WAAW,CAAC;AACpC,0BAA0B,WAAW,CAAC;AACtC,uBAAuB,WAAW,CAAC;AACnC;;;4BAG4B;AAC5B,8BAA8B,WAAW,CAAC;AAC1C,sDAAsD,WAAW,CAAC;AAClE,2BAA2B,WAAW,CAAC;AACvC,0BAA0B,WAAW,CAAC;AACtC,4BAA4B,WAAW,CAAC;AACxC,wBAAwB,WAAW,CAAC;AACpC,6BAA6B,WAAW,CAAC;AACzC,2BAA2B,WAAW,CAAC;AACvC,2BAA2B,WAAW,CAAC;AACvC,uBAAuB,WAAW,CAAC;AACnC,6BAA6B,WAAW,CAAC;AACzC,sBAAsB,WAAW,CAAC;AAClC,wBAAwB,WAAW,CAAC;;AAEpC,yBAAyB,WAAW,CAAC;AACrC,iBAAiB,WAAW,CAAC;;AAE7B,wBAAwB,wBAAwB,EAAE;;AAElD,qCAAqC;;AAErC,gDAAgD,WAAW,CAAC;AAC5D,mDAAmD,WAAW,CAAC;AAC/D,0BAA0B,iCAAiC,EAAE;AAC7D,mCAAmC,mBAAmB,CAAC;;AAEvD,SAAS;;AAET;mDACmD;;AAEnD;EACE,kBAAkB;EAClB,gBAAgB;EAChB,iBAAiB;AACnB;;AAEA;EACE,2BAA2B,EAAE,4CAA4C;EACzE,wEAAwE;EACxE,wCAAwC;EACxC,oBAAoB,EAAE,mBAAmB;EACzC,oBAAoB;EACpB,YAAY;EACZ,aAAa,EAAE,mDAAmD;EAClE,kBAAkB;EAClB,UAAU;AACZ;AACA;EACE,kBAAkB;EAClB,oCAAoC;AACtC;;AAEA;;0BAE0B;AAC1B;EACE,kBAAkB;EAClB,UAAU;EACV,aAAa;EACb,aAAa;AACf;AACA;EACE,QAAQ,EAAE,MAAM;EAChB,kBAAkB;EAClB,kBAAkB;AACpB;AACA;EACE,SAAS,EAAE,OAAO;EAClB,kBAAkB;EAClB,kBAAkB;AACpB;AACA;EACE,QAAQ,EAAE,SAAS;AACrB;AACA;EACE,OAAO,EAAE,SAAS;AACpB;;AAEA;EACE,kBAAkB,EAAE,OAAO,EAAE,MAAM;EACnC,gBAAgB;EAChB,UAAU;AACZ;AACA;EACE,mBAAmB;EACnB,YAAY;EACZ,qBAAqB;EACrB,mBAAmB;EACnB,oBAAoB;AACtB;AACA;EACE,kBAAkB;EAClB,UAAU;EACV,2BAA2B;EAC3B,uBAAuB;AACzB;AACA;EACE,kBAAkB;EAClB,MAAM,EAAE,SAAS;EACjB,UAAU;AACZ;AACA;EACE,kBAAkB;EAClB,eAAe;EACf,UAAU;AACZ;AACA,yCAAyC,8BAA8B;AACvE,8CAA8C,8BAA8B;;AAE5E;EACE,YAAY;EACZ,eAAe,EAAE,0CAA0C;AAC7D;AACA;;EAEE,+DAA+D;EAC/D,qBAAqB,EAAE,wBAAwB,EAAE,gBAAgB;EACjE,eAAe;EACf,uBAAuB;EACvB,oBAAoB;EACpB,kBAAkB;EAClB,SAAS;EACT,gBAAgB;EAChB,iBAAiB;EACjB,oBAAoB;EACpB,cAAc;EACd,UAAU;EACV,kBAAkB;EAClB,iBAAiB;EACjB,wCAAwC;EACxC,0CAA0C;EAC1C,kCAAkC;AACpC;AACA;;EAEE,qBAAqB;EACrB,qBAAqB;EACrB,kBAAkB;AACpB;;AAEA;EACE,kBAAkB;EAClB,OAAO,EAAE,QAAQ,EAAE,MAAM,EAAE,SAAS;EACpC,UAAU;AACZ;;AAEA;EACE,kBAAkB;EAClB,UAAU;EACV,cAAc,EAAE,yDAAyD;AAC3E;;AAEA,oBAAoB;;AAEpB,sBAAsB,cAAc,EAAE;;AAEtC;EACE,aAAa;AACf;;AAEA,iEAAiE;AACjE;;;;;EAKE,4BAA4B;EAC5B,uBAAuB;AACzB;;AAEA;EACE,kBAAkB;EAClB,WAAW;EACX,SAAS;EACT,gBAAgB;EAChB,kBAAkB;AACpB;;AAEA;EACE,kBAAkB;EAClB,oBAAoB;AACtB;AACA,0BAA0B,gBAAgB,EAAE;;AAE5C;EACE,kBAAkB;EAClB,kBAAkB;EAClB,UAAU;AACZ;AACA;EACE,mBAAmB;AACrB;;AAEA;EACE,mBAAmB;AACrB;;AAEA,uBAAuB,mBAAmB,EAAE;AAC5C,2CAA2C,mBAAmB,EAAE;AAChE,wBAAwB,iBAAiB,EAAE;AAC3C,6GAA6G,mBAAmB,EAAE;AAClI,4HAA4H,mBAAmB,EAAE;;AAEjJ;EACE,sBAAsB;EACtB,uCAAuC;AACzC;;AAEA,4CAA4C;AAC5C,mBAAmB,mBAAmB,EAAE;;AAExC;EACE,kCAAkC;EAClC;IACE,kBAAkB;EACpB;AACF;;AAEA,oBAAoB;AACpB,0BAA0B,WAAW,EAAE;;AAEvC,iEAAiE;AACjE,+BAA+B,gBAAgB,EAAE",sourcesContent:["/* BASICS */\n\n.CodeMirror {\n /* Set height, width, borders, and global font properties here */\n font-family: monospace;\n height: 300px;\n color: black;\n direction: ltr;\n}\n\n/* PADDING */\n\n.CodeMirror-lines {\n padding: 4px 0; /* Vertical padding around content */\n}\n.CodeMirror pre.CodeMirror-line,\n.CodeMirror pre.CodeMirror-line-like {\n padding: 0 4px; /* Horizontal padding of content */\n}\n\n.CodeMirror-scrollbar-filler, .CodeMirror-gutter-filler {\n background-color: white; /* The little square between H and V scrollbars */\n}\n\n/* GUTTER */\n\n.CodeMirror-gutters {\n border-right: 1px solid #ddd;\n background-color: #f7f7f7;\n white-space: nowrap;\n}\n.CodeMirror-linenumbers {}\n.CodeMirror-linenumber {\n padding: 0 3px 0 5px;\n min-width: 20px;\n text-align: right;\n color: #999;\n white-space: nowrap;\n}\n\n.CodeMirror-guttermarker { color: black; }\n.CodeMirror-guttermarker-subtle { color: #999; }\n\n/* CURSOR */\n\n.CodeMirror-cursor {\n border-left: 1px solid black;\n border-right: none;\n width: 0;\n}\n/* Shown when moving in bi-directional text */\n.CodeMirror div.CodeMirror-secondarycursor {\n border-left: 1px solid silver;\n}\n.cm-fat-cursor .CodeMirror-cursor {\n width: auto;\n border: 0 !important;\n background: #7e7;\n}\n.cm-fat-cursor div.CodeMirror-cursors {\n z-index: 1;\n}\n.cm-fat-cursor .CodeMirror-line::selection,\n.cm-fat-cursor .CodeMirror-line > span::selection, \n.cm-fat-cursor .CodeMirror-line > span > span::selection { background: transparent; }\n.cm-fat-cursor .CodeMirror-line::-moz-selection,\n.cm-fat-cursor .CodeMirror-line > span::-moz-selection,\n.cm-fat-cursor .CodeMirror-line > span > span::-moz-selection { background: transparent; }\n.cm-fat-cursor { caret-color: transparent; }\n@-moz-keyframes blink {\n 0% {}\n 50% { background-color: transparent; }\n 100% {}\n}\n@-webkit-keyframes blink {\n 0% {}\n 50% { background-color: transparent; }\n 100% {}\n}\n@keyframes blink {\n 0% {}\n 50% { background-color: transparent; }\n 100% {}\n}\n\n/* Can style cursor different in overwrite (non-insert) mode */\n.CodeMirror-overwrite .CodeMirror-cursor {}\n\n.cm-tab { display: inline-block; text-decoration: inherit; }\n\n.CodeMirror-rulers {\n position: absolute;\n left: 0; right: 0; top: -50px; bottom: 0;\n overflow: hidden;\n}\n.CodeMirror-ruler {\n border-left: 1px solid #ccc;\n top: 0; bottom: 0;\n position: absolute;\n}\n\n/* DEFAULT THEME */\n\n.cm-s-default .cm-header {color: blue;}\n.cm-s-default .cm-quote {color: #090;}\n.cm-negative {color: #d44;}\n.cm-positive {color: #292;}\n.cm-header, .cm-strong {font-weight: bold;}\n.cm-em {font-style: italic;}\n.cm-link {text-decoration: underline;}\n.cm-strikethrough {text-decoration: line-through;}\n\n.cm-s-default .cm-keyword {color: #708;}\n.cm-s-default .cm-atom {color: #219;}\n.cm-s-default .cm-number {color: #164;}\n.cm-s-default .cm-def {color: #00f;}\n.cm-s-default .cm-variable,\n.cm-s-default .cm-punctuation,\n.cm-s-default .cm-property,\n.cm-s-default .cm-operator {}\n.cm-s-default .cm-variable-2 {color: #05a;}\n.cm-s-default .cm-variable-3, .cm-s-default .cm-type {color: #085;}\n.cm-s-default .cm-comment {color: #a50;}\n.cm-s-default .cm-string {color: #a11;}\n.cm-s-default .cm-string-2 {color: #f50;}\n.cm-s-default .cm-meta {color: #555;}\n.cm-s-default .cm-qualifier {color: #555;}\n.cm-s-default .cm-builtin {color: #30a;}\n.cm-s-default .cm-bracket {color: #997;}\n.cm-s-default .cm-tag {color: #170;}\n.cm-s-default .cm-attribute {color: #00c;}\n.cm-s-default .cm-hr {color: #999;}\n.cm-s-default .cm-link {color: #00c;}\n\n.cm-s-default .cm-error {color: #f00;}\n.cm-invalidchar {color: #f00;}\n\n.CodeMirror-composing { border-bottom: 2px solid; }\n\n/* Default styles for common addons */\n\ndiv.CodeMirror span.CodeMirror-matchingbracket {color: #0b0;}\ndiv.CodeMirror span.CodeMirror-nonmatchingbracket {color: #a22;}\n.CodeMirror-matchingtag { background: rgba(255, 150, 0, .3); }\n.CodeMirror-activeline-background {background: #e8f2ff;}\n\n/* STOP */\n\n/* The rest of this file contains styles related to the mechanics of\n the editor. You probably shouldn't touch them. */\n\n.CodeMirror {\n position: relative;\n overflow: hidden;\n background: white;\n}\n\n.CodeMirror-scroll {\n overflow: scroll !important; /* Things will break if this is overridden */\n /* 50px is the magic margin used to hide the element's real scrollbars */\n /* See overflow: hidden in .CodeMirror */\n margin-bottom: -50px; margin-right: -50px;\n padding-bottom: 50px;\n height: 100%;\n outline: none; /* Prevent dragging from highlighting the element */\n position: relative;\n z-index: 0;\n}\n.CodeMirror-sizer {\n position: relative;\n border-right: 50px solid transparent;\n}\n\n/* The fake, visible scrollbars. Used to force redraw during scrolling\n before actual scrolling happens, thus preventing shaking and\n flickering artifacts. */\n.CodeMirror-vscrollbar, .CodeMirror-hscrollbar, .CodeMirror-scrollbar-filler, .CodeMirror-gutter-filler {\n position: absolute;\n z-index: 6;\n display: none;\n outline: none;\n}\n.CodeMirror-vscrollbar {\n right: 0; top: 0;\n overflow-x: hidden;\n overflow-y: scroll;\n}\n.CodeMirror-hscrollbar {\n bottom: 0; left: 0;\n overflow-y: hidden;\n overflow-x: scroll;\n}\n.CodeMirror-scrollbar-filler {\n right: 0; bottom: 0;\n}\n.CodeMirror-gutter-filler {\n left: 0; bottom: 0;\n}\n\n.CodeMirror-gutters {\n position: absolute; left: 0; top: 0;\n min-height: 100%;\n z-index: 3;\n}\n.CodeMirror-gutter {\n white-space: normal;\n height: 100%;\n display: inline-block;\n vertical-align: top;\n margin-bottom: -50px;\n}\n.CodeMirror-gutter-wrapper {\n position: absolute;\n z-index: 4;\n background: none !important;\n border: none !important;\n}\n.CodeMirror-gutter-background {\n position: absolute;\n top: 0; bottom: 0;\n z-index: 4;\n}\n.CodeMirror-gutter-elt {\n position: absolute;\n cursor: default;\n z-index: 4;\n}\n.CodeMirror-gutter-wrapper ::selection { background-color: transparent }\n.CodeMirror-gutter-wrapper ::-moz-selection { background-color: transparent }\n\n.CodeMirror-lines {\n cursor: text;\n min-height: 1px; /* prevents collapsing before first draw */\n}\n.CodeMirror pre.CodeMirror-line,\n.CodeMirror pre.CodeMirror-line-like {\n /* Reset some styles that the rest of the page might have set */\n -moz-border-radius: 0; -webkit-border-radius: 0; border-radius: 0;\n border-width: 0;\n background: transparent;\n font-family: inherit;\n font-size: inherit;\n margin: 0;\n white-space: pre;\n word-wrap: normal;\n line-height: inherit;\n color: inherit;\n z-index: 2;\n position: relative;\n overflow: visible;\n -webkit-tap-highlight-color: transparent;\n -webkit-font-variant-ligatures: contextual;\n font-variant-ligatures: contextual;\n}\n.CodeMirror-wrap pre.CodeMirror-line,\n.CodeMirror-wrap pre.CodeMirror-line-like {\n word-wrap: break-word;\n white-space: pre-wrap;\n word-break: normal;\n}\n\n.CodeMirror-linebackground {\n position: absolute;\n left: 0; right: 0; top: 0; bottom: 0;\n z-index: 0;\n}\n\n.CodeMirror-linewidget {\n position: relative;\n z-index: 2;\n padding: 0.1px; /* Force widget margins to stay inside of the container */\n}\n\n.CodeMirror-widget {}\n\n.CodeMirror-rtl pre { direction: rtl; }\n\n.CodeMirror-code {\n outline: none;\n}\n\n/* Force content-box sizing for the elements where we expect it */\n.CodeMirror-scroll,\n.CodeMirror-sizer,\n.CodeMirror-gutter,\n.CodeMirror-gutters,\n.CodeMirror-linenumber {\n -moz-box-sizing: content-box;\n box-sizing: content-box;\n}\n\n.CodeMirror-measure {\n position: absolute;\n width: 100%;\n height: 0;\n overflow: hidden;\n visibility: hidden;\n}\n\n.CodeMirror-cursor {\n position: absolute;\n pointer-events: none;\n}\n.CodeMirror-measure pre { position: static; }\n\ndiv.CodeMirror-cursors {\n visibility: hidden;\n position: relative;\n z-index: 3;\n}\ndiv.CodeMirror-dragcursors {\n visibility: visible;\n}\n\n.CodeMirror-focused div.CodeMirror-cursors {\n visibility: visible;\n}\n\n.CodeMirror-selected { background: #d9d9d9; }\n.CodeMirror-focused .CodeMirror-selected { background: #d7d4f0; }\n.CodeMirror-crosshair { cursor: crosshair; }\n.CodeMirror-line::selection, .CodeMirror-line > span::selection, .CodeMirror-line > span > span::selection { background: #d7d4f0; }\n.CodeMirror-line::-moz-selection, .CodeMirror-line > span::-moz-selection, .CodeMirror-line > span > span::-moz-selection { background: #d7d4f0; }\n\n.cm-searching {\n background-color: #ffa;\n background-color: rgba(255, 255, 0, .4);\n}\n\n/* Used to force a border model for a node */\n.cm-force-border { padding-right: .1px; }\n\n@media print {\n /* Hide the cursor when printing */\n .CodeMirror div.CodeMirror-cursors {\n visibility: hidden;\n }\n}\n\n/* See issue #2901 */\n.cm-tab-wrap-hack:after { content: ''; }\n\n/* Help users use markselection to safely style text background */\nspan.CodeMirror-selectedtext { background: none; }\n"],sourceRoot:""}]);const s=a},19467:(e,t,n)=>{"use strict";n.r(t),n.d(t,{default:()=>s});var r=n(40272),i=n.n(r),o=n(82609),a=n.n(o)()(i());a.push([e.id,"/*\n Name: material\n Author: Mattia Astorino (http://github.com/equinusocio)\n Website: https://material-theme.site/\n*/\n\n.cm-s-material.CodeMirror {\n background-color: #263238;\n color: #EEFFFF;\n}\n\n.cm-s-material .CodeMirror-gutters {\n background: #263238;\n color: #546E7A;\n border: none;\n}\n\n.cm-s-material .CodeMirror-guttermarker,\n.cm-s-material .CodeMirror-guttermarker-subtle,\n.cm-s-material .CodeMirror-linenumber {\n color: #546E7A;\n}\n\n.cm-s-material .CodeMirror-cursor {\n border-left: 1px solid #FFCC00;\n}\n.cm-s-material.cm-fat-cursor .CodeMirror-cursor {\n background-color: #5d6d5c80 !important;\n}\n.cm-s-material .cm-animate-fat-cursor {\n background-color: #5d6d5c80 !important;\n}\n\n.cm-s-material div.CodeMirror-selected {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material.CodeMirror-focused div.CodeMirror-selected {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material .CodeMirror-line::selection,\n.cm-s-material .CodeMirror-line>span::selection,\n.cm-s-material .CodeMirror-line>span>span::selection {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material .CodeMirror-line::-moz-selection,\n.cm-s-material .CodeMirror-line>span::-moz-selection,\n.cm-s-material .CodeMirror-line>span>span::-moz-selection {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material .CodeMirror-activeline-background {\n background: rgba(0, 0, 0, 0.5);\n}\n\n.cm-s-material .cm-keyword {\n color: #C792EA;\n}\n\n.cm-s-material .cm-operator {\n color: #89DDFF;\n}\n\n.cm-s-material .cm-variable-2 {\n color: #EEFFFF;\n}\n\n.cm-s-material .cm-variable-3,\n.cm-s-material .cm-type {\n color: #f07178;\n}\n\n.cm-s-material .cm-builtin {\n color: #FFCB6B;\n}\n\n.cm-s-material .cm-atom {\n color: #F78C6C;\n}\n\n.cm-s-material .cm-number {\n color: #FF5370;\n}\n\n.cm-s-material .cm-def {\n color: #82AAFF;\n}\n\n.cm-s-material .cm-string {\n color: #C3E88D;\n}\n\n.cm-s-material .cm-string-2 {\n color: #f07178;\n}\n\n.cm-s-material .cm-comment {\n color: #546E7A;\n}\n\n.cm-s-material .cm-variable {\n color: #f07178;\n}\n\n.cm-s-material .cm-tag {\n color: #FF5370;\n}\n\n.cm-s-material .cm-meta {\n color: #FFCB6B;\n}\n\n.cm-s-material .cm-attribute {\n color: #C792EA;\n}\n\n.cm-s-material .cm-property {\n color: #C792EA;\n}\n\n.cm-s-material .cm-qualifier {\n color: #DECB6B;\n}\n\n.cm-s-material .cm-variable-3,\n.cm-s-material .cm-type {\n color: #DECB6B;\n}\n\n\n.cm-s-material .cm-error {\n color: rgba(255, 255, 255, 1.0);\n background-color: #FF5370;\n}\n\n.cm-s-material .CodeMirror-matchingbracket {\n text-decoration: underline;\n color: white !important;\n}\n","",{version:3,sources:["webpack://./../../node_modules/codemirror/theme/material.css"],names:[],mappings:"AAAA;;;;CAIC;;AAED;EACE,yBAAyB;EACzB,cAAc;AAChB;;AAEA;EACE,mBAAmB;EACnB,cAAc;EACd,YAAY;AACd;;AAEA;;;EAGE,cAAc;AAChB;;AAEA;EACE,8BAA8B;AAChC;AACA;EACE,sCAAsC;AACxC;AACA;EACE,sCAAsC;AACxC;;AAEA;EACE,oCAAoC;AACtC;;AAEA;EACE,oCAAoC;AACtC;;AAEA;;;EAGE,oCAAoC;AACtC;;AAEA;;;EAGE,oCAAoC;AACtC;;AAEA;EACE,8BAA8B;AAChC;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;;EAEE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;EACE,cAAc;AAChB;;AAEA;;EAEE,cAAc;AAChB;;;AAGA;EACE,+BAA+B;EAC/B,yBAAyB;AAC3B;;AAEA;EACE,0BAA0B;EAC1B,uBAAuB;AACzB",sourcesContent:["/*\n Name: material\n Author: Mattia Astorino (http://github.com/equinusocio)\n Website: https://material-theme.site/\n*/\n\n.cm-s-material.CodeMirror {\n background-color: #263238;\n color: #EEFFFF;\n}\n\n.cm-s-material .CodeMirror-gutters {\n background: #263238;\n color: #546E7A;\n border: none;\n}\n\n.cm-s-material .CodeMirror-guttermarker,\n.cm-s-material .CodeMirror-guttermarker-subtle,\n.cm-s-material .CodeMirror-linenumber {\n color: #546E7A;\n}\n\n.cm-s-material .CodeMirror-cursor {\n border-left: 1px solid #FFCC00;\n}\n.cm-s-material.cm-fat-cursor .CodeMirror-cursor {\n background-color: #5d6d5c80 !important;\n}\n.cm-s-material .cm-animate-fat-cursor {\n background-color: #5d6d5c80 !important;\n}\n\n.cm-s-material div.CodeMirror-selected {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material.CodeMirror-focused div.CodeMirror-selected {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material .CodeMirror-line::selection,\n.cm-s-material .CodeMirror-line>span::selection,\n.cm-s-material .CodeMirror-line>span>span::selection {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material .CodeMirror-line::-moz-selection,\n.cm-s-material .CodeMirror-line>span::-moz-selection,\n.cm-s-material .CodeMirror-line>span>span::-moz-selection {\n background: rgba(128, 203, 196, 0.2);\n}\n\n.cm-s-material .CodeMirror-activeline-background {\n background: rgba(0, 0, 0, 0.5);\n}\n\n.cm-s-material .cm-keyword {\n color: #C792EA;\n}\n\n.cm-s-material .cm-operator {\n color: #89DDFF;\n}\n\n.cm-s-material .cm-variable-2 {\n color: #EEFFFF;\n}\n\n.cm-s-material .cm-variable-3,\n.cm-s-material .cm-type {\n color: #f07178;\n}\n\n.cm-s-material .cm-builtin {\n color: #FFCB6B;\n}\n\n.cm-s-material .cm-atom {\n color: #F78C6C;\n}\n\n.cm-s-material .cm-number {\n color: #FF5370;\n}\n\n.cm-s-material .cm-def {\n color: #82AAFF;\n}\n\n.cm-s-material .cm-string {\n color: #C3E88D;\n}\n\n.cm-s-material .cm-string-2 {\n color: #f07178;\n}\n\n.cm-s-material .cm-comment {\n color: #546E7A;\n}\n\n.cm-s-material .cm-variable {\n color: #f07178;\n}\n\n.cm-s-material .cm-tag {\n color: #FF5370;\n}\n\n.cm-s-material .cm-meta {\n color: #FFCB6B;\n}\n\n.cm-s-material .cm-attribute {\n color: #C792EA;\n}\n\n.cm-s-material .cm-property {\n color: #C792EA;\n}\n\n.cm-s-material .cm-qualifier {\n color: #DECB6B;\n}\n\n.cm-s-material .cm-variable-3,\n.cm-s-material .cm-type {\n color: #DECB6B;\n}\n\n\n.cm-s-material .cm-error {\n color: rgba(255, 255, 255, 1.0);\n background-color: #FF5370;\n}\n\n.cm-s-material .CodeMirror-matchingbracket {\n text-decoration: underline;\n color: white !important;\n}\n"],sourceRoot:""}]);const s=a},61077:(e,t,n)=>{"use strict";n.r(t),n.d(t,{default:()=>s});var r=n(40272),i=n.n(r),o=n(82609),a=n.n(o)()(i());a.push([e.id,'.ol-box {\n box-sizing: border-box;\n border-radius: 2px;\n border: 1.5px solid rgb(179,197,219);\n background-color: rgba(255,255,255,0.4);\n}\n\n.ol-mouse-position {\n top: 8px;\n right: 8px;\n position: absolute;\n}\n\n.ol-scale-line {\n background: rgba(0,60,136,0.3);\n border-radius: 4px;\n bottom: 8px;\n left: 8px;\n padding: 2px;\n position: absolute;\n}\n.ol-scale-line-inner {\n border: 1px solid #eee;\n border-top: none;\n color: #eee;\n font-size: 10px;\n text-align: center;\n margin: 1px;\n will-change: contents, width;\n transition: all 0.25s;\n}\n.ol-scale-singlebar-even{\n background-color: #000000;\n}\n.ol-scale-singlebar-odd{\n background-color: #ffffff;\n}\n.ol-scale-bar {\n position: absolute;\n bottom: 8px;\n left: 8px;\n}\n.ol-scale-step-marker {\n width: 1px;\n height: 15px;\n background-color: #000000;\n float: right;\n z-index: 10;\n}\n.ol-scale-step-text {\n position: absolute;\n bottom: -5px;\n font-size: 12px;\n z-index: 11;\n color: #000000;\n text-shadow: -2px 0 #FFFFFF, 0 2px #FFFFFF, 2px 0 #FFFFFF, 0 -2px #FFFFFF;\n}\n.ol-scale-text {\n position: absolute;\n font-size: 14px;\n text-align: center;\n bottom: 25px;\n color: #000000;\n text-shadow: -2px 0 #FFFFFF, 0 2px #FFFFFF, 2px 0 #FFFFFF, 0 -2px #FFFFFF;\n}\n.ol-scale-singlebar {\n position: relative;\n height: 10px;\n z-index: 9;\n box-sizing: border-box;\n border: 1px solid black;\n}\n\n.ol-unsupported {\n display: none;\n}\n.ol-viewport, .ol-unselectable {\n -webkit-touch-callout: none;\n -webkit-user-select: none;\n -moz-user-select: none;\n -ms-user-select: none;\n user-select: none;\n -webkit-tap-highlight-color: rgba(0,0,0,0);\n}\n.ol-viewport canvas {\n all: unset;\n}\n.ol-selectable {\n -webkit-touch-callout: default;\n -webkit-user-select: text;\n -moz-user-select: text;\n -ms-user-select: text;\n user-select: text;\n}\n.ol-grabbing {\n cursor: -webkit-grabbing;\n cursor: -moz-grabbing;\n cursor: grabbing;\n}\n.ol-grab {\n cursor: move;\n cursor: -webkit-grab;\n cursor: -moz-grab;\n cursor: grab;\n}\n.ol-control {\n position: absolute;\n background-color: rgba(255,255,255,0.4);\n border-radius: 4px;\n padding: 2px;\n}\n.ol-control:hover {\n background-color: rgba(255,255,255,0.6);\n}\n.ol-zoom {\n top: .5em;\n left: .5em;\n}\n.ol-rotate {\n top: .5em;\n right: .5em;\n transition: opacity .25s linear, visibility 0s linear;\n}\n.ol-rotate.ol-hidden {\n opacity: 0;\n visibility: hidden;\n transition: opacity .25s linear, visibility 0s linear .25s;\n}\n.ol-zoom-extent {\n top: 4.643em;\n left: .5em;\n}\n.ol-full-screen {\n right: .5em;\n top: .5em;\n}\n\n.ol-control button {\n display: block;\n margin: 1px;\n padding: 0;\n color: white;\n font-weight: bold;\n text-decoration: none;\n font-size: inherit;\n text-align: center;\n height: 1.375em;\n width: 1.375em;\n line-height: .4em;\n background-color: rgba(0,60,136,0.5);\n border: none;\n border-radius: 2px;\n}\n.ol-control button::-moz-focus-inner {\n border: none;\n padding: 0;\n}\n.ol-zoom-extent button {\n line-height: 1.4em;\n}\n.ol-compass {\n display: block;\n font-weight: normal;\n font-size: 1.2em;\n will-change: transform;\n}\n.ol-touch .ol-control button {\n font-size: 1.5em;\n}\n.ol-touch .ol-zoom-extent {\n top: 5.5em;\n}\n.ol-control button:hover,\n.ol-control button:focus {\n text-decoration: none;\n background-color: rgba(0,60,136,0.7);\n}\n.ol-zoom .ol-zoom-in {\n border-radius: 2px 2px 0 0;\n}\n.ol-zoom .ol-zoom-out {\n border-radius: 0 0 2px 2px;\n}\n\n\n.ol-attribution {\n text-align: right;\n bottom: .5em;\n right: .5em;\n max-width: calc(100% - 1.3em);\n display: flex;\n flex-flow: row-reverse;\n align-items: center;\n}\n.ol-attribution a {\n color: rgba(0,60,136,0.7);\n text-decoration: none;\n}\n.ol-attribution ul {\n margin: 0;\n padding: 1px .5em;\n color: #000;\n text-shadow: 0 0 2px #fff;\n font-size: 12px;\n}\n.ol-attribution li {\n display: inline;\n list-style: none;\n}\n.ol-attribution li:not(:last-child):after {\n content: " ";\n}\n.ol-attribution img {\n max-height: 2em;\n max-width: inherit;\n vertical-align: middle;\n}\n.ol-attribution button {\n flex-shrink: 0;\n}\n.ol-attribution.ol-collapsed ul {\n display: none;\n}\n.ol-attribution:not(.ol-collapsed) {\n background: rgba(255,255,255,0.8);\n}\n.ol-attribution.ol-uncollapsible {\n bottom: 0;\n right: 0;\n border-radius: 4px 0 0;\n}\n.ol-attribution.ol-uncollapsible img {\n margin-top: -.2em;\n max-height: 1.6em;\n}\n.ol-attribution.ol-uncollapsible button {\n display: none;\n}\n\n.ol-zoomslider {\n top: 4.5em;\n left: .5em;\n height: 200px;\n}\n.ol-zoomslider button {\n position: relative;\n height: 10px;\n}\n\n.ol-touch .ol-zoomslider {\n top: 5.5em;\n}\n\n.ol-overviewmap {\n left: 0.5em;\n bottom: 0.5em;\n}\n.ol-overviewmap.ol-uncollapsible {\n bottom: 0;\n left: 0;\n border-radius: 0 4px 0 0;\n}\n.ol-overviewmap .ol-overviewmap-map,\n.ol-overviewmap button {\n display: block;\n}\n.ol-overviewmap .ol-overviewmap-map {\n border: 1px solid #7b98bc;\n height: 150px;\n margin: 2px;\n width: 150px;\n}\n.ol-overviewmap:not(.ol-collapsed) button {\n bottom: 2px;\n left: 2px;\n position: absolute;\n}\n.ol-overviewmap.ol-collapsed .ol-overviewmap-map,\n.ol-overviewmap.ol-uncollapsible button {\n display: none;\n}\n.ol-overviewmap:not(.ol-collapsed) {\n background: rgba(255,255,255,0.8);\n}\n.ol-overviewmap-box {\n border: 2px dotted rgba(0,60,136,0.7);\n}\n\n.ol-overviewmap .ol-overviewmap-box:hover {\n cursor: move;\n}\n',"",{version:3,sources:["webpack://./../../node_modules/ol/ol.css"],names:[],mappings:"AAAA;EACE,sBAAsB;EACtB,kBAAkB;EAClB,oCAAoC;EACpC,uCAAuC;AACzC;;AAEA;EACE,QAAQ;EACR,UAAU;EACV,kBAAkB;AACpB;;AAEA;EACE,8BAA8B;EAC9B,kBAAkB;EAClB,WAAW;EACX,SAAS;EACT,YAAY;EACZ,kBAAkB;AACpB;AACA;EACE,sBAAsB;EACtB,gBAAgB;EAChB,WAAW;EACX,eAAe;EACf,kBAAkB;EAClB,WAAW;EACX,4BAA4B;EAC5B,qBAAqB;AACvB;AACA;EACE,yBAAyB;AAC3B;AACA;EACE,yBAAyB;AAC3B;AACA;EACE,kBAAkB;EAClB,WAAW;EACX,SAAS;AACX;AACA;EACE,UAAU;EACV,YAAY;EACZ,yBAAyB;EACzB,YAAY;EACZ,WAAW;AACb;AACA;EACE,kBAAkB;EAClB,YAAY;EACZ,eAAe;EACf,WAAW;EACX,cAAc;EACd,yEAAyE;AAC3E;AACA;EACE,kBAAkB;EAClB,eAAe;EACf,kBAAkB;EAClB,YAAY;EACZ,cAAc;EACd,yEAAyE;AAC3E;AACA;EACE,kBAAkB;EAClB,YAAY;EACZ,UAAU;EACV,sBAAsB;EACtB,uBAAuB;AACzB;;AAEA;EACE,aAAa;AACf;AACA;EACE,2BAA2B;EAC3B,yBAAyB;EACzB,sBAAsB;EACtB,qBAAqB;EACrB,iBAAiB;EACjB,0CAA0C;AAC5C;AACA;EACE,UAAU;AACZ;AACA;EACE,8BAA8B;EAC9B,yBAAyB;EACzB,sBAAsB;EACtB,qBAAqB;EACrB,iBAAiB;AACnB;AACA;EACE,wBAAwB;EACxB,qBAAqB;EACrB,gBAAgB;AAClB;AACA;EACE,YAAY;EACZ,oBAAoB;EACpB,iBAAiB;EACjB,YAAY;AACd;AACA;EACE,kBAAkB;EAClB,uCAAuC;EACvC,kBAAkB;EAClB,YAAY;AACd;AACA;EACE,uCAAuC;AACzC;AACA;EACE,SAAS;EACT,UAAU;AACZ;AACA;EACE,SAAS;EACT,WAAW;EACX,qDAAqD;AACvD;AACA;EACE,UAAU;EACV,kBAAkB;EAClB,0DAA0D;AAC5D;AACA;EACE,YAAY;EACZ,UAAU;AACZ;AACA;EACE,WAAW;EACX,SAAS;AACX;;AAEA;EACE,cAAc;EACd,WAAW;EACX,UAAU;EACV,YAAY;EACZ,iBAAiB;EACjB,qBAAqB;EACrB,kBAAkB;EAClB,kBAAkB;EAClB,eAAe;EACf,cAAc;EACd,iBAAiB;EACjB,oCAAoC;EACpC,YAAY;EACZ,kBAAkB;AACpB;AACA;EACE,YAAY;EACZ,UAAU;AACZ;AACA;EACE,kBAAkB;AACpB;AACA;EACE,cAAc;EACd,mBAAmB;EACnB,gBAAgB;EAChB,sBAAsB;AACxB;AACA;EACE,gBAAgB;AAClB;AACA;EACE,UAAU;AACZ;AACA;;EAEE,qBAAqB;EACrB,oCAAoC;AACtC;AACA;EACE,0BAA0B;AAC5B;AACA;EACE,0BAA0B;AAC5B;;;AAGA;EACE,iBAAiB;EACjB,YAAY;EACZ,WAAW;EACX,6BAA6B;EAC7B,aAAa;EACb,sBAAsB;EACtB,mBAAmB;AACrB;AACA;EACE,yBAAyB;EACzB,qBAAqB;AACvB;AACA;EACE,SAAS;EACT,iBAAiB;EACjB,WAAW;EACX,yBAAyB;EACzB,eAAe;AACjB;AACA;EACE,eAAe;EACf,gBAAgB;AAClB;AACA;EACE,YAAY;AACd;AACA;EACE,eAAe;EACf,kBAAkB;EAClB,sBAAsB;AACxB;AACA;EACE,cAAc;AAChB;AACA;EACE,aAAa;AACf;AACA;EACE,iCAAiC;AACnC;AACA;EACE,SAAS;EACT,QAAQ;EACR,sBAAsB;AACxB;AACA;EACE,iBAAiB;EACjB,iBAAiB;AACnB;AACA;EACE,aAAa;AACf;;AAEA;EACE,UAAU;EACV,UAAU;EACV,aAAa;AACf;AACA;EACE,kBAAkB;EAClB,YAAY;AACd;;AAEA;EACE,UAAU;AACZ;;AAEA;EACE,WAAW;EACX,aAAa;AACf;AACA;EACE,SAAS;EACT,OAAO;EACP,wBAAwB;AAC1B;AACA;;EAEE,cAAc;AAChB;AACA;EACE,yBAAyB;EACzB,aAAa;EACb,WAAW;EACX,YAAY;AACd;AACA;EACE,WAAW;EACX,SAAS;EACT,kBAAkB;AACpB;AACA;;EAEE,aAAa;AACf;AACA;EACE,iCAAiC;AACnC;AACA;EACE,qCAAqC;AACvC;;AAEA;EACE,YAAY;AACd",sourcesContent:['.ol-box {\n box-sizing: border-box;\n border-radius: 2px;\n border: 1.5px solid rgb(179,197,219);\n background-color: rgba(255,255,255,0.4);\n}\n\n.ol-mouse-position {\n top: 8px;\n right: 8px;\n position: absolute;\n}\n\n.ol-scale-line {\n background: rgba(0,60,136,0.3);\n border-radius: 4px;\n bottom: 8px;\n left: 8px;\n padding: 2px;\n position: absolute;\n}\n.ol-scale-line-inner {\n border: 1px solid #eee;\n border-top: none;\n color: #eee;\n font-size: 10px;\n text-align: center;\n margin: 1px;\n will-change: contents, width;\n transition: all 0.25s;\n}\n.ol-scale-singlebar-even{\n background-color: #000000;\n}\n.ol-scale-singlebar-odd{\n background-color: #ffffff;\n}\n.ol-scale-bar {\n position: absolute;\n bottom: 8px;\n left: 8px;\n}\n.ol-scale-step-marker {\n width: 1px;\n height: 15px;\n background-color: #000000;\n float: right;\n z-index: 10;\n}\n.ol-scale-step-text {\n position: absolute;\n bottom: -5px;\n font-size: 12px;\n z-index: 11;\n color: #000000;\n text-shadow: -2px 0 #FFFFFF, 0 2px #FFFFFF, 2px 0 #FFFFFF, 0 -2px #FFFFFF;\n}\n.ol-scale-text {\n position: absolute;\n font-size: 14px;\n text-align: center;\n bottom: 25px;\n color: #000000;\n text-shadow: -2px 0 #FFFFFF, 0 2px #FFFFFF, 2px 0 #FFFFFF, 0 -2px #FFFFFF;\n}\n.ol-scale-singlebar {\n position: relative;\n height: 10px;\n z-index: 9;\n box-sizing: border-box;\n border: 1px solid black;\n}\n\n.ol-unsupported {\n display: none;\n}\n.ol-viewport, .ol-unselectable {\n -webkit-touch-callout: none;\n -webkit-user-select: none;\n -moz-user-select: none;\n -ms-user-select: none;\n user-select: none;\n -webkit-tap-highlight-color: rgba(0,0,0,0);\n}\n.ol-viewport canvas {\n all: unset;\n}\n.ol-selectable {\n -webkit-touch-callout: default;\n -webkit-user-select: text;\n -moz-user-select: text;\n -ms-user-select: text;\n user-select: text;\n}\n.ol-grabbing {\n cursor: -webkit-grabbing;\n cursor: -moz-grabbing;\n cursor: grabbing;\n}\n.ol-grab {\n cursor: move;\n cursor: -webkit-grab;\n cursor: -moz-grab;\n cursor: grab;\n}\n.ol-control {\n position: absolute;\n background-color: rgba(255,255,255,0.4);\n border-radius: 4px;\n padding: 2px;\n}\n.ol-control:hover {\n background-color: rgba(255,255,255,0.6);\n}\n.ol-zoom {\n top: .5em;\n left: .5em;\n}\n.ol-rotate {\n top: .5em;\n right: .5em;\n transition: opacity .25s linear, visibility 0s linear;\n}\n.ol-rotate.ol-hidden {\n opacity: 0;\n visibility: hidden;\n transition: opacity .25s linear, visibility 0s linear .25s;\n}\n.ol-zoom-extent {\n top: 4.643em;\n left: .5em;\n}\n.ol-full-screen {\n right: .5em;\n top: .5em;\n}\n\n.ol-control button {\n display: block;\n margin: 1px;\n padding: 0;\n color: white;\n font-weight: bold;\n text-decoration: none;\n font-size: inherit;\n text-align: center;\n height: 1.375em;\n width: 1.375em;\n line-height: .4em;\n background-color: rgba(0,60,136,0.5);\n border: none;\n border-radius: 2px;\n}\n.ol-control button::-moz-focus-inner {\n border: none;\n padding: 0;\n}\n.ol-zoom-extent button {\n line-height: 1.4em;\n}\n.ol-compass {\n display: block;\n font-weight: normal;\n font-size: 1.2em;\n will-change: transform;\n}\n.ol-touch .ol-control button {\n font-size: 1.5em;\n}\n.ol-touch .ol-zoom-extent {\n top: 5.5em;\n}\n.ol-control button:hover,\n.ol-control button:focus {\n text-decoration: none;\n background-color: rgba(0,60,136,0.7);\n}\n.ol-zoom .ol-zoom-in {\n border-radius: 2px 2px 0 0;\n}\n.ol-zoom .ol-zoom-out {\n border-radius: 0 0 2px 2px;\n}\n\n\n.ol-attribution {\n text-align: right;\n bottom: .5em;\n right: .5em;\n max-width: calc(100% - 1.3em);\n display: flex;\n flex-flow: row-reverse;\n align-items: center;\n}\n.ol-attribution a {\n color: rgba(0,60,136,0.7);\n text-decoration: none;\n}\n.ol-attribution ul {\n margin: 0;\n padding: 1px .5em;\n color: #000;\n text-shadow: 0 0 2px #fff;\n font-size: 12px;\n}\n.ol-attribution li {\n display: inline;\n list-style: none;\n}\n.ol-attribution li:not(:last-child):after {\n content: " ";\n}\n.ol-attribution img {\n max-height: 2em;\n max-width: inherit;\n vertical-align: middle;\n}\n.ol-attribution button {\n flex-shrink: 0;\n}\n.ol-attribution.ol-collapsed ul {\n display: none;\n}\n.ol-attribution:not(.ol-collapsed) {\n background: rgba(255,255,255,0.8);\n}\n.ol-attribution.ol-uncollapsible {\n bottom: 0;\n right: 0;\n border-radius: 4px 0 0;\n}\n.ol-attribution.ol-uncollapsible img {\n margin-top: -.2em;\n max-height: 1.6em;\n}\n.ol-attribution.ol-uncollapsible button {\n display: none;\n}\n\n.ol-zoomslider {\n top: 4.5em;\n left: .5em;\n height: 200px;\n}\n.ol-zoomslider button {\n position: relative;\n height: 10px;\n}\n\n.ol-touch .ol-zoomslider {\n top: 5.5em;\n}\n\n.ol-overviewmap {\n left: 0.5em;\n bottom: 0.5em;\n}\n.ol-overviewmap.ol-uncollapsible {\n bottom: 0;\n left: 0;\n border-radius: 0 4px 0 0;\n}\n.ol-overviewmap .ol-overviewmap-map,\n.ol-overviewmap button {\n display: block;\n}\n.ol-overviewmap .ol-overviewmap-map {\n border: 1px solid #7b98bc;\n height: 150px;\n margin: 2px;\n width: 150px;\n}\n.ol-overviewmap:not(.ol-collapsed) button {\n bottom: 2px;\n left: 2px;\n position: absolute;\n}\n.ol-overviewmap.ol-collapsed .ol-overviewmap-map,\n.ol-overviewmap.ol-uncollapsible button {\n display: none;\n}\n.ol-overviewmap:not(.ol-collapsed) {\n background: rgba(255,255,255,0.8);\n}\n.ol-overviewmap-box {\n border: 2px dotted rgba(0,60,136,0.7);\n}\n\n.ol-overviewmap .ol-overviewmap-box:hover {\n cursor: move;\n}\n'],sourceRoot:""}]);const s=a},82609:e=>{"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n="",r=void 0!==t[5];return t[4]&&(n+="@supports (".concat(t[4],") {")),t[2]&&(n+="@media ".concat(t[2]," {")),r&&(n+="@layer".concat(t[5].length>0?" ".concat(t[5]):""," {")),n+=e(t),r&&(n+="}"),t[2]&&(n+="}"),t[4]&&(n+="}"),n})).join("")},t.i=function(e,n,r,i,o){"string"==typeof e&&(e=[[null,e,void 0]]);var a={};if(r)for(var s=0;s<this.length;s++){var l=this[s][0];null!=l&&(a[l]=!0)}for(var u=0;u<e.length;u++){var c=[].concat(e[u]);r&&a[c[0]]||(void 0!==o&&(void 0===c[5]||(c[1]="@layer".concat(c[5].length>0?" ".concat(c[5]):""," {").concat(c[1],"}")),c[5]=o),n&&(c[2]?(c[1]="@media ".concat(c[2]," {").concat(c[1],"}"),c[2]=n):c[2]=n),i&&(c[4]?(c[1]="@supports (".concat(c[4],") {").concat(c[1],"}"),c[4]=i):c[4]="".concat(i)),t.push(c))}},t}},40272:e=>{"use strict";e.exports=function(e){var t=e[1],n=e[3];if(!n)return t;if("function"==typeof btoa){var r=btoa(unescape(encodeURIComponent(JSON.stringify(n)))),i="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(r),o="/*# ".concat(i," */");return[t].concat([o]).join("\n")}return[t].join("\n")}},28879:function(e){e.exports=function(){"use strict";var e=6e4,t=36e5,n="millisecond",r="second",i="minute",o="hour",a="day",s="week",l="month",u="quarter",c="year",d="date",p="Invalid Date",f=/^(\d{4})[-/]?(\d{1,2})?[-/]?(\d{0,2})[Tt\s]*(\d{1,2})?:?(\d{1,2})?:?(\d{1,2})?[.:]?(\d+)?$/,h=/\[([^\]]+)]|Y{1,4}|M{1,4}|D{1,2}|d{1,4}|H{1,2}|h{1,2}|a|A|m{1,2}|s{1,2}|Z{1,2}|SSS/g,m={name:"en",weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),ordinal:function(e){var t=["th","st","nd","rd"],n=e%100;return"["+e+(t[(n-20)%10]||t[n]||t[0])+"]"}},g=function(e,t,n){var r=String(e);return!r||r.length>=t?e:""+Array(t+1-r.length).join(n)+e},v={s:g,z:function(e){var t=-e.utcOffset(),n=Math.abs(t),r=Math.floor(n/60),i=n%60;return(t<=0?"+":"-")+g(r,2,"0")+":"+g(i,2,"0")},m:function e(t,n){if(t.date()<n.date())return-e(n,t);var r=12*(n.year()-t.year())+(n.month()-t.month()),i=t.clone().add(r,l),o=n-i<0,a=t.clone().add(r+(o?-1:1),l);return+(-(r+(n-i)/(o?i-a:a-i))||0)},a:function(e){return e<0?Math.ceil(e)||0:Math.floor(e)},p:function(e){return{M:l,y:c,w:s,d:a,D:d,h:o,m:i,s:r,ms:n,Q:u}[e]||String(e||"").toLowerCase().replace(/s$/,"")},u:function(e){return void 0===e}},y="en",b={};b[y]=m;var w="$isDayjsObject",_=function(e){return e instanceof C||!(!e||!e[w])},x=function e(t,n,r){var i;if(!t)return y;if("string"==typeof t){var o=t.toLowerCase();b[o]&&(i=o),n&&(b[o]=n,i=o);var a=t.split("-");if(!i&&a.length>1)return e(a[0])}else{var s=t.name;b[s]=t,i=s}return!r&&i&&(y=i),i||!r&&y},k=function(e,t){if(_(e))return e.clone();var n="object"==typeof t?t:{};return n.date=e,n.args=arguments,new C(n)},E=v;E.l=x,E.i=_,E.w=function(e,t){return k(e,{locale:t.$L,utc:t.$u,x:t.$x,$offset:t.$offset})};var C=function(){function m(e){this.$L=x(e.locale,null,!0),this.parse(e),this.$x=this.$x||e.x||{},this[w]=!0}var g=m.prototype;return g.parse=function(e){this.$d=function(e){var t=e.date,n=e.utc;if(null===t)return new Date(NaN);if(E.u(t))return new Date;if(t instanceof Date)return new Date(t);if("string"==typeof t&&!/Z$/i.test(t)){var r=t.match(f);if(r){var i=r[2]-1||0,o=(r[7]||"0").substring(0,3);return n?new Date(Date.UTC(r[1],i,r[3]||1,r[4]||0,r[5]||0,r[6]||0,o)):new Date(r[1],i,r[3]||1,r[4]||0,r[5]||0,r[6]||0,o)}}return new Date(t)}(e),this.init()},g.init=function(){var e=this.$d;this.$y=e.getFullYear(),this.$M=e.getMonth(),this.$D=e.getDate(),this.$W=e.getDay(),this.$H=e.getHours(),this.$m=e.getMinutes(),this.$s=e.getSeconds(),this.$ms=e.getMilliseconds()},g.$utils=function(){return E},g.isValid=function(){return!(this.$d.toString()===p)},g.isSame=function(e,t){var n=k(e);return this.startOf(t)<=n&&n<=this.endOf(t)},g.isAfter=function(e,t){return k(e)<this.startOf(t)},g.isBefore=function(e,t){return this.endOf(t)<k(e)},g.$g=function(e,t,n){return E.u(e)?this[t]:this.set(n,e)},g.unix=function(){return Math.floor(this.valueOf()/1e3)},g.valueOf=function(){return this.$d.getTime()},g.startOf=function(e,t){var n=this,u=!!E.u(t)||t,p=E.p(e),f=function(e,t){var r=E.w(n.$u?Date.UTC(n.$y,t,e):new Date(n.$y,t,e),n);return u?r:r.endOf(a)},h=function(e,t){return E.w(n.toDate()[e].apply(n.toDate("s"),(u?[0,0,0,0]:[23,59,59,999]).slice(t)),n)},m=this.$W,g=this.$M,v=this.$D,y="set"+(this.$u?"UTC":"");switch(p){case c:return u?f(1,0):f(31,11);case l:return u?f(1,g):f(0,g+1);case s:var b=this.$locale().weekStart||0,w=(m<b?m+7:m)-b;return f(u?v-w:v+(6-w),g);case a:case d:return h(y+"Hours",0);case o:return h(y+"Minutes",1);case i:return h(y+"Seconds",2);case r:return h(y+"Milliseconds",3);default:return this.clone()}},g.endOf=function(e){return this.startOf(e,!1)},g.$set=function(e,t){var s,u=E.p(e),p="set"+(this.$u?"UTC":""),f=(s={},s[a]=p+"Date",s[d]=p+"Date",s[l]=p+"Month",s[c]=p+"FullYear",s[o]=p+"Hours",s[i]=p+"Minutes",s[r]=p+"Seconds",s[n]=p+"Milliseconds",s)[u],h=u===a?this.$D+(t-this.$W):t;if(u===l||u===c){var m=this.clone().set(d,1);m.$d[f](h),m.init(),this.$d=m.set(d,Math.min(this.$D,m.daysInMonth())).$d}else f&&this.$d[f](h);return this.init(),this},g.set=function(e,t){return this.clone().$set(e,t)},g.get=function(e){return this[E.p(e)]()},g.add=function(n,u){var d,p=this;n=Number(n);var f=E.p(u),h=function(e){var t=k(p);return E.w(t.date(t.date()+Math.round(e*n)),p)};if(f===l)return this.set(l,this.$M+n);if(f===c)return this.set(c,this.$y+n);if(f===a)return h(1);if(f===s)return h(7);var m=(d={},d[i]=e,d[o]=t,d[r]=1e3,d)[f]||1,g=this.$d.getTime()+n*m;return E.w(g,this)},g.subtract=function(e,t){return this.add(-1*e,t)},g.format=function(e){var t=this,n=this.$locale();if(!this.isValid())return n.invalidDate||p;var r=e||"YYYY-MM-DDTHH:mm:ssZ",i=E.z(this),o=this.$H,a=this.$m,s=this.$M,l=n.weekdays,u=n.months,c=n.meridiem,d=function(e,n,i,o){return e&&(e[n]||e(t,r))||i[n].slice(0,o)},f=function(e){return E.s(o%12||12,e,"0")},m=c||function(e,t,n){var r=e<12?"AM":"PM";return n?r.toLowerCase():r};return r.replace(h,(function(e,r){return r||function(e){switch(e){case"YY":return String(t.$y).slice(-2);case"YYYY":return E.s(t.$y,4,"0");case"M":return s+1;case"MM":return E.s(s+1,2,"0");case"MMM":return d(n.monthsShort,s,u,3);case"MMMM":return d(u,s);case"D":return t.$D;case"DD":return E.s(t.$D,2,"0");case"d":return String(t.$W);case"dd":return d(n.weekdaysMin,t.$W,l,2);case"ddd":return d(n.weekdaysShort,t.$W,l,3);case"dddd":return l[t.$W];case"H":return String(o);case"HH":return E.s(o,2,"0");case"h":return f(1);case"hh":return f(2);case"a":return m(o,a,!0);case"A":return m(o,a,!1);case"m":return String(a);case"mm":return E.s(a,2,"0");case"s":return String(t.$s);case"ss":return E.s(t.$s,2,"0");case"SSS":return E.s(t.$ms,3,"0");case"Z":return i}return null}(e)||i.replace(":","")}))},g.utcOffset=function(){return 15*-Math.round(this.$d.getTimezoneOffset()/15)},g.diff=function(n,d,p){var f,h=this,m=E.p(d),g=k(n),v=(g.utcOffset()-this.utcOffset())*e,y=this-g,b=function(){return E.m(h,g)};switch(m){case c:f=b()/12;break;case l:f=b();break;case u:f=b()/3;break;case s:f=(y-v)/6048e5;break;case a:f=(y-v)/864e5;break;case o:f=y/t;break;case i:f=y/e;break;case r:f=y/1e3;break;default:f=y}return p?f:E.a(f)},g.daysInMonth=function(){return this.endOf(l).$D},g.$locale=function(){return b[this.$L]},g.locale=function(e,t){if(!e)return this.$L;var n=this.clone(),r=x(e,t,!0);return r&&(n.$L=r),n},g.clone=function(){return E.w(this.$d,this)},g.toDate=function(){return new Date(this.valueOf())},g.toJSON=function(){return this.isValid()?this.toISOString():null},g.toISOString=function(){return this.$d.toISOString()},g.toString=function(){return this.$d.toUTCString()},m}(),S=C.prototype;return k.prototype=S,[["$ms",n],["$s",r],["$m",i],["$H",o],["$W",a],["$M",l],["$y",c],["$D",d]].forEach((function(e){S[e[1]]=function(t){return this.$g(t,e[0],e[1])}})),k.extend=function(e,t){return e.$i||(e(t,C,k),e.$i=!0),k},k.locale=x,k.isDayjs=_,k.unix=function(e){return k(1e3*e)},k.en=b[y],k.Ls=b,k.p={},k}()},89945:function(e){e.exports=function(){"use strict";var e={LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},t=/(\[[^[]*\])|([-_:/.,()\s]+)|(A|a|YYYY|YY?|MM?M?M?|Do|DD?|hh?|HH?|mm?|ss?|S{1,3}|z|ZZ?)/g,n=/\d\d/,r=/\d\d?/,i=/\d*[^-_:/,()\s\d]+/,o={},a=function(e){return(e=+e)+(e>68?1900:2e3)},s=function(e){return function(t){this[e]=+t}},l=[/[+-]\d\d:?(\d\d)?|Z/,function(e){(this.zone||(this.zone={})).offset=function(e){if(!e)return 0;if("Z"===e)return 0;var t=e.match(/([+-]|\d\d)/g),n=60*t[1]+(+t[2]||0);return 0===n?0:"+"===t[0]?-n:n}(e)}],u=function(e){var t=o[e];return t&&(t.indexOf?t:t.s.concat(t.f))},c=function(e,t){var n,r=o.meridiem;if(r){for(var i=1;i<=24;i+=1)if(e.indexOf(r(i,0,t))>-1){n=i>12;break}}else n=e===(t?"pm":"PM");return n},d={A:[i,function(e){this.afternoon=c(e,!1)}],a:[i,function(e){this.afternoon=c(e,!0)}],S:[/\d/,function(e){this.milliseconds=100*+e}],SS:[n,function(e){this.milliseconds=10*+e}],SSS:[/\d{3}/,function(e){this.milliseconds=+e}],s:[r,s("seconds")],ss:[r,s("seconds")],m:[r,s("minutes")],mm:[r,s("minutes")],H:[r,s("hours")],h:[r,s("hours")],HH:[r,s("hours")],hh:[r,s("hours")],D:[r,s("day")],DD:[n,s("day")],Do:[i,function(e){var t=o.ordinal,n=e.match(/\d+/);if(this.day=n[0],t)for(var r=1;r<=31;r+=1)t(r).replace(/\[|\]/g,"")===e&&(this.day=r)}],M:[r,s("month")],MM:[n,s("month")],MMM:[i,function(e){var t=u("months"),n=(u("monthsShort")||t.map((function(e){return e.slice(0,3)}))).indexOf(e)+1;if(n<1)throw new Error;this.month=n%12||n}],MMMM:[i,function(e){var t=u("months").indexOf(e)+1;if(t<1)throw new Error;this.month=t%12||t}],Y:[/[+-]?\d+/,s("year")],YY:[n,function(e){this.year=a(e)}],YYYY:[/\d{4}/,s("year")],Z:l,ZZ:l};function p(n){var r,i;r=n,i=o&&o.formats;for(var a=(n=r.replace(/(\[[^\]]+])|(LTS?|l{1,4}|L{1,4})/g,(function(t,n,r){var o=r&&r.toUpperCase();return n||i[r]||e[r]||i[o].replace(/(\[[^\]]+])|(MMMM|MM|DD|dddd)/g,(function(e,t,n){return t||n.slice(1)}))}))).match(t),s=a.length,l=0;l<s;l+=1){var u=a[l],c=d[u],p=c&&c[0],f=c&&c[1];a[l]=f?{regex:p,parser:f}:u.replace(/^\[|\]$/g,"")}return function(e){for(var t={},n=0,r=0;n<s;n+=1){var i=a[n];if("string"==typeof i)r+=i.length;else{var o=i.regex,l=i.parser,u=e.slice(r),c=o.exec(u)[0];l.call(t,c),e=e.replace(c,"")}}return function(e){var t=e.afternoon;if(void 0!==t){var n=e.hours;t?n<12&&(e.hours+=12):12===n&&(e.hours=0),delete e.afternoon}}(t),t}}return function(e,t,n){n.p.customParseFormat=!0,e&&e.parseTwoDigitYear&&(a=e.parseTwoDigitYear);var r=t.prototype,i=r.parse;r.parse=function(e){var t=e.date,r=e.utc,a=e.args;this.$u=r;var s=a[1];if("string"==typeof s){var l=!0===a[2],u=!0===a[3],c=l||u,d=a[2];u&&(d=a[2]),o=this.$locale(),!l&&d&&(o=n.Ls[d]),this.$d=function(e,t,n){try{if(["x","X"].indexOf(t)>-1)return new Date(("X"===t?1e3:1)*e);var r=p(t)(e),i=r.year,o=r.month,a=r.day,s=r.hours,l=r.minutes,u=r.seconds,c=r.milliseconds,d=r.zone,f=new Date,h=a||(i||o?1:f.getDate()),m=i||f.getFullYear(),g=0;i&&!o||(g=o>0?o-1:f.getMonth());var v=s||0,y=l||0,b=u||0,w=c||0;return d?new Date(Date.UTC(m,g,h,v,y,b,w+60*d.offset*1e3)):n?new Date(Date.UTC(m,g,h,v,y,b,w)):new Date(m,g,h,v,y,b,w)}catch(e){return new Date("")}}(t,s,r),this.init(),d&&!0!==d&&(this.$L=this.locale(d).$L),c&&t!=this.format(s)&&(this.$d=new Date("")),o={}}else if(s instanceof Array)for(var f=s.length,h=1;h<=f;h+=1){a[1]=s[h-1];var m=n.apply(this,a);if(m.isValid()){this.$d=m.$d,this.$L=m.$L,this.init();break}h===f&&(this.$d=new Date(""))}else i.call(this,e)}}}()},33147:function(e){e.exports=function(){"use strict";var e={LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"};return function(t,n,r){var i=n.prototype,o=i.format;r.en.formats=e,i.format=function(t){void 0===t&&(t="YYYY-MM-DDTHH:mm:ssZ");var n=this.$locale().formats,r=function(t,n){return t.replace(/(\[[^\]]+])|(LTS?|l{1,4}|L{1,4})/g,(function(t,r,i){var o=i&&i.toUpperCase();return r||n[i]||e[i]||n[o].replace(/(\[[^\]]+])|(MMMM|MM|DD|dddd)/g,(function(e,t,n){return t||n.slice(1)}))}))}(t,void 0===n?{}:n);return o.call(this,r)}}}()},10264:function(e){e.exports=function(){"use strict";var e="minute",t=/[+-]\d\d(?::?\d\d)?/g,n=/([+-]|\d\d)/g;return function(r,i,o){var a=i.prototype;o.utc=function(e){return new i({date:e,utc:!0,args:arguments})},a.utc=function(t){var n=o(this.toDate(),{locale:this.$L,utc:!0});return t?n.add(this.utcOffset(),e):n},a.local=function(){return o(this.toDate(),{locale:this.$L,utc:!1})};var s=a.parse;a.parse=function(e){e.utc&&(this.$u=!0),this.$utils().u(e.$offset)||(this.$offset=e.$offset),s.call(this,e)};var l=a.init;a.init=function(){if(this.$u){var e=this.$d;this.$y=e.getUTCFullYear(),this.$M=e.getUTCMonth(),this.$D=e.getUTCDate(),this.$W=e.getUTCDay(),this.$H=e.getUTCHours(),this.$m=e.getUTCMinutes(),this.$s=e.getUTCSeconds(),this.$ms=e.getUTCMilliseconds()}else l.call(this)};var u=a.utcOffset;a.utcOffset=function(r,i){var o=this.$utils().u;if(o(r))return this.$u?0:o(this.$offset)?u.call(this):this.$offset;if("string"==typeof r&&(r=function(e){void 0===e&&(e="");var r=e.match(t);if(!r)return null;var i=(""+r[0]).match(n)||["-",0,0],o=i[0],a=60*+i[1]+ +i[2];return 0===a?0:"+"===o?a:-a}(r),null===r))return this;var a=Math.abs(r)<=16?60*r:r,s=this;if(i)return s.$offset=a,s.$u=0===r,s;if(0!==r){var l=this.$u?this.toDate().getTimezoneOffset():-1*this.utcOffset();(s=this.local().add(a+l,e)).$offset=a,s.$x.$localOffset=l}else s=this.utc();return s};var c=a.format;a.format=function(e){var t=e||(this.$u?"YYYY-MM-DDTHH:mm:ss[Z]":"");return c.call(this,t)},a.valueOf=function(){var e=this.$utils().u(this.$offset)?0:this.$offset+(this.$x.$localOffset||this.$d.getTimezoneOffset());return this.$d.valueOf()-6e4*e},a.isUTC=function(){return!!this.$u},a.toISOString=function(){return this.toDate().toISOString()},a.toString=function(){return this.toDate().toUTCString()};var d=a.toDate;a.toDate=function(e){return"s"===e&&this.$offset?o(this.format("YYYY-MM-DD HH:mm:ss:SSS")).toDate():d.call(this)};var p=a.diff;a.diff=function(e,t,n){if(e&&this.$u===e.$u)return p.call(this,e,t,n);var r=this.local(),i=o(e).local();return p.call(r,i,t,n)}}}()},39714:e=>{"use strict";var t=function(e){return function(e){return!!e&&"object"==typeof e}(e)&&!function(e){var t=Object.prototype.toString.call(e);return"[object RegExp]"===t||"[object Date]"===t||function(e){return e.$$typeof===n}(e)}(e)},n="function"==typeof Symbol&&Symbol.for?Symbol.for("react.element"):60103;function r(e,t){return!1!==t.clone&&t.isMergeableObject(e)?s((n=e,Array.isArray(n)?[]:{}),e,t):e;var n}function i(e,t,n){return e.concat(t).map((function(e){return r(e,n)}))}function o(e){return Object.keys(e).concat(function(e){return Object.getOwnPropertySymbols?Object.getOwnPropertySymbols(e).filter((function(t){return Object.propertyIsEnumerable.call(e,t)})):[]}(e))}function a(e,t){try{return t in e}catch(e){return!1}}function s(e,n,l){(l=l||{}).arrayMerge=l.arrayMerge||i,l.isMergeableObject=l.isMergeableObject||t,l.cloneUnlessOtherwiseSpecified=r;var u=Array.isArray(n);return u===Array.isArray(e)?u?l.arrayMerge(e,n,l):function(e,t,n){var i={};return n.isMergeableObject(e)&&o(e).forEach((function(t){i[t]=r(e[t],n)})),o(t).forEach((function(o){(function(e,t){return a(e,t)&&!(Object.hasOwnProperty.call(e,t)&&Object.propertyIsEnumerable.call(e,t))})(e,o)||(a(e,o)&&n.isMergeableObject(t[o])?i[o]=function(e,t){if(!t.customMerge)return s;var n=t.customMerge(e);return"function"==typeof n?n:s}(o,n)(e[o],t[o],n):i[o]=r(t[o],n))})),i}(e,n,l):r(n,l)}s.all=function(e,t){if(!Array.isArray(e))throw new Error("first argument should be an array");return e.reduce((function(e,n){return s(e,n,t)}),{})};var l=s;e.exports=l},75195:(e,t,n)=>{"use strict";var r=n(70999),i=n(48342),o=n(5408),a=n(50326);e.exports=function(e,t,n){if(!e||"object"!=typeof e&&"function"!=typeof e)throw new o("`obj` must be an object or a function`");if("string"!=typeof t&&"symbol"!=typeof t)throw new o("`property` must be a string or a symbol`");if(arguments.length>3&&"boolean"!=typeof arguments[3]&&null!==arguments[3])throw new o("`nonEnumerable`, if provided, must be a boolean or null");if(arguments.length>4&&"boolean"!=typeof arguments[4]&&null!==arguments[4])throw new o("`nonWritable`, if provided, must be a boolean or null");if(arguments.length>5&&"boolean"!=typeof arguments[5]&&null!==arguments[5])throw new o("`nonConfigurable`, if provided, must be a boolean or null");if(arguments.length>6&&"boolean"!=typeof arguments[6])throw new o("`loose`, if provided, must be a boolean");var s=arguments.length>3?arguments[3]:null,l=arguments.length>4?arguments[4]:null,u=arguments.length>5?arguments[5]:null,c=arguments.length>6&&arguments[6],d=!!a&&a(e,t);if(r)r(e,t,{configurable:null===u&&d?d.configurable:!u,enumerable:null===s&&d?d.enumerable:!s,value:n,writable:null===l&&d?d.writable:!l});else{if(!c&&(s||l||u))throw new i("This environment does not support defining a property as non-configurable, non-writable, or non-enumerable.");e[t]=n}}},14926:(e,t,n)=>{"use strict";var r=n(33464),i="function"==typeof Symbol&&"symbol"==typeof Symbol("foo"),o=Object.prototype.toString,a=Array.prototype.concat,s=n(75195),l=n(81181)(),u=function(e,t,n,r){if(t in e)if(!0===r){if(e[t]===n)return}else if("function"!=typeof(i=r)||"[object Function]"!==o.call(i)||!r())return;var i;l?s(e,t,n,!0):s(e,t,n)},c=function(e,t){var n=arguments.length>2?arguments[2]:{},o=r(t);i&&(o=a.call(o,Object.getOwnPropertySymbols(t)));for(var s=0;s<o.length;s+=1)u(e,o[s],t[o[s]],n[o[s]])};c.supportsDescriptors=!!l,e.exports=c},17994:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o,a,s=t||4,l=[],u=0,c=0,d=-1;if("string"!=typeof e)throw new Error("detab expected string");for(;c<e.length;)i.lastIndex=c,a=(o=i.exec(e))?o.index:e.length,9===e.charCodeAt(a)?(n=s-(d+a-c+1)%s,l.push(e.slice(u,a),r(" ",n)),d+=a-c+n,u=a+1):d=-1,c=a+1;return l.push(e.slice(u)),l.join("")};var r=n(34076),i=/[\t\n\r]/g},63449:(e,t)=>{t.Od=function(e){return e.replace(/[^\u0000-\u007e]/g,(function(e){return r[e]||e}))};for(var n=[{base:" ",chars:" "},{base:"0",chars:"߀"},{base:"A",chars:"ⒶAÀÁÂẦẤẪẨÃĀĂẰẮẴẲȦǠÄǞẢÅǺǍȀȂẠẬẶḀĄȺⱯ"},{base:"AA",chars:"Ꜳ"},{base:"AE",chars:"ÆǼǢ"},{base:"AO",chars:"Ꜵ"},{base:"AU",chars:"Ꜷ"},{base:"AV",chars:"ꜸꜺ"},{base:"AY",chars:"Ꜽ"},{base:"B",chars:"ⒷBḂḄḆɃƁ"},{base:"C",chars:"ⒸꜾḈĆCĈĊČÇƇȻ"},{base:"D",chars:"ⒹDḊĎḌḐḒḎĐƊƉᴅꝹ"},{base:"Dh",chars:"Ð"},{base:"DZ",chars:"DZDŽ"},{base:"Dz",chars:"DzDž"},{base:"E",chars:"ɛⒺEÈÉÊỀẾỄỂẼĒḔḖĔĖËẺĚȄȆẸỆȨḜĘḘḚƐƎᴇ"},{base:"F",chars:"ꝼⒻFḞƑꝻ"},{base:"G",chars:"ⒼGǴĜḠĞĠǦĢǤƓꞠꝽꝾɢ"},{base:"H",chars:"ⒽHĤḢḦȞḤḨḪĦⱧⱵꞍ"},{base:"I",chars:"ⒾIÌÍÎĨĪĬİÏḮỈǏȈȊỊĮḬƗ"},{base:"J",chars:"ⒿJĴɈȷ"},{base:"K",chars:"ⓀKḰǨḲĶḴƘⱩꝀꝂꝄꞢ"},{base:"L",chars:"ⓁLĿĹĽḶḸĻḼḺŁȽⱢⱠꝈꝆꞀ"},{base:"LJ",chars:"LJ"},{base:"Lj",chars:"Lj"},{base:"M",chars:"ⓂMḾṀṂⱮƜϻ"},{base:"N",chars:"ꞤȠⓃNǸŃÑṄŇṆŅṊṈƝꞐᴎ"},{base:"NJ",chars:"NJ"},{base:"Nj",chars:"Nj"},{base:"O",chars:"ⓄOÒÓÔỒỐỖỔÕṌȬṎŌṐṒŎȮȰÖȪỎŐǑȌȎƠỜỚỠỞỢỌỘǪǬØǾƆƟꝊꝌ"},{base:"OE",chars:"Œ"},{base:"OI",chars:"Ƣ"},{base:"OO",chars:"Ꝏ"},{base:"OU",chars:"Ȣ"},{base:"P",chars:"ⓅPṔṖƤⱣꝐꝒꝔ"},{base:"Q",chars:"ⓆQꝖꝘɊ"},{base:"R",chars:"ⓇRŔṘŘȐȒṚṜŖṞɌⱤꝚꞦꞂ"},{base:"S",chars:"ⓈSẞŚṤŜṠŠṦṢṨȘŞⱾꞨꞄ"},{base:"T",chars:"ⓉTṪŤṬȚŢṰṮŦƬƮȾꞆ"},{base:"Th",chars:"Þ"},{base:"TZ",chars:"Ꜩ"},{base:"U",chars:"ⓊUÙÚÛŨṸŪṺŬÜǛǗǕǙỦŮŰǓȔȖƯỪỨỮỬỰỤṲŲṶṴɄ"},{base:"V",chars:"ⓋVṼṾƲꝞɅ"},{base:"VY",chars:"Ꝡ"},{base:"W",chars:"ⓌWẀẂŴẆẄẈⱲ"},{base:"X",chars:"ⓍXẊẌ"},{base:"Y",chars:"ⓎYỲÝŶỸȲẎŸỶỴƳɎỾ"},{base:"Z",chars:"ⓏZŹẐŻŽẒẔƵȤⱿⱫꝢ"},{base:"a",chars:"ⓐaẚàáâầấẫẩãāăằắẵẳȧǡäǟảåǻǎȁȃạậặḁąⱥɐɑ"},{base:"aa",chars:"ꜳ"},{base:"ae",chars:"æǽǣ"},{base:"ao",chars:"ꜵ"},{base:"au",chars:"ꜷ"},{base:"av",chars:"ꜹꜻ"},{base:"ay",chars:"ꜽ"},{base:"b",chars:"ⓑbḃḅḇƀƃɓƂ"},{base:"c",chars:"cⓒćĉċčçḉƈȼꜿↄ"},{base:"d",chars:"ⓓdḋďḍḑḓḏđƌɖɗƋᏧԁꞪ"},{base:"dh",chars:"ð"},{base:"dz",chars:"dzdž"},{base:"e",chars:"ⓔeèéêềếễểẽēḕḗĕėëẻěȅȇẹệȩḝęḙḛɇǝ"},{base:"f",chars:"ⓕfḟƒ"},{base:"ff",chars:"ff"},{base:"fi",chars:"fi"},{base:"fl",chars:"fl"},{base:"ffi",chars:"ffi"},{base:"ffl",chars:"ffl"},{base:"g",chars:"ⓖgǵĝḡğġǧģǥɠꞡꝿᵹ"},{base:"h",chars:"ⓗhĥḣḧȟḥḩḫẖħⱨⱶɥ"},{base:"hv",chars:"ƕ"},{base:"i",chars:"ⓘiìíîĩīĭïḯỉǐȉȋịįḭɨı"},{base:"j",chars:"ⓙjĵǰɉ"},{base:"k",chars:"ⓚkḱǩḳķḵƙⱪꝁꝃꝅꞣ"},{base:"l",chars:"ⓛlŀĺľḷḹļḽḻſłƚɫⱡꝉꞁꝇɭ"},{base:"lj",chars:"lj"},{base:"m",chars:"ⓜmḿṁṃɱɯ"},{base:"n",chars:"ⓝnǹńñṅňṇņṋṉƞɲʼnꞑꞥлԉ"},{base:"nj",chars:"nj"},{base:"o",chars:"ⓞoòóôồốỗổõṍȭṏōṑṓŏȯȱöȫỏőǒȍȏơờớỡởợọộǫǭøǿꝋꝍɵɔᴑ"},{base:"oe",chars:"œ"},{base:"oi",chars:"ƣ"},{base:"oo",chars:"ꝏ"},{base:"ou",chars:"ȣ"},{base:"p",chars:"ⓟpṕṗƥᵽꝑꝓꝕρ"},{base:"q",chars:"ⓠqɋꝗꝙ"},{base:"r",chars:"ⓡrŕṙřȑȓṛṝŗṟɍɽꝛꞧꞃ"},{base:"s",chars:"ⓢsśṥŝṡšṧṣṩșşȿꞩꞅẛʂ"},{base:"ss",chars:"ß"},{base:"t",chars:"ⓣtṫẗťṭțţṱṯŧƭʈⱦꞇ"},{base:"th",chars:"þ"},{base:"tz",chars:"ꜩ"},{base:"u",chars:"ⓤuùúûũṹūṻŭüǜǘǖǚủůűǔȕȗưừứữửựụṳųṷṵʉ"},{base:"v",chars:"ⓥvṽṿʋꝟʌ"},{base:"vy",chars:"ꝡ"},{base:"w",chars:"ⓦwẁẃŵẇẅẘẉⱳ"},{base:"x",chars:"ⓧxẋẍ"},{base:"y",chars:"ⓨyỳýŷỹȳẏÿỷẙỵƴɏỿ"},{base:"z",chars:"ⓩzźẑżžẓẕƶȥɀⱬꝣ"}],r={},i=0;i<n.length;i+=1)for(var o=n[i].chars,a=0;a<o.length;a+=1)r[o[a]]=n[i].base},93636:e=>{"use strict";e.exports=function(e){return e=String(e||""),r.test(e)?"rtl":i.test(e)?"ltr":"neutral"};var t="֑-߿יִ-﷽ﹰ-ﻼ",n="A-Za-zÀ-ÖØ-öø-ʸ̀-֐ࠀ-῿‎Ⰰ-﬜︀-﹯﻽-￿",r=new RegExp("^[^"+n+"]*["+t+"]"),i=new RegExp("^[^"+t+"]*["+n+"]")},35368:function(e){e.exports=function(){"use strict";function e(t){return e="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},e(t)}function t(e,n){return t=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e},t(e,n)}function n(e,r,i){return n=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Boolean.prototype.valueOf.call(Reflect.construct(Boolean,[],(function(){}))),!0}catch(e){return!1}}()?Reflect.construct:function(e,n,r){var i=[null];i.push.apply(i,n);var o=new(Function.bind.apply(e,i));return r&&t(o,r.prototype),o},n.apply(null,arguments)}function r(e){return function(e){if(Array.isArray(e))return i(e)}(e)||function(e){if("undefined"!=typeof Symbol&&null!=e[Symbol.iterator]||null!=e["@@iterator"])return Array.from(e)}(e)||function(e,t){if(e){if("string"==typeof e)return i(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?i(e,t):void 0}}(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function i(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}var o=Object.hasOwnProperty,a=Object.setPrototypeOf,s=Object.isFrozen,l=Object.getPrototypeOf,u=Object.getOwnPropertyDescriptor,c=Object.freeze,d=Object.seal,p=Object.create,f="undefined"!=typeof Reflect&&Reflect,h=f.apply,m=f.construct;h||(h=function(e,t,n){return e.apply(t,n)}),c||(c=function(e){return e}),d||(d=function(e){return e}),m||(m=function(e,t){return n(e,r(t))});var g,v=T(Array.prototype.forEach),y=T(Array.prototype.pop),b=T(Array.prototype.push),w=T(String.prototype.toLowerCase),_=T(String.prototype.toString),x=T(String.prototype.match),k=T(String.prototype.replace),E=T(String.prototype.indexOf),C=T(String.prototype.trim),S=T(RegExp.prototype.test),A=(g=TypeError,function(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return m(g,t)});function T(e){return function(t){for(var n=arguments.length,r=new Array(n>1?n-1:0),i=1;i<n;i++)r[i-1]=arguments[i];return h(e,t,r)}}function O(e,t,n){var r;n=null!==(r=n)&&void 0!==r?r:w,a&&a(e,null);for(var i=t.length;i--;){var o=t[i];if("string"==typeof o){var l=n(o);l!==o&&(s(t)||(t[i]=l),o=l)}e[o]=!0}return e}function P(e){var t,n=p(null);for(t in e)!0===h(o,e,[t])&&(n[t]=e[t]);return n}function D(e,t){for(;null!==e;){var n=u(e,t);if(n){if(n.get)return T(n.get);if("function"==typeof n.value)return T(n.value)}e=l(e)}return function(e){return console.warn("fallback value for",e),null}}var R=c(["a","abbr","acronym","address","area","article","aside","audio","b","bdi","bdo","big","blink","blockquote","body","br","button","canvas","caption","center","cite","code","col","colgroup","content","data","datalist","dd","decorator","del","details","dfn","dialog","dir","div","dl","dt","element","em","fieldset","figcaption","figure","font","footer","form","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","img","input","ins","kbd","label","legend","li","main","map","mark","marquee","menu","menuitem","meter","nav","nobr","ol","optgroup","option","output","p","picture","pre","progress","q","rp","rt","ruby","s","samp","section","select","shadow","small","source","spacer","span","strike","strong","style","sub","summary","sup","table","tbody","td","template","textarea","tfoot","th","thead","time","tr","track","tt","u","ul","var","video","wbr"]),I=c(["svg","a","altglyph","altglyphdef","altglyphitem","animatecolor","animatemotion","animatetransform","circle","clippath","defs","desc","ellipse","filter","font","g","glyph","glyphref","hkern","image","line","lineargradient","marker","mask","metadata","mpath","path","pattern","polygon","polyline","radialgradient","rect","stop","style","switch","symbol","text","textpath","title","tref","tspan","view","vkern"]),M=c(["feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feDistantLight","feFlood","feFuncA","feFuncB","feFuncG","feFuncR","feGaussianBlur","feImage","feMerge","feMergeNode","feMorphology","feOffset","fePointLight","feSpecularLighting","feSpotLight","feTile","feTurbulence"]),L=c(["animate","color-profile","cursor","discard","fedropshadow","font-face","font-face-format","font-face-name","font-face-src","font-face-uri","foreignobject","hatch","hatchpath","mesh","meshgradient","meshpatch","meshrow","missing-glyph","script","set","solidcolor","unknown","use"]),N=c(["math","menclose","merror","mfenced","mfrac","mglyph","mi","mlabeledtr","mmultiscripts","mn","mo","mover","mpadded","mphantom","mroot","mrow","ms","mspace","msqrt","mstyle","msub","msup","msubsup","mtable","mtd","mtext","mtr","munder","munderover"]),j=c(["maction","maligngroup","malignmark","mlongdiv","mscarries","mscarry","msgroup","mstack","msline","msrow","semantics","annotation","annotation-xml","mprescripts","none"]),F=c(["#text"]),B=c(["accept","action","align","alt","autocapitalize","autocomplete","autopictureinpicture","autoplay","background","bgcolor","border","capture","cellpadding","cellspacing","checked","cite","class","clear","color","cols","colspan","controls","controlslist","coords","crossorigin","datetime","decoding","default","dir","disabled","disablepictureinpicture","disableremoteplayback","download","draggable","enctype","enterkeyhint","face","for","headers","height","hidden","high","href","hreflang","id","inputmode","integrity","ismap","kind","label","lang","list","loading","loop","low","max","maxlength","media","method","min","minlength","multiple","muted","name","nonce","noshade","novalidate","nowrap","open","optimum","pattern","placeholder","playsinline","poster","preload","pubdate","radiogroup","readonly","rel","required","rev","reversed","role","rows","rowspan","spellcheck","scope","selected","shape","size","sizes","span","srclang","start","src","srcset","step","style","summary","tabindex","title","translate","type","usemap","valign","value","width","xmlns","slot"]),z=c(["accent-height","accumulate","additive","alignment-baseline","ascent","attributename","attributetype","azimuth","basefrequency","baseline-shift","begin","bias","by","class","clip","clippathunits","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","cx","cy","d","dx","dy","diffuseconstant","direction","display","divisor","dur","edgemode","elevation","end","fill","fill-opacity","fill-rule","filter","filterunits","flood-color","flood-opacity","font-family","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-weight","fx","fy","g1","g2","glyph-name","glyphref","gradientunits","gradienttransform","height","href","id","image-rendering","in","in2","k","k1","k2","k3","k4","kerning","keypoints","keysplines","keytimes","lang","lengthadjust","letter-spacing","kernelmatrix","kernelunitlength","lighting-color","local","marker-end","marker-mid","marker-start","markerheight","markerunits","markerwidth","maskcontentunits","maskunits","max","mask","media","method","mode","min","name","numoctaves","offset","operator","opacity","order","orient","orientation","origin","overflow","paint-order","path","pathlength","patterncontentunits","patterntransform","patternunits","points","preservealpha","preserveaspectratio","primitiveunits","r","rx","ry","radius","refx","refy","repeatcount","repeatdur","restart","result","rotate","scale","seed","shape-rendering","specularconstant","specularexponent","spreadmethod","startoffset","stddeviation","stitchtiles","stop-color","stop-opacity","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke","stroke-width","style","surfacescale","systemlanguage","tabindex","targetx","targety","transform","transform-origin","text-anchor","text-decoration","text-rendering","textlength","type","u1","u2","unicode","values","viewbox","visibility","version","vert-adv-y","vert-origin-x","vert-origin-y","width","word-spacing","wrap","writing-mode","xchannelselector","ychannelselector","x","x1","x2","xmlns","y","y1","y2","z","zoomandpan"]),U=c(["accent","accentunder","align","bevelled","close","columnsalign","columnlines","columnspan","denomalign","depth","dir","display","displaystyle","encoding","fence","frame","height","href","id","largeop","length","linethickness","lspace","lquote","mathbackground","mathcolor","mathsize","mathvariant","maxsize","minsize","movablelimits","notation","numalign","open","rowalign","rowlines","rowspacing","rowspan","rspace","rquote","scriptlevel","scriptminsize","scriptsizemultiplier","selection","separator","separators","stretchy","subscriptshift","supscriptshift","symmetric","voffset","width","xmlns"]),q=c(["xlink:href","xml:id","xlink:title","xml:space","xmlns:xlink"]),$=d(/\{\{[\w\W]*|[\w\W]*\}\}/gm),W=d(/<%[\w\W]*|[\w\W]*%>/gm),H=d(/\${[\w\W]*}/gm),V=d(/^data-[\-\w.\u00B7-\uFFFF]/),G=d(/^aria-[\-\w]+$/),K=d(/^(?:(?:(?:f|ht)tps?|mailto|tel|callto|cid|xmpp):|[^a-z]|[a-z+.\-]+(?:[^a-z+.\-:]|$))/i),Y=d(/^(?:\w+script|data):/i),Z=d(/[\u0000-\u0020\u00A0\u1680\u180E\u2000-\u2029\u205F\u3000]/g),X=d(/^html$/i),Q=function(){return"undefined"==typeof window?null:window};return function t(){var n=arguments.length>0&&void 0!==arguments[0]?arguments[0]:Q(),i=function(e){return t(e)};if(i.version="2.4.7",i.removed=[],!n||!n.document||9!==n.document.nodeType)return i.isSupported=!1,i;var o=n.document,a=n.document,s=n.DocumentFragment,l=n.HTMLTemplateElement,u=n.Node,d=n.Element,p=n.NodeFilter,f=n.NamedNodeMap,h=void 0===f?n.NamedNodeMap||n.MozNamedAttrMap:f,m=n.HTMLFormElement,g=n.DOMParser,T=n.trustedTypes,J=d.prototype,ee=D(J,"cloneNode"),te=D(J,"nextSibling"),ne=D(J,"childNodes"),re=D(J,"parentNode");if("function"==typeof l){var ie=a.createElement("template");ie.content&&ie.content.ownerDocument&&(a=ie.content.ownerDocument)}var oe=function(t,n){if("object"!==e(t)||"function"!=typeof t.createPolicy)return null;var r=null,i="data-tt-policy-suffix";n.currentScript&&n.currentScript.hasAttribute(i)&&(r=n.currentScript.getAttribute(i));var o="dompurify"+(r?"#"+r:"");try{return t.createPolicy(o,{createHTML:function(e){return e},createScriptURL:function(e){return e}})}catch(e){return console.warn("TrustedTypes policy "+o+" could not be created."),null}}(T,o),ae=oe?oe.createHTML(""):"",se=a,le=se.implementation,ue=se.createNodeIterator,ce=se.createDocumentFragment,de=se.getElementsByTagName,pe=o.importNode,fe={};try{fe=P(a).documentMode?a.documentMode:{}}catch(e){}var he={};i.isSupported="function"==typeof re&&le&&void 0!==le.createHTMLDocument&&9!==fe;var me,ge,ve=$,ye=W,be=H,we=V,_e=G,xe=Y,ke=Z,Ee=K,Ce=null,Se=O({},[].concat(r(R),r(I),r(M),r(N),r(F))),Ae=null,Te=O({},[].concat(r(B),r(z),r(U),r(q))),Oe=Object.seal(Object.create(null,{tagNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},attributeNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},allowCustomizedBuiltInElements:{writable:!0,configurable:!1,enumerable:!0,value:!1}})),Pe=null,De=null,Re=!0,Ie=!0,Me=!1,Le=!0,Ne=!1,je=!1,Fe=!1,Be=!1,ze=!1,Ue=!1,qe=!1,$e=!0,We=!1,He=!0,Ve=!1,Ge={},Ke=null,Ye=O({},["annotation-xml","audio","colgroup","desc","foreignobject","head","iframe","math","mi","mn","mo","ms","mtext","noembed","noframes","noscript","plaintext","script","style","svg","template","thead","title","video","xmp"]),Ze=null,Xe=O({},["audio","video","img","source","image","track"]),Qe=null,Je=O({},["alt","class","for","id","label","name","pattern","placeholder","role","summary","title","value","style","xmlns"]),et="http://www.w3.org/1998/Math/MathML",tt="http://www.w3.org/2000/svg",nt="http://www.w3.org/1999/xhtml",rt=nt,it=!1,ot=null,at=O({},[et,tt,nt],_),st=["application/xhtml+xml","text/html"],lt=null,ut=a.createElement("form"),ct=function(e){return e instanceof RegExp||e instanceof Function},dt=function(t){lt&&lt===t||(t&&"object"===e(t)||(t={}),t=P(t),me=me=-1===st.indexOf(t.PARSER_MEDIA_TYPE)?"text/html":t.PARSER_MEDIA_TYPE,ge="application/xhtml+xml"===me?_:w,Ce="ALLOWED_TAGS"in t?O({},t.ALLOWED_TAGS,ge):Se,Ae="ALLOWED_ATTR"in t?O({},t.ALLOWED_ATTR,ge):Te,ot="ALLOWED_NAMESPACES"in t?O({},t.ALLOWED_NAMESPACES,_):at,Qe="ADD_URI_SAFE_ATTR"in t?O(P(Je),t.ADD_URI_SAFE_ATTR,ge):Je,Ze="ADD_DATA_URI_TAGS"in t?O(P(Xe),t.ADD_DATA_URI_TAGS,ge):Xe,Ke="FORBID_CONTENTS"in t?O({},t.FORBID_CONTENTS,ge):Ye,Pe="FORBID_TAGS"in t?O({},t.FORBID_TAGS,ge):{},De="FORBID_ATTR"in t?O({},t.FORBID_ATTR,ge):{},Ge="USE_PROFILES"in t&&t.USE_PROFILES,Re=!1!==t.ALLOW_ARIA_ATTR,Ie=!1!==t.ALLOW_DATA_ATTR,Me=t.ALLOW_UNKNOWN_PROTOCOLS||!1,Le=!1!==t.ALLOW_SELF_CLOSE_IN_ATTR,Ne=t.SAFE_FOR_TEMPLATES||!1,je=t.WHOLE_DOCUMENT||!1,ze=t.RETURN_DOM||!1,Ue=t.RETURN_DOM_FRAGMENT||!1,qe=t.RETURN_TRUSTED_TYPE||!1,Be=t.FORCE_BODY||!1,$e=!1!==t.SANITIZE_DOM,We=t.SANITIZE_NAMED_PROPS||!1,He=!1!==t.KEEP_CONTENT,Ve=t.IN_PLACE||!1,Ee=t.ALLOWED_URI_REGEXP||Ee,rt=t.NAMESPACE||nt,Oe=t.CUSTOM_ELEMENT_HANDLING||{},t.CUSTOM_ELEMENT_HANDLING&&ct(t.CUSTOM_ELEMENT_HANDLING.tagNameCheck)&&(Oe.tagNameCheck=t.CUSTOM_ELEMENT_HANDLING.tagNameCheck),t.CUSTOM_ELEMENT_HANDLING&&ct(t.CUSTOM_ELEMENT_HANDLING.attributeNameCheck)&&(Oe.attributeNameCheck=t.CUSTOM_ELEMENT_HANDLING.attributeNameCheck),t.CUSTOM_ELEMENT_HANDLING&&"boolean"==typeof t.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements&&(Oe.allowCustomizedBuiltInElements=t.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements),Ne&&(Ie=!1),Ue&&(ze=!0),Ge&&(Ce=O({},r(F)),Ae=[],!0===Ge.html&&(O(Ce,R),O(Ae,B)),!0===Ge.svg&&(O(Ce,I),O(Ae,z),O(Ae,q)),!0===Ge.svgFilters&&(O(Ce,M),O(Ae,z),O(Ae,q)),!0===Ge.mathMl&&(O(Ce,N),O(Ae,U),O(Ae,q))),t.ADD_TAGS&&(Ce===Se&&(Ce=P(Ce)),O(Ce,t.ADD_TAGS,ge)),t.ADD_ATTR&&(Ae===Te&&(Ae=P(Ae)),O(Ae,t.ADD_ATTR,ge)),t.ADD_URI_SAFE_ATTR&&O(Qe,t.ADD_URI_SAFE_ATTR,ge),t.FORBID_CONTENTS&&(Ke===Ye&&(Ke=P(Ke)),O(Ke,t.FORBID_CONTENTS,ge)),He&&(Ce["#text"]=!0),je&&O(Ce,["html","head","body"]),Ce.table&&(O(Ce,["tbody"]),delete Pe.tbody),c&&c(t),lt=t)},pt=O({},["mi","mo","mn","ms","mtext"]),ft=O({},["foreignobject","desc","title","annotation-xml"]),ht=O({},["title","style","font","a","script"]),mt=O({},I);O(mt,M),O(mt,L);var gt=O({},N);O(gt,j);var vt=function(e){b(i.removed,{element:e});try{e.parentNode.removeChild(e)}catch(t){try{e.outerHTML=ae}catch(t){e.remove()}}},yt=function(e,t){try{b(i.removed,{attribute:t.getAttributeNode(e),from:t})}catch(e){b(i.removed,{attribute:null,from:t})}if(t.removeAttribute(e),"is"===e&&!Ae[e])if(ze||Ue)try{vt(t)}catch(e){}else try{t.setAttribute(e,"")}catch(e){}},bt=function(e){var t,n;if(Be)e="<remove></remove>"+e;else{var r=x(e,/^[\r\n\t ]+/);n=r&&r[0]}"application/xhtml+xml"===me&&rt===nt&&(e='<html xmlns="http://www.w3.org/1999/xhtml"><head></head><body>'+e+"</body></html>");var i=oe?oe.createHTML(e):e;if(rt===nt)try{t=(new g).parseFromString(i,me)}catch(e){}if(!t||!t.documentElement){t=le.createDocument(rt,"template",null);try{t.documentElement.innerHTML=it?ae:i}catch(e){}}var o=t.body||t.documentElement;return e&&n&&o.insertBefore(a.createTextNode(n),o.childNodes[0]||null),rt===nt?de.call(t,je?"html":"body")[0]:je?t.documentElement:o},wt=function(e){return ue.call(e.ownerDocument||e,e,p.SHOW_ELEMENT|p.SHOW_COMMENT|p.SHOW_TEXT,null,!1)},_t=function(t){return"object"===e(u)?t instanceof u:t&&"object"===e(t)&&"number"==typeof t.nodeType&&"string"==typeof t.nodeName},xt=function(e,t,n){he[e]&&v(he[e],(function(e){e.call(i,t,n,lt)}))},kt=function(e){var t,n;if(xt("beforeSanitizeElements",e,null),(n=e)instanceof m&&("string"!=typeof n.nodeName||"string"!=typeof n.textContent||"function"!=typeof n.removeChild||!(n.attributes instanceof h)||"function"!=typeof n.removeAttribute||"function"!=typeof n.setAttribute||"string"!=typeof n.namespaceURI||"function"!=typeof n.insertBefore||"function"!=typeof n.hasChildNodes))return vt(e),!0;if(S(/[\u0080-\uFFFF]/,e.nodeName))return vt(e),!0;var r=ge(e.nodeName);if(xt("uponSanitizeElement",e,{tagName:r,allowedTags:Ce}),e.hasChildNodes()&&!_t(e.firstElementChild)&&(!_t(e.content)||!_t(e.content.firstElementChild))&&S(/<[/\w]/g,e.innerHTML)&&S(/<[/\w]/g,e.textContent))return vt(e),!0;if("select"===r&&S(/<template/i,e.innerHTML))return vt(e),!0;if(!Ce[r]||Pe[r]){if(!Pe[r]&&Ct(r)){if(Oe.tagNameCheck instanceof RegExp&&S(Oe.tagNameCheck,r))return!1;if(Oe.tagNameCheck instanceof Function&&Oe.tagNameCheck(r))return!1}if(He&&!Ke[r]){var o=re(e)||e.parentNode,a=ne(e)||e.childNodes;if(a&&o)for(var s=a.length-1;s>=0;--s)o.insertBefore(ee(a[s],!0),te(e))}return vt(e),!0}return e instanceof d&&!function(e){var t=re(e);t&&t.tagName||(t={namespaceURI:rt,tagName:"template"});var n=w(e.tagName),r=w(t.tagName);return!!ot[e.namespaceURI]&&(e.namespaceURI===tt?t.namespaceURI===nt?"svg"===n:t.namespaceURI===et?"svg"===n&&("annotation-xml"===r||pt[r]):Boolean(mt[n]):e.namespaceURI===et?t.namespaceURI===nt?"math"===n:t.namespaceURI===tt?"math"===n&&ft[r]:Boolean(gt[n]):e.namespaceURI===nt?!(t.namespaceURI===tt&&!ft[r])&&!(t.namespaceURI===et&&!pt[r])&&!gt[n]&&(ht[n]||!mt[n]):!("application/xhtml+xml"!==me||!ot[e.namespaceURI]))}(e)?(vt(e),!0):"noscript"!==r&&"noembed"!==r&&"noframes"!==r||!S(/<\/no(script|embed|frames)/i,e.innerHTML)?(Ne&&3===e.nodeType&&(t=e.textContent,t=k(t,ve," "),t=k(t,ye," "),t=k(t,be," "),e.textContent!==t&&(b(i.removed,{element:e.cloneNode()}),e.textContent=t)),xt("afterSanitizeElements",e,null),!1):(vt(e),!0)},Et=function(e,t,n){if($e&&("id"===t||"name"===t)&&(n in a||n in ut))return!1;if(Ie&&!De[t]&&S(we,t));else if(Re&&S(_e,t));else if(!Ae[t]||De[t]){if(!(Ct(e)&&(Oe.tagNameCheck instanceof RegExp&&S(Oe.tagNameCheck,e)||Oe.tagNameCheck instanceof Function&&Oe.tagNameCheck(e))&&(Oe.attributeNameCheck instanceof RegExp&&S(Oe.attributeNameCheck,t)||Oe.attributeNameCheck instanceof Function&&Oe.attributeNameCheck(t))||"is"===t&&Oe.allowCustomizedBuiltInElements&&(Oe.tagNameCheck instanceof RegExp&&S(Oe.tagNameCheck,n)||Oe.tagNameCheck instanceof Function&&Oe.tagNameCheck(n))))return!1}else if(Qe[t]);else if(S(Ee,k(n,ke,"")));else if("src"!==t&&"xlink:href"!==t&&"href"!==t||"script"===e||0!==E(n,"data:")||!Ze[e])if(Me&&!S(xe,k(n,ke,"")));else if(n)return!1;return!0},Ct=function(e){return e.indexOf("-")>0},St=function(t){var n,r,o,a;xt("beforeSanitizeAttributes",t,null);var s=t.attributes;if(s){var l={attrName:"",attrValue:"",keepAttr:!0,allowedAttributes:Ae};for(a=s.length;a--;){var u=n=s[a],c=u.name,d=u.namespaceURI;if(r="value"===c?n.value:C(n.value),o=ge(c),l.attrName=o,l.attrValue=r,l.keepAttr=!0,l.forceKeepAttr=void 0,xt("uponSanitizeAttribute",t,l),r=l.attrValue,!l.forceKeepAttr&&(yt(c,t),l.keepAttr))if(Le||!S(/\/>/i,r)){Ne&&(r=k(r,ve," "),r=k(r,ye," "),r=k(r,be," "));var p=ge(t.nodeName);if(Et(p,o,r)){if(!We||"id"!==o&&"name"!==o||(yt(c,t),r="user-content-"+r),oe&&"object"===e(T)&&"function"==typeof T.getAttributeType)if(d);else switch(T.getAttributeType(p,o)){case"TrustedHTML":r=oe.createHTML(r);break;case"TrustedScriptURL":r=oe.createScriptURL(r)}try{d?t.setAttributeNS(d,c,r):t.setAttribute(c,r),y(i.removed)}catch(e){}}}else yt(c,t)}xt("afterSanitizeAttributes",t,null)}},At=function e(t){var n,r=wt(t);for(xt("beforeSanitizeShadowDOM",t,null);n=r.nextNode();)xt("uponSanitizeShadowNode",n,null),kt(n)||(n.content instanceof s&&e(n.content),St(n));xt("afterSanitizeShadowDOM",t,null)};return i.sanitize=function(t){var r,a,l,c,d,p=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};if((it=!t)&&(t="\x3c!--\x3e"),"string"!=typeof t&&!_t(t)){if("function"!=typeof t.toString)throw A("toString is not a function");if("string"!=typeof(t=t.toString()))throw A("dirty is not a string, aborting")}if(!i.isSupported){if("object"===e(n.toStaticHTML)||"function"==typeof n.toStaticHTML){if("string"==typeof t)return n.toStaticHTML(t);if(_t(t))return n.toStaticHTML(t.outerHTML)}return t}if(Fe||dt(p),i.removed=[],"string"==typeof t&&(Ve=!1),Ve){if(t.nodeName){var f=ge(t.nodeName);if(!Ce[f]||Pe[f])throw A("root node is forbidden and cannot be sanitized in-place")}}else if(t instanceof u)1===(a=(r=bt("\x3c!----\x3e")).ownerDocument.importNode(t,!0)).nodeType&&"BODY"===a.nodeName||"HTML"===a.nodeName?r=a:r.appendChild(a);else{if(!ze&&!Ne&&!je&&-1===t.indexOf("<"))return oe&&qe?oe.createHTML(t):t;if(!(r=bt(t)))return ze?null:qe?ae:""}r&&Be&&vt(r.firstChild);for(var h=wt(Ve?t:r);l=h.nextNode();)3===l.nodeType&&l===c||kt(l)||(l.content instanceof s&&At(l.content),St(l),c=l);if(c=null,Ve)return t;if(ze){if(Ue)for(d=ce.call(r.ownerDocument);r.firstChild;)d.appendChild(r.firstChild);else d=r;return(Ae.shadowroot||Ae.shadowrootmod)&&(d=pe.call(o,d,!0)),d}var m=je?r.outerHTML:r.innerHTML;return je&&Ce["!doctype"]&&r.ownerDocument&&r.ownerDocument.doctype&&r.ownerDocument.doctype.name&&S(X,r.ownerDocument.doctype.name)&&(m="<!DOCTYPE "+r.ownerDocument.doctype.name+">\n"+m),Ne&&(m=k(m,ve," "),m=k(m,ye," "),m=k(m,be," ")),oe&&qe?oe.createHTML(m):m},i.setConfig=function(e){dt(e),Fe=!0},i.clearConfig=function(){lt=null,Fe=!1},i.isValidAttribute=function(e,t,n){lt||dt({});var r=ge(e),i=ge(t);return Et(r,i,n)},i.addHook=function(e,t){"function"==typeof t&&(he[e]=he[e]||[],b(he[e],t))},i.removeHook=function(e){if(he[e])return y(he[e])},i.removeHooks=function(e){he[e]&&(he[e]=[])},i.removeAllHooks=function(){he={}},i}()}()},70999:(e,t,n)=>{"use strict";var r=n(67286)("%Object.defineProperty%",!0)||!1;if(r)try{r({},"a",{value:1})}catch(e){r=!1}e.exports=r},69654:e=>{"use strict";e.exports=EvalError},42321:e=>{"use strict";e.exports=Error},48205:e=>{"use strict";e.exports=RangeError},2976:e=>{"use strict";e.exports=ReferenceError},48342:e=>{"use strict";e.exports=SyntaxError},5408:e=>{"use strict";e.exports=TypeError},82885:e=>{"use strict";e.exports=URIError},42977:(e,t,n)=>{"use strict";var r=n(5408);e.exports=function(e){if(null==e)throw new r(arguments.length>0&&arguments[1]||"Cannot call method on "+e);return e}},22699:e=>{"use strict";var t,n="object"==typeof Reflect?Reflect:null,r=n&&"function"==typeof n.apply?n.apply:function(e,t,n){return Function.prototype.apply.call(e,t,n)};t=n&&"function"==typeof n.ownKeys?n.ownKeys:Object.getOwnPropertySymbols?function(e){return Object.getOwnPropertyNames(e).concat(Object.getOwnPropertySymbols(e))}:function(e){return Object.getOwnPropertyNames(e)};var i=Number.isNaN||function(e){return e!=e};function o(){o.init.call(this)}e.exports=o,e.exports.once=function(e,t){return new Promise((function(n,r){function i(n){e.removeListener(t,o),r(n)}function o(){"function"==typeof e.removeListener&&e.removeListener("error",i),n([].slice.call(arguments))}m(e,t,o,{once:!0}),"error"!==t&&function(e,t,n){"function"==typeof e.on&&m(e,"error",t,{once:!0})}(e,i)}))},o.EventEmitter=o,o.prototype._events=void 0,o.prototype._eventsCount=0,o.prototype._maxListeners=void 0;var a=10;function s(e){if("function"!=typeof e)throw new TypeError('The "listener" argument must be of type Function. Received type '+typeof e)}function l(e){return void 0===e._maxListeners?o.defaultMaxListeners:e._maxListeners}function u(e,t,n,r){var i,o,a,u;if(s(n),void 0===(o=e._events)?(o=e._events=Object.create(null),e._eventsCount=0):(void 0!==o.newListener&&(e.emit("newListener",t,n.listener?n.listener:n),o=e._events),a=o[t]),void 0===a)a=o[t]=n,++e._eventsCount;else if("function"==typeof a?a=o[t]=r?[n,a]:[a,n]:r?a.unshift(n):a.push(n),(i=l(e))>0&&a.length>i&&!a.warned){a.warned=!0;var c=new Error("Possible EventEmitter memory leak detected. "+a.length+" "+String(t)+" listeners added. Use emitter.setMaxListeners() to increase limit");c.name="MaxListenersExceededWarning",c.emitter=e,c.type=t,c.count=a.length,u=c,console&&console.warn&&console.warn(u)}return e}function c(){if(!this.fired)return this.target.removeListener(this.type,this.wrapFn),this.fired=!0,0===arguments.length?this.listener.call(this.target):this.listener.apply(this.target,arguments)}function d(e,t,n){var r={fired:!1,wrapFn:void 0,target:e,type:t,listener:n},i=c.bind(r);return i.listener=n,r.wrapFn=i,i}function p(e,t,n){var r=e._events;if(void 0===r)return[];var i=r[t];return void 0===i?[]:"function"==typeof i?n?[i.listener||i]:[i]:n?function(e){for(var t=new Array(e.length),n=0;n<t.length;++n)t[n]=e[n].listener||e[n];return t}(i):h(i,i.length)}function f(e){var t=this._events;if(void 0!==t){var n=t[e];if("function"==typeof n)return 1;if(void 0!==n)return n.length}return 0}function h(e,t){for(var n=new Array(t),r=0;r<t;++r)n[r]=e[r];return n}function m(e,t,n,r){if("function"==typeof e.on)r.once?e.once(t,n):e.on(t,n);else{if("function"!=typeof e.addEventListener)throw new TypeError('The "emitter" argument must be of type EventEmitter. Received type '+typeof e);e.addEventListener(t,(function i(o){r.once&&e.removeEventListener(t,i),n(o)}))}}Object.defineProperty(o,"defaultMaxListeners",{enumerable:!0,get:function(){return a},set:function(e){if("number"!=typeof e||e<0||i(e))throw new RangeError('The value of "defaultMaxListeners" is out of range. It must be a non-negative number. Received '+e+".");a=e}}),o.init=function(){void 0!==this._events&&this._events!==Object.getPrototypeOf(this)._events||(this._events=Object.create(null),this._eventsCount=0),this._maxListeners=this._maxListeners||void 0},o.prototype.setMaxListeners=function(e){if("number"!=typeof e||e<0||i(e))throw new RangeError('The value of "n" is out of range. It must be a non-negative number. Received '+e+".");return this._maxListeners=e,this},o.prototype.getMaxListeners=function(){return l(this)},o.prototype.emit=function(e){for(var t=[],n=1;n<arguments.length;n++)t.push(arguments[n]);var i="error"===e,o=this._events;if(void 0!==o)i=i&&void 0===o.error;else if(!i)return!1;if(i){var a;if(t.length>0&&(a=t[0]),a instanceof Error)throw a;var s=new Error("Unhandled error."+(a?" ("+a.message+")":""));throw s.context=a,s}var l=o[e];if(void 0===l)return!1;if("function"==typeof l)r(l,this,t);else{var u=l.length,c=h(l,u);for(n=0;n<u;++n)r(c[n],this,t)}return!0},o.prototype.addListener=function(e,t){return u(this,e,t,!1)},o.prototype.on=o.prototype.addListener,o.prototype.prependListener=function(e,t){return u(this,e,t,!0)},o.prototype.once=function(e,t){return s(t),this.on(e,d(this,e,t)),this},o.prototype.prependOnceListener=function(e,t){return s(t),this.prependListener(e,d(this,e,t)),this},o.prototype.removeListener=function(e,t){var n,r,i,o,a;if(s(t),void 0===(r=this._events))return this;if(void 0===(n=r[e]))return this;if(n===t||n.listener===t)0==--this._eventsCount?this._events=Object.create(null):(delete r[e],r.removeListener&&this.emit("removeListener",e,n.listener||t));else if("function"!=typeof n){for(i=-1,o=n.length-1;o>=0;o--)if(n[o]===t||n[o].listener===t){a=n[o].listener,i=o;break}if(i<0)return this;0===i?n.shift():function(e,t){for(;t+1<e.length;t++)e[t]=e[t+1];e.pop()}(n,i),1===n.length&&(r[e]=n[0]),void 0!==r.removeListener&&this.emit("removeListener",e,a||t)}return this},o.prototype.off=o.prototype.removeListener,o.prototype.removeAllListeners=function(e){var t,n,r;if(void 0===(n=this._events))return this;if(void 0===n.removeListener)return 0===arguments.length?(this._events=Object.create(null),this._eventsCount=0):void 0!==n[e]&&(0==--this._eventsCount?this._events=Object.create(null):delete n[e]),this;if(0===arguments.length){var i,o=Object.keys(n);for(r=0;r<o.length;++r)"removeListener"!==(i=o[r])&&this.removeAllListeners(i);return this.removeAllListeners("removeListener"),this._events=Object.create(null),this._eventsCount=0,this}if("function"==typeof(t=n[e]))this.removeListener(e,t);else if(void 0!==t)for(r=t.length-1;r>=0;r--)this.removeListener(e,t[r]);return this},o.prototype.listeners=function(e){return p(this,e,!0)},o.prototype.rawListeners=function(e){return p(this,e,!1)},o.listenerCount=function(e,t){return"function"==typeof e.listenerCount?e.listenerCount(t):f.call(e,t)},o.prototype.listenerCount=f,o.prototype.eventNames=function(){return this._eventsCount>0?t(this._events):[]}},85978:(e,t,n)=>{var r;!function(){"use strict";var i=!("undefined"==typeof window||!window.document||!window.document.createElement),o={canUseDOM:i,canUseWorkers:"undefined"!=typeof Worker,canUseEventListeners:i&&!(!window.addEventListener&&!window.attachEvent),canUseViewport:i&&!!window.screen};void 0===(r=function(){return o}.call(t,n,t,e))||(e.exports=r)}()},24401:(e,t,n)=>{"use strict";var r=n(22777);function i(e,t){for(var n in t)o(t,n)&&(e[n]=t[n])}function o(e,t){return Object.prototype.hasOwnProperty.call(e,t)}e.exports=function(e){r(e)||(e={});for(var t=arguments.length,n=1;n<t;n++){var o=arguments[n];r(o)&&i(e,o)}return e}},49385:e=>{"use strict";var t=Object.prototype.hasOwnProperty,n=Object.prototype.toString,r=Object.defineProperty,i=Object.getOwnPropertyDescriptor,o=function(e){return"function"==typeof Array.isArray?Array.isArray(e):"[object Array]"===n.call(e)},a=function(e){if(!e||"[object Object]"!==n.call(e))return!1;var r,i=t.call(e,"constructor"),o=e.constructor&&e.constructor.prototype&&t.call(e.constructor.prototype,"isPrototypeOf");if(e.constructor&&!i&&!o)return!1;for(r in e);return void 0===r||t.call(e,r)},s=function(e,t){r&&"__proto__"===t.name?r(e,t.name,{enumerable:!0,configurable:!0,value:t.newValue,writable:!0}):e[t.name]=t.newValue},l=function(e,n){if("__proto__"===n){if(!t.call(e,n))return;if(i)return i(e,n).value}return e[n]};e.exports=function e(){var t,n,r,i,u,c,d=arguments[0],p=1,f=arguments.length,h=!1;for("boolean"==typeof d&&(h=d,d=arguments[1]||{},p=2),(null==d||"object"!=typeof d&&"function"!=typeof d)&&(d={});p<f;++p)if(null!=(t=arguments[p]))for(n in t)r=l(d,n),d!==(i=l(t,n))&&(h&&i&&(a(i)||(u=o(i)))?(u?(u=!1,c=r&&o(r)?r:[]):c=r&&a(r)?r:{},s(d,{name:n,newValue:e(h,c,i)})):void 0!==i&&s(d,{name:n,newValue:i}));return d}},69378:e=>{"use strict";e.exports=function e(t,n){if(t===n)return!0;if(t&&n&&"object"==typeof t&&"object"==typeof n){if(t.constructor!==n.constructor)return!1;var r,i,o;if(Array.isArray(t)){if((r=t.length)!=n.length)return!1;for(i=r;0!=i--;)if(!e(t[i],n[i]))return!1;return!0}if(t.constructor===RegExp)return t.source===n.source&&t.flags===n.flags;if(t.valueOf!==Object.prototype.valueOf)return t.valueOf()===n.valueOf();if(t.toString!==Object.prototype.toString)return t.toString()===n.toString();if((r=(o=Object.keys(t)).length)!==Object.keys(n).length)return!1;for(i=r;0!=i--;)if(!Object.prototype.hasOwnProperty.call(n,o[i]))return!1;for(i=r;0!=i--;){var a=o[i];if(!e(t[a],n[a]))return!1}return!0}return t!=t&&n!=n}},85695:e=>{"use strict";e.exports=function(e,t){t||(t={}),"function"==typeof t&&(t={cmp:t});var n,r="boolean"==typeof t.cycles&&t.cycles,i=t.cmp&&(n=t.cmp,function(e){return function(t,r){var i={key:t,value:e[t]},o={key:r,value:e[r]};return n(i,o)}}),o=[];return function e(t){if(t&&t.toJSON&&"function"==typeof t.toJSON&&(t=t.toJSON()),void 0!==t){if("number"==typeof t)return isFinite(t)?""+t:"null";if("object"!=typeof t)return JSON.stringify(t);var n,a;if(Array.isArray(t)){for(a="[",n=0;n<t.length;n++)n&&(a+=","),a+=e(t[n])||"null";return a+"]"}if(null===t)return"null";if(-1!==o.indexOf(t)){if(r)return JSON.stringify("__cycle__");throw new TypeError("Converting circular structure to JSON")}var s=o.push(t)-1,l=Object.keys(t).sort(i&&i(t));for(a="",n=0;n<l.length;n++){var u=l[n],c=e(t[u]);c&&(a&&(a+=","),a+=JSON.stringify(u)+":"+c)}return o.splice(s,1),"{"+a+"}"}}(e)}},56297:e=>{function t(e){var t,n,r=(e=e||{}).keybindings||{};for(t in this._settings={keybindings:{next:r.next||{keyCode:40},prev:r.prev||{keyCode:38},first:r.first,last:r.last},wrap:e.wrap,stringSearch:e.stringSearch,stringSearchDelay:800},this._keybindingsLookup=[],this._settings.keybindings)(n=this._settings.keybindings[t])&&[].concat(n).forEach(function(e){e.metaKey=e.metaKey||!1,e.ctrlKey=e.ctrlKey||!1,e.altKey=e.altKey||!1,e.shiftKey=e.shiftKey||!1,this._keybindingsLookup.push({action:t,eventMatcher:e})}.bind(this));this._searchString="",this._members=[],e.members&&this.setMembers(e.members),this._boundHandleKeydownEvent=this._handleKeydownEvent.bind(this)}function n(e){e&&e.focus&&(e.focus(),"input"===e.tagName.toLowerCase()&&e.select())}t.prototype.activate=function(){return document.addEventListener("keydown",this._boundHandleKeydownEvent,!0),this},t.prototype.deactivate=function(){return document.removeEventListener("keydown",this._boundHandleKeydownEvent,!0),this._clearSearchStringRefreshTimer(),this},t.prototype._handleKeydownEvent=function(e){if(-1!==this._getActiveElementIndex()){var t=!1;this._keybindingsLookup.forEach(function(n){if(function(e,t){for(var n in e)if(void 0!==t[n]&&e[n]!==t[n])return!1;return!0}(n.eventMatcher,e))switch(t=!0,e.preventDefault(),n.action){case"next":this.moveFocusForward();break;case"prev":this.moveFocusBack();break;case"first":this.moveFocusToFirst();break;case"last":this.moveFocusToLast();break;default:return}}.bind(this)),t||this._handleUnboundKey(e)}},t.prototype.moveFocusForward=function(){var e,t=this._getActiveElementIndex();return e=t<this._members.length-1?t+1:this._settings.wrap?0:t,this.focusNodeAtIndex(e),e},t.prototype.moveFocusBack=function(){var e,t=this._getActiveElementIndex();return e=t>0?t-1:this._settings.wrap?this._members.length-1:t,this.focusNodeAtIndex(e),e},t.prototype.moveFocusToFirst=function(){this.focusNodeAtIndex(0)},t.prototype.moveFocusToLast=function(){this.focusNodeAtIndex(this._members.length-1)},t.prototype._handleUnboundKey=function(e){if(this._settings.stringSearch){if(""!==this._searchString&&(" "===e.key||32===e.keyCode))return e.preventDefault(),-1;if(!((t=e.keyCode)>=65&&t<=90))return-1;var t;if(e.ctrlKey||e.metaKey||e.altKey)return-1;e.preventDefault(),this._addToSearchString(String.fromCharCode(e.keyCode)),this._runStringSearch()}},t.prototype._clearSearchString=function(){this._searchString=""},t.prototype._addToSearchString=function(e){this._searchString+=e.toLowerCase()},t.prototype._startSearchStringRefreshTimer=function(){var e=this;this._clearSearchStringRefreshTimer(),this._stringSearchTimer=setTimeout((function(){e._clearSearchString()}),this._settings.stringSearchDelay)},t.prototype._clearSearchStringRefreshTimer=function(){clearTimeout(this._stringSearchTimer)},t.prototype._runStringSearch=function(){this._startSearchStringRefreshTimer(),this.moveFocusByString(this._searchString)},t.prototype.moveFocusByString=function(e){for(var t,r=0,i=this._members.length;r<i;r++)if((t=this._members[r]).text&&0===t.text.indexOf(e))return n(t.node)},t.prototype._findIndexOfNode=function(e){for(var t=0,n=this._members.length;t<n;t++)if(this._members[t].node===e)return t;return-1},t.prototype._getActiveElementIndex=function(){return this._findIndexOfNode(document.activeElement)},t.prototype.focusNodeAtIndex=function(e){var t=this._members[e];return t&&n(t.node),this},t.prototype.addMember=function(e,t){var n=e.node||e,r=e.text||n.getAttribute("data-focus-group-text")||n.textContent||"";this._checkNode(n);var i={node:n,text:r.replace(/[\W_]/g,"").toLowerCase()};return null!=t?this._members.splice(t,0,i):this._members.push(i),this},t.prototype.removeMember=function(e){var t="number"==typeof e?e:this._findIndexOfNode(e);if(-1!==t)return this._members.splice(t,1),this},t.prototype.clearMembers=function(){return this._members=[],this},t.prototype.setMembers=function(e){this.clearMembers();for(var t=0,n=e.length;t<n;t++)this.addMember(e[t]);return this},t.prototype.getMembers=function(){return this._members},t.prototype._checkNode=function(e){if(!e.nodeType||e.nodeType!==window.Node.ELEMENT_NODE)throw new Error("focus-group: only DOM nodes allowed");return e},e.exports=function(e){return new t(e)}},37795:e=>{"use strict";var t=Object.prototype.toString,n=Math.max,r=function(e,t){for(var n=[],r=0;r<e.length;r+=1)n[r]=e[r];for(var i=0;i<t.length;i+=1)n[i+e.length]=t[i];return n};e.exports=function(e){var i=this;if("function"!=typeof i||"[object Function]"!==t.apply(i))throw new TypeError("Function.prototype.bind called on incompatible "+i);for(var o,a=function(e,t){for(var n=[],r=1,i=0;r<e.length;r+=1,i+=1)n[i]=e[r];return n}(arguments),s=n(0,i.length-a.length),l=[],u=0;u<s;u++)l[u]="$"+u;if(o=Function("binder","return function ("+function(e,t){for(var n="",r=0;r<e.length;r+=1)n+=e[r],r+1<e.length&&(n+=",");return n}(l)+"){ return binder.apply(this,arguments); }")((function(){if(this instanceof o){var t=i.apply(this,r(a,arguments));return Object(t)===t?t:this}return i.apply(e,r(a,arguments))})),i.prototype){var c=function(){};c.prototype=i.prototype,o.prototype=new c,c.prototype=null}return o}},4090:(e,t,n)=>{"use strict";var r=n(37795);e.exports=Function.prototype.bind||r},14478:e=>{var t;t={},e.exports=t,t.simpleFilter=function(e,n){return n.filter((function(n){return t.test(e,n)}))},t.test=function(e,n){return null!==t.match(e,n)},t.match=function(e,t,n){n=n||{};var r,i=0,o=[],a=t.length,s=0,l=0,u=n.pre||"",c=n.post||"",d=n.caseSensitive&&t||t.toLowerCase();e=n.caseSensitive&&e||e.toLowerCase();for(var p=0;p<a;p++)r=t[p],d[p]===e[i]?(r=u+r+c,i+=1,l+=1+l):l=0,s+=l,o[o.length]=r;return i===e.length?(s=d===e?1/0:s,{rendered:o.join(""),score:s}):null},t.filter=function(e,n,r){return n&&0!==n.length?"string"!=typeof e?n:(r=r||{},n.reduce((function(n,i,o,a){var s=i;r.extract&&(s=r.extract(i));var l=t.match(e,s,r);return null!=l&&(n[n.length]={string:l.rendered,score:l.score,index:o,original:i}),n}),[]).sort((function(e,t){return t.score-e.score||e.index-t.index}))):[]}},67286:(e,t,n)=>{"use strict";var r,i=n(42321),o=n(69654),a=n(48205),s=n(2976),l=n(48342),u=n(5408),c=n(82885),d=Function,p=function(e){try{return d('"use strict"; return ('+e+").constructor;")()}catch(e){}},f=Object.getOwnPropertyDescriptor;if(f)try{f({},"")}catch(e){f=null}var h=function(){throw new u},m=f?function(){try{return h}catch(e){try{return f(arguments,"callee").get}catch(e){return h}}}():h,g=n(32636)(),v=n(18486)(),y=Object.getPrototypeOf||(v?function(e){return e.__proto__}:null),b={},w="undefined"!=typeof Uint8Array&&y?y(Uint8Array):r,_={__proto__:null,"%AggregateError%":"undefined"==typeof AggregateError?r:AggregateError,"%Array%":Array,"%ArrayBuffer%":"undefined"==typeof ArrayBuffer?r:ArrayBuffer,"%ArrayIteratorPrototype%":g&&y?y([][Symbol.iterator]()):r,"%AsyncFromSyncIteratorPrototype%":r,"%AsyncFunction%":b,"%AsyncGenerator%":b,"%AsyncGeneratorFunction%":b,"%AsyncIteratorPrototype%":b,"%Atomics%":"undefined"==typeof Atomics?r:Atomics,"%BigInt%":"undefined"==typeof BigInt?r:BigInt,"%BigInt64Array%":"undefined"==typeof BigInt64Array?r:BigInt64Array,"%BigUint64Array%":"undefined"==typeof BigUint64Array?r:BigUint64Array,"%Boolean%":Boolean,"%DataView%":"undefined"==typeof DataView?r:DataView,"%Date%":Date,"%decodeURI%":decodeURI,"%decodeURIComponent%":decodeURIComponent,"%encodeURI%":encodeURI,"%encodeURIComponent%":encodeURIComponent,"%Error%":i,"%eval%":eval,"%EvalError%":o,"%Float32Array%":"undefined"==typeof Float32Array?r:Float32Array,"%Float64Array%":"undefined"==typeof Float64Array?r:Float64Array,"%FinalizationRegistry%":"undefined"==typeof FinalizationRegistry?r:FinalizationRegistry,"%Function%":d,"%GeneratorFunction%":b,"%Int8Array%":"undefined"==typeof Int8Array?r:Int8Array,"%Int16Array%":"undefined"==typeof Int16Array?r:Int16Array,"%Int32Array%":"undefined"==typeof Int32Array?r:Int32Array,"%isFinite%":isFinite,"%isNaN%":isNaN,"%IteratorPrototype%":g&&y?y(y([][Symbol.iterator]())):r,"%JSON%":"object"==typeof JSON?JSON:r,"%Map%":"undefined"==typeof Map?r:Map,"%MapIteratorPrototype%":"undefined"!=typeof Map&&g&&y?y((new Map)[Symbol.iterator]()):r,"%Math%":Math,"%Number%":Number,"%Object%":Object,"%parseFloat%":parseFloat,"%parseInt%":parseInt,"%Promise%":"undefined"==typeof Promise?r:Promise,"%Proxy%":"undefined"==typeof Proxy?r:Proxy,"%RangeError%":a,"%ReferenceError%":s,"%Reflect%":"undefined"==typeof Reflect?r:Reflect,"%RegExp%":RegExp,"%Set%":"undefined"==typeof Set?r:Set,"%SetIteratorPrototype%":"undefined"!=typeof Set&&g&&y?y((new Set)[Symbol.iterator]()):r,"%SharedArrayBuffer%":"undefined"==typeof SharedArrayBuffer?r:SharedArrayBuffer,"%String%":String,"%StringIteratorPrototype%":g&&y?y(""[Symbol.iterator]()):r,"%Symbol%":g?Symbol:r,"%SyntaxError%":l,"%ThrowTypeError%":m,"%TypedArray%":w,"%TypeError%":u,"%Uint8Array%":"undefined"==typeof Uint8Array?r:Uint8Array,"%Uint8ClampedArray%":"undefined"==typeof Uint8ClampedArray?r:Uint8ClampedArray,"%Uint16Array%":"undefined"==typeof Uint16Array?r:Uint16Array,"%Uint32Array%":"undefined"==typeof Uint32Array?r:Uint32Array,"%URIError%":c,"%WeakMap%":"undefined"==typeof WeakMap?r:WeakMap,"%WeakRef%":"undefined"==typeof WeakRef?r:WeakRef,"%WeakSet%":"undefined"==typeof WeakSet?r:WeakSet};if(y)try{null.error}catch(e){var x=y(y(e));_["%Error.prototype%"]=x}var k=function e(t){var n;if("%AsyncFunction%"===t)n=p("async function () {}");else if("%GeneratorFunction%"===t)n=p("function* () {}");else if("%AsyncGeneratorFunction%"===t)n=p("async function* () {}");else if("%AsyncGenerator%"===t){var r=e("%AsyncGeneratorFunction%");r&&(n=r.prototype)}else if("%AsyncIteratorPrototype%"===t){var i=e("%AsyncGenerator%");i&&y&&(n=y(i.prototype))}return _[t]=n,n},E={__proto__:null,"%ArrayBufferPrototype%":["ArrayBuffer","prototype"],"%ArrayPrototype%":["Array","prototype"],"%ArrayProto_entries%":["Array","prototype","entries"],"%ArrayProto_forEach%":["Array","prototype","forEach"],"%ArrayProto_keys%":["Array","prototype","keys"],"%ArrayProto_values%":["Array","prototype","values"],"%AsyncFunctionPrototype%":["AsyncFunction","prototype"],"%AsyncGenerator%":["AsyncGeneratorFunction","prototype"],"%AsyncGeneratorPrototype%":["AsyncGeneratorFunction","prototype","prototype"],"%BooleanPrototype%":["Boolean","prototype"],"%DataViewPrototype%":["DataView","prototype"],"%DatePrototype%":["Date","prototype"],"%ErrorPrototype%":["Error","prototype"],"%EvalErrorPrototype%":["EvalError","prototype"],"%Float32ArrayPrototype%":["Float32Array","prototype"],"%Float64ArrayPrototype%":["Float64Array","prototype"],"%FunctionPrototype%":["Function","prototype"],"%Generator%":["GeneratorFunction","prototype"],"%GeneratorPrototype%":["GeneratorFunction","prototype","prototype"],"%Int8ArrayPrototype%":["Int8Array","prototype"],"%Int16ArrayPrototype%":["Int16Array","prototype"],"%Int32ArrayPrototype%":["Int32Array","prototype"],"%JSONParse%":["JSON","parse"],"%JSONStringify%":["JSON","stringify"],"%MapPrototype%":["Map","prototype"],"%NumberPrototype%":["Number","prototype"],"%ObjectPrototype%":["Object","prototype"],"%ObjProto_toString%":["Object","prototype","toString"],"%ObjProto_valueOf%":["Object","prototype","valueOf"],"%PromisePrototype%":["Promise","prototype"],"%PromiseProto_then%":["Promise","prototype","then"],"%Promise_all%":["Promise","all"],"%Promise_reject%":["Promise","reject"],"%Promise_resolve%":["Promise","resolve"],"%RangeErrorPrototype%":["RangeError","prototype"],"%ReferenceErrorPrototype%":["ReferenceError","prototype"],"%RegExpPrototype%":["RegExp","prototype"],"%SetPrototype%":["Set","prototype"],"%SharedArrayBufferPrototype%":["SharedArrayBuffer","prototype"],"%StringPrototype%":["String","prototype"],"%SymbolPrototype%":["Symbol","prototype"],"%SyntaxErrorPrototype%":["SyntaxError","prototype"],"%TypedArrayPrototype%":["TypedArray","prototype"],"%TypeErrorPrototype%":["TypeError","prototype"],"%Uint8ArrayPrototype%":["Uint8Array","prototype"],"%Uint8ClampedArrayPrototype%":["Uint8ClampedArray","prototype"],"%Uint16ArrayPrototype%":["Uint16Array","prototype"],"%Uint32ArrayPrototype%":["Uint32Array","prototype"],"%URIErrorPrototype%":["URIError","prototype"],"%WeakMapPrototype%":["WeakMap","prototype"],"%WeakSetPrototype%":["WeakSet","prototype"]},C=n(4090),S=n(72196),A=C.call(Function.call,Array.prototype.concat),T=C.call(Function.apply,Array.prototype.splice),O=C.call(Function.call,String.prototype.replace),P=C.call(Function.call,String.prototype.slice),D=C.call(Function.call,RegExp.prototype.exec),R=/[^%.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|%$))/g,I=/\\(\\)?/g,M=function(e,t){var n,r=e;if(S(E,r)&&(r="%"+(n=E[r])[0]+"%"),S(_,r)){var i=_[r];if(i===b&&(i=k(r)),void 0===i&&!t)throw new u("intrinsic "+e+" exists, but is not available. Please file an issue!");return{alias:n,name:r,value:i}}throw new l("intrinsic "+e+" does not exist!")};e.exports=function(e,t){if("string"!=typeof e||0===e.length)throw new u("intrinsic name must be a non-empty string");if(arguments.length>1&&"boolean"!=typeof t)throw new u('"allowMissing" argument must be a boolean');if(null===D(/^%?[^%]*%?$/,e))throw new l("`%` may not be present anywhere but at the beginning and end of the intrinsic name");var n=function(e){var t=P(e,0,1),n=P(e,-1);if("%"===t&&"%"!==n)throw new l("invalid intrinsic syntax, expected closing `%`");if("%"===n&&"%"!==t)throw new l("invalid intrinsic syntax, expected opening `%`");var r=[];return O(e,R,(function(e,t,n,i){r[r.length]=n?O(i,I,"$1"):t||e})),r}(e),r=n.length>0?n[0]:"",i=M("%"+r+"%",t),o=i.name,a=i.value,s=!1,c=i.alias;c&&(r=c[0],T(n,A([0,1],c)));for(var d=1,p=!0;d<n.length;d+=1){var h=n[d],m=P(h,0,1),g=P(h,-1);if(('"'===m||"'"===m||"`"===m||'"'===g||"'"===g||"`"===g)&&m!==g)throw new l("property names with quotes must have matching quotes");if("constructor"!==h&&p||(s=!0),S(_,o="%"+(r+="."+h)+"%"))a=_[o];else if(null!=a){if(!(h in a)){if(!t)throw new u("base intrinsic for "+e+" exists, but the property is not available.");return}if(f&&d+1>=n.length){var v=f(a,h);a=(p=!!v)&&"get"in v&&!("originalValue"in v.get)?v.get:a[h]}else p=S(a,h),a=a[h];p&&!s&&(_[o]=a)}}return a}},50326:(e,t,n)=>{"use strict";var r=n(67286)("%Object.getOwnPropertyDescriptor%",!0);if(r)try{r([],"length")}catch(e){r=null}e.exports=r},3959:(e,t)=>{"use strict";function n(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var r=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.user=t}var t,r;return t=e,r=[{key:"listUsers",value:function(e){return this.user._request("/admin/users",{method:"GET",audience:e})}},{key:"getUser",value:function(e){return this.user._request("/admin/users/".concat(e.id))}},{key:"updateUser",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return this.user._request("/admin/users/".concat(e.id),{method:"PUT",body:JSON.stringify(t)})}},{key:"createUser",value:function(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return n.email=e,n.password=t,this.user._request("/admin/users",{method:"POST",body:JSON.stringify(n)})}},{key:"deleteUser",value:function(e){return this.user._request("/admin/users/".concat(e.id),{method:"DELETE"})}}],r&&n(t.prototype,r),e}();t.default=r},28005:(e,t,n)=>{"use strict";function r(e){return r="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},r(e)}t.Z=void 0;var i,o=function(e){if(e&&e.__esModule)return e;if(null===e||"object"!==r(e)&&"function"!=typeof e)return{default:e};var t=s();if(t&&t.has(e))return t.get(e);var n={},i=Object.defineProperty&&Object.getOwnPropertyDescriptor;for(var o in e)if(Object.prototype.hasOwnProperty.call(e,o)){var a=i?Object.getOwnPropertyDescriptor(e,o):null;a&&(a.get||a.set)?Object.defineProperty(n,o,a):n[o]=e[o]}return n.default=e,t&&t.set(e,n),n}(n(71955)),a=(i=n(54676))&&i.__esModule?i:{default:i};function s(){if("function"!=typeof WeakMap)return null;var e=new WeakMap;return s=function(){return e},e}function l(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var u=/^http:\/\//,c=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},n=t.APIUrl,r=void 0===n?"/.netlify/identity":n,i=t.audience,a=void 0===i?"":i,s=t.setCookie,l=void 0!==s&&s;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),r.match(u)&&console.warn("Warning:\n\nDO NOT USE HTTP IN PRODUCTION FOR GOTRUE EVER!\nGoTrue REQUIRES HTTPS to work securely."),a&&(this.audience=a),this.setCookie=l,this.api=new o.default(r)}var t,n;return t=e,n=[{key:"_request",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};t.headers=t.headers||{};var n=t.audience||this.audience;return n&&(t.headers["X-JWT-AUD"]=n),this.api.request(e,t).catch((function(e){return e instanceof o.JSONHTTPError&&e.json&&(e.json.msg?e.message=e.json.msg:e.json.error&&(e.message="".concat(e.json.error,": ").concat(e.json.error_description))),Promise.reject(e)}))}},{key:"settings",value:function(){return this._request("/settings")}},{key:"signup",value:function(e,t,n){return this._request("/signup",{method:"POST",body:JSON.stringify({email:e,password:t,data:n})})}},{key:"login",value:function(e,t,n){var r=this;return this._setRememberHeaders(n),this._request("/token",{method:"POST",headers:{"Content-Type":"application/x-www-form-urlencoded"},body:"grant_type=password&username=".concat(encodeURIComponent(e),"&password=").concat(encodeURIComponent(t))}).then((function(e){return a.default.removeSavedSession(),r.createUser(e,n)}))}},{key:"loginExternalUrl",value:function(e){return"".concat(this.api.apiURL,"/authorize?provider=").concat(e)}},{key:"confirm",value:function(e,t){return this._setRememberHeaders(t),this.verify("signup",e,t)}},{key:"requestPasswordRecovery",value:function(e){return this._request("/recover",{method:"POST",body:JSON.stringify({email:e})})}},{key:"recover",value:function(e,t){return this._setRememberHeaders(t),this.verify("recovery",e,t)}},{key:"acceptInvite",value:function(e,t,n){var r=this;return this._setRememberHeaders(n),this._request("/verify",{method:"POST",body:JSON.stringify({token:e,password:t,type:"signup"})}).then((function(e){return r.createUser(e,n)}))}},{key:"acceptInviteExternalUrl",value:function(e,t){return"".concat(this.api.apiURL,"/authorize?provider=").concat(e,"&invite_token=").concat(t)}},{key:"createUser",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];return this._setRememberHeaders(t),new a.default(this.api,e,this.audience).getUserData().then((function(e){return t&&e._saveSession(),e}))}},{key:"currentUser",value:function(){var e=a.default.recoverSession(this.api);return e&&this._setRememberHeaders(e._fromStorage),e}},{key:"verify",value:function(e,t,n){var r=this;return this._setRememberHeaders(n),this._request("/verify",{method:"POST",body:JSON.stringify({token:t,type:e})}).then((function(e){return r.createUser(e,n)}))}},{key:"_setRememberHeaders",value:function(e){this.setCookie&&(this.api.defaultHeaders=this.api.defaultHeaders||{},this.api.defaultHeaders["X-Use-Cookie"]=e?"1":"session")}}],n&&l(t.prototype,n),e}();t.Z=c,"undefined"!=typeof window&&(window.GoTrue=c)},54676:(e,t,n)=>{"use strict";function r(e){return r="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},r(e)}Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var i,o=function(e){if(e&&e.__esModule)return e;if(null===e||"object"!==r(e)&&"function"!=typeof e)return{default:e};var t=s();if(t&&t.has(e))return t.get(e);var n={},i=Object.defineProperty&&Object.getOwnPropertyDescriptor;for(var o in e)if(Object.prototype.hasOwnProperty.call(e,o)){var a=i?Object.getOwnPropertyDescriptor(e,o):null;a&&(a.get||a.set)?Object.defineProperty(n,o,a):n[o]=e[o]}return n.default=e,t&&t.set(e,n),n}(n(71955)),a=(i=n(3959))&&i.__esModule?i:{default:i};function s(){if("function"!=typeof WeakMap)return null;var e=new WeakMap;return s=function(){return e},e}function l(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function u(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function c(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var d="gotrue.user",p={},f=null,h={api:1,token:1,audience:1,url:1},m={api:1},g=function(){return"undefined"!=typeof window},v=function(){function e(t,n,r){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.api=t,this.url=t.apiURL,this.audience=r,this._processTokenResponse(n),f=this}var t,n,r;return t=e,n=[{key:"update",value:function(e){var t=this;return this._request("/user",{method:"PUT",body:JSON.stringify(e)}).then((function(e){return t._saveUserData(e)._refreshSavedSession()}))}},{key:"jwt",value:function(e){var t=this.tokenDetails();if(null==t)return Promise.reject(new Error("Gotrue-js: failed getting jwt access token"));var n=t.expires_at,r=t.refresh_token,i=t.access_token;return e||n-6e4<Date.now()?this._refreshToken(r):Promise.resolve(i)}},{key:"logout",value:function(){return this._request("/logout",{method:"POST"}).then(this.clearSession.bind(this)).catch(this.clearSession.bind(this))}},{key:"_refreshToken",value:function(e){var t=this;return p[e]?p[e]:p[e]=this.api.request("/token",{method:"POST",headers:{"Content-Type":"application/x-www-form-urlencoded"},body:"grant_type=refresh_token&refresh_token=".concat(e)}).then((function(n){return delete p[e],t._processTokenResponse(n),t._refreshSavedSession(),t.token.access_token})).catch((function(n){return delete p[e],t.clearSession(),Promise.reject(n)}))}},{key:"_request",value:function(e){var t=this,n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};n.headers=n.headers||{};var r=n.audience||this.audience;return r&&(n.headers["X-JWT-AUD"]=r),this.jwt().then((function(r){return t.api.request(e,function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?l(Object(n),!0).forEach((function(t){u(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):l(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({headers:Object.assign(n.headers,{Authorization:"Bearer ".concat(r)})},n)).catch((function(e){return e instanceof o.JSONHTTPError&&e.json&&(e.json.msg?e.message=e.json.msg:e.json.error&&(e.message="".concat(e.json.error,": ").concat(e.json.error_description))),Promise.reject(e)}))}))}},{key:"getUserData",value:function(){return this._request("/user").then(this._saveUserData.bind(this)).then(this._refreshSavedSession.bind(this))}},{key:"_saveUserData",value:function(t,n){for(var r in t)r in e.prototype||r in h||(this[r]=t[r]);return n&&(this._fromStorage=!0),this}},{key:"_processTokenResponse",value:function(e){this.token=e;try{var t=JSON.parse(function(e){var t=e.replace(/-/g,"+").replace(/_/g,"/");switch(t.length%4){case 0:break;case 2:t+="==";break;case 3:t+="=";break;default:throw"Illegal base64url string!"}var n=window.atob(t);try{return decodeURIComponent(escape(n))}catch(e){return n}}(e.access_token.split(".")[1]));this.token.expires_at=1e3*t.exp}catch(e){console.error(new Error("Gotrue-js: Failed to parse tokenResponse claims: ".concat(e)))}}},{key:"_refreshSavedSession",value:function(){return g()&&localStorage.getItem(d)&&this._saveSession(),this}},{key:"_saveSession",value:function(){return g()&&localStorage.setItem(d,JSON.stringify(this._details)),this}},{key:"tokenDetails",value:function(){return this.token}},{key:"clearSession",value:function(){e.removeSavedSession(),this.token=null,f=null}},{key:"admin",get:function(){return new a.default(this)}},{key:"_details",get:function(){var t={};for(var n in this)n in e.prototype||n in m||(t[n]=this[n]);return t}}],r=[{key:"removeSavedSession",value:function(){g()&&localStorage.removeItem(d)}},{key:"recoverSession",value:function(t){if(f)return f;var n=g()&&localStorage.getItem(d);if(n)try{var r=JSON.parse(n),i=r.url,a=r.token,s=r.audience;return i&&a?new e(t||new o.default(i,{}),a,s)._saveUserData(r,!0):null}catch(e){return console.error(new Error("Gotrue-js: Error recovering session: ".concat(e))),null}return null}}],n&&c(t.prototype,n),r&&c(t,r),e}();t.default=v},72678:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e){var t=e.prototype.toJSON;"function"==typeof t||(0,r.default)(0),e.prototype.inspect=t,i.default&&(e.prototype[i.default]=t)};var r=o(n(75006)),i=o(n(98019));function o(e){return e&&e.__esModule?e:{default:e}}},98048:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e){return l(e,[])};var r,i=(r=n(98019))&&r.__esModule?r:{default:r};function o(e){return o="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},o(e)}var a=10,s=2;function l(e,t){switch(o(e)){case"string":return JSON.stringify(e);case"function":return e.name?"[function ".concat(e.name,"]"):"[function]";case"object":return null===e?"null":function(e,t){if(-1!==t.indexOf(e))return"[Circular]";var n=[].concat(t,[e]),r=function(e){var t=e[String(i.default)];return"function"==typeof t?t:"function"==typeof e.inspect?e.inspect:void 0}(e);if(void 0!==r){var o=r.call(e);if(o!==e)return"string"==typeof o?o:l(o,n)}else if(Array.isArray(e))return function(e,t){if(0===e.length)return"[]";if(t.length>s)return"[Array]";for(var n=Math.min(a,e.length),r=e.length-n,i=[],o=0;o<n;++o)i.push(l(e[o],t));return 1===r?i.push("... 1 more item"):r>1&&i.push("... ".concat(r," more items")),"["+i.join(", ")+"]"}(e,n);return function(e,t){var n=Object.keys(e);return 0===n.length?"{}":t.length>s?"["+function(e){var t=Object.prototype.toString.call(e).replace(/^\[object /,"").replace(/]$/,"");if("Object"===t&&"function"==typeof e.constructor){var n=e.constructor.name;if("string"==typeof n&&""!==n)return n}return t}(e)+"]":"{ "+n.map((function(n){return n+": "+l(e[n],t)})).join(", ")+" }"}(e,n)}(e,t);default:return String(e)}}},75006:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e,t){if(!Boolean(e))throw new Error(null!=t?t:"Unexpected invariant triggered.")}},98019:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var n="function"==typeof Symbol&&"function"==typeof Symbol.for?Symbol.for("nodejs.util.inspect.custom"):void 0;t.default=n},64560:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.isNode=function(e){return null!=e&&"string"==typeof e.kind},t.Token=t.Location=void 0;var r,i=(r=n(72678))&&r.__esModule?r:{default:r},o=function(){function e(e,t,n){this.start=e.start,this.end=t.end,this.startToken=e,this.endToken=t,this.source=n}return e.prototype.toJSON=function(){return{start:this.start,end:this.end}},e}();t.Location=o,(0,i.default)(o);var a=function(){function e(e,t,n,r,i,o,a){this.kind=e,this.start=t,this.end=n,this.line=r,this.column=i,this.value=a,this.prev=o,this.next=null}return e.prototype.toJSON=function(){return{kind:this.kind,value:this.value,line:this.line,column:this.column}},e}();t.Token=a,(0,i.default)(a)},49501:(e,t)=>{"use strict";function n(e){for(var t=0;t<e.length;++t)if(" "!==e[t]&&"\t"!==e[t])return!1;return!0}function r(e){for(var t,n=!0,r=!0,i=0,o=null,a=0;a<e.length;++a)switch(e.charCodeAt(a)){case 13:10===e.charCodeAt(a+1)&&++a;case 10:n=!1,r=!0,i=0;break;case 9:case 32:++i;break;default:r&&!n&&(null===o||i<o)&&(o=i),r=!1}return null!==(t=o)&&void 0!==t?t:0}Object.defineProperty(t,"__esModule",{value:!0}),t.dedentBlockStringValue=function(e){var t=e.split(/\r\n|[\n\r]/g),i=r(e);if(0!==i)for(var o=1;o<t.length;o++)t[o]=t[o].slice(i);for(var a=0;a<t.length&&n(t[a]);)++a;for(var s=t.length;s>a&&n(t[s-1]);)--s;return t.slice(a,s).join("\n")},t.getBlockStringIndentation=r,t.printBlockString=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"",n=arguments.length>2&&void 0!==arguments[2]&&arguments[2],r=-1===e.indexOf("\n"),i=" "===e[0]||"\t"===e[0],o='"'===e[e.length-1],a="\\"===e[e.length-1],s=!r||o||a||n,l="";return!s||r&&i||(l+="\n"+t),l+=t?e.replace(/\n/g,"\n"+t):e,s&&(l+="\n"),'"""'+l.replace(/"""/g,'\\"""')+'"""'}},33083:(e,t,n)=>{"use strict";t.S=function(e){return(0,r.visit)(e,{leave:o})};var r=n(82624),i=n(49501),o={Name:function(e){return e.value},Variable:function(e){return"$"+e.name},Document:function(e){return s(e.definitions,"\n\n")+"\n"},OperationDefinition:function(e){var t=e.operation,n=e.name,r=u("(",s(e.variableDefinitions,", "),")"),i=s(e.directives," "),o=e.selectionSet;return n||i||r||"query"!==t?s([t,s([n,r]),i,o]," "):o},VariableDefinition:function(e){var t=e.variable,n=e.type,r=e.defaultValue,i=e.directives;return t+": "+n+u(" = ",r)+u(" ",s(i," "))},SelectionSet:function(e){return l(e.selections)},Field:function(e){var t=e.alias,n=e.name,r=e.arguments,i=e.directives,o=e.selectionSet,a=u("",t,": ")+n,l=a+u("(",s(r,", "),")");return l.length>80&&(l=a+u("(\n",c(s(r,"\n")),"\n)")),s([l,s(i," "),o]," ")},Argument:function(e){return e.name+": "+e.value},FragmentSpread:function(e){return"..."+e.name+u(" ",s(e.directives," "))},InlineFragment:function(e){var t=e.typeCondition,n=e.directives,r=e.selectionSet;return s(["...",u("on ",t),s(n," "),r]," ")},FragmentDefinition:function(e){var t=e.name,n=e.typeCondition,r=e.variableDefinitions,i=e.directives,o=e.selectionSet;return"fragment ".concat(t).concat(u("(",s(r,", "),")")," ")+"on ".concat(n," ").concat(u("",s(i," ")," "))+o},IntValue:function(e){return e.value},FloatValue:function(e){return e.value},StringValue:function(e,t){var n=e.value;return e.block?(0,i.printBlockString)(n,"description"===t?"":" "):JSON.stringify(n)},BooleanValue:function(e){return e.value?"true":"false"},NullValue:function(){return"null"},EnumValue:function(e){return e.value},ListValue:function(e){return"["+s(e.values,", ")+"]"},ObjectValue:function(e){return"{"+s(e.fields,", ")+"}"},ObjectField:function(e){return e.name+": "+e.value},Directive:function(e){return"@"+e.name+u("(",s(e.arguments,", "),")")},NamedType:function(e){return e.name},ListType:function(e){return"["+e.type+"]"},NonNullType:function(e){return e.type+"!"},SchemaDefinition:a((function(e){var t=e.directives,n=e.operationTypes;return s(["schema",s(t," "),l(n)]," ")})),OperationTypeDefinition:function(e){return e.operation+": "+e.type},ScalarTypeDefinition:a((function(e){return s(["scalar",e.name,s(e.directives," ")]," ")})),ObjectTypeDefinition:a((function(e){var t=e.name,n=e.interfaces,r=e.directives,i=e.fields;return s(["type",t,u("implements ",s(n," & ")),s(r," "),l(i)]," ")})),FieldDefinition:a((function(e){var t=e.name,n=e.arguments,r=e.type,i=e.directives;return t+(p(n)?u("(\n",c(s(n,"\n")),"\n)"):u("(",s(n,", "),")"))+": "+r+u(" ",s(i," "))})),InputValueDefinition:a((function(e){var t=e.name,n=e.type,r=e.defaultValue,i=e.directives;return s([t+": "+n,u("= ",r),s(i," ")]," ")})),InterfaceTypeDefinition:a((function(e){var t=e.name,n=e.interfaces,r=e.directives,i=e.fields;return s(["interface",t,u("implements ",s(n," & ")),s(r," "),l(i)]," ")})),UnionTypeDefinition:a((function(e){var t=e.name,n=e.directives,r=e.types;return s(["union",t,s(n," "),r&&0!==r.length?"= "+s(r," | "):""]," ")})),EnumTypeDefinition:a((function(e){var t=e.name,n=e.directives,r=e.values;return s(["enum",t,s(n," "),l(r)]," ")})),EnumValueDefinition:a((function(e){return s([e.name,s(e.directives," ")]," ")})),InputObjectTypeDefinition:a((function(e){var t=e.name,n=e.directives,r=e.fields;return s(["input",t,s(n," "),l(r)]," ")})),DirectiveDefinition:a((function(e){var t=e.name,n=e.arguments,r=e.repeatable,i=e.locations;return"directive @"+t+(p(n)?u("(\n",c(s(n,"\n")),"\n)"):u("(",s(n,", "),")"))+(r?" repeatable":"")+" on "+s(i," | ")})),SchemaExtension:function(e){var t=e.directives,n=e.operationTypes;return s(["extend schema",s(t," "),l(n)]," ")},ScalarTypeExtension:function(e){return s(["extend scalar",e.name,s(e.directives," ")]," ")},ObjectTypeExtension:function(e){var t=e.name,n=e.interfaces,r=e.directives,i=e.fields;return s(["extend type",t,u("implements ",s(n," & ")),s(r," "),l(i)]," ")},InterfaceTypeExtension:function(e){var t=e.name,n=e.interfaces,r=e.directives,i=e.fields;return s(["extend interface",t,u("implements ",s(n," & ")),s(r," "),l(i)]," ")},UnionTypeExtension:function(e){var t=e.name,n=e.directives,r=e.types;return s(["extend union",t,s(n," "),r&&0!==r.length?"= "+s(r," | "):""]," ")},EnumTypeExtension:function(e){var t=e.name,n=e.directives,r=e.values;return s(["extend enum",t,s(n," "),l(r)]," ")},InputObjectTypeExtension:function(e){var t=e.name,n=e.directives,r=e.fields;return s(["extend input",t,s(n," "),l(r)]," ")}};function a(e){return function(t){return s([t.description,e(t)],"\n")}}function s(e){var t,n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"";return null!==(t=null==e?void 0:e.filter((function(e){return e})).join(n))&&void 0!==t?t:""}function l(e){return u("{\n",c(s(e,"\n")),"\n}")}function u(e,t){return null!=t&&""!==t?e+t+(arguments.length>2&&void 0!==arguments[2]?arguments[2]:""):""}function c(e){return u(" ",e.replace(/\n/g,"\n "))}function d(e){return-1!==e.indexOf("\n")}function p(e){return null!=e&&e.some(d)}},82624:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.visit=function(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:a,r=void 0,u=Array.isArray(e),c=[e],d=-1,p=[],f=void 0,h=void 0,m=void 0,g=[],v=[],y=e;do{var b=++d===c.length,w=b&&0!==p.length;if(b){if(h=0===v.length?void 0:g[g.length-1],f=m,m=v.pop(),w){if(u)f=f.slice();else{for(var _={},x=0,k=Object.keys(f);x<k.length;x++){var E=k[x];_[E]=f[E]}f=_}for(var C=0,S=0;S<p.length;S++){var A=p[S][0],T=p[S][1];u&&(A-=C),u&&null===T?(f.splice(A,1),C++):f[A]=T}}d=r.index,c=r.keys,p=r.edits,u=r.inArray,r=r.prev}else{if(h=m?u?d:c[d]:void 0,null==(f=m?m[h]:y))continue;m&&g.push(h)}var O,P=void 0;if(!Array.isArray(f)){if(!(0,o.isNode)(f))throw new Error("Invalid AST Node: ".concat((0,i.default)(f),"."));var D=l(t,f.kind,b);if(D){if((P=D.call(t,f,h,m,g,v))===s)break;if(!1===P){if(!b){g.pop();continue}}else if(void 0!==P&&(p.push([h,P]),!b)){if(!(0,o.isNode)(P)){g.pop();continue}f=P}}}void 0===P&&w&&p.push([h,f]),b?g.pop():(r={inArray:u,index:d,keys:c,edits:p,prev:r},c=(u=Array.isArray(f))?f:null!==(O=n[f.kind])&&void 0!==O?O:[],d=-1,p=[],m&&v.push(m),m=f)}while(void 0!==r);return 0!==p.length&&(y=p[p.length-1][1]),y},t.visitInParallel=function(e){var t=new Array(e.length);return{enter:function(n){for(var r=0;r<e.length;r++)if(null==t[r]){var i=l(e[r],n.kind,!1);if(i){var o=i.apply(e[r],arguments);if(!1===o)t[r]=n;else if(o===s)t[r]=s;else if(void 0!==o)return o}}},leave:function(n){for(var r=0;r<e.length;r++)if(null==t[r]){var i=l(e[r],n.kind,!0);if(i){var o=i.apply(e[r],arguments);if(o===s)t[r]=s;else if(void 0!==o&&!1!==o)return o}}else t[r]===n&&(t[r]=null)}}},t.getVisitFn=l,t.BREAK=t.QueryDocumentKeys=void 0;var r,i=(r=n(98048))&&r.__esModule?r:{default:r},o=n(64560),a={Name:[],Document:["definitions"],OperationDefinition:["name","variableDefinitions","directives","selectionSet"],VariableDefinition:["variable","type","defaultValue","directives"],Variable:["name"],SelectionSet:["selections"],Field:["alias","name","arguments","directives","selectionSet"],Argument:["name","value"],FragmentSpread:["name","directives"],InlineFragment:["typeCondition","directives","selectionSet"],FragmentDefinition:["name","variableDefinitions","typeCondition","directives","selectionSet"],IntValue:[],FloatValue:[],StringValue:[],BooleanValue:[],NullValue:[],EnumValue:[],ListValue:["values"],ObjectValue:["fields"],ObjectField:["name","value"],Directive:["name","arguments"],NamedType:["name"],ListType:["type"],NonNullType:["type"],SchemaDefinition:["description","directives","operationTypes"],OperationTypeDefinition:["type"],ScalarTypeDefinition:["description","name","directives"],ObjectTypeDefinition:["description","name","interfaces","directives","fields"],FieldDefinition:["description","name","arguments","type","directives"],InputValueDefinition:["description","name","type","defaultValue","directives"],InterfaceTypeDefinition:["description","name","interfaces","directives","fields"],UnionTypeDefinition:["description","name","directives","types"],EnumTypeDefinition:["description","name","directives","values"],EnumValueDefinition:["description","name","directives"],InputObjectTypeDefinition:["description","name","directives","fields"],DirectiveDefinition:["description","name","arguments","locations"],SchemaExtension:["directives","operationTypes"],ScalarTypeExtension:["name","directives"],ObjectTypeExtension:["name","interfaces","directives","fields"],InterfaceTypeExtension:["name","interfaces","directives","fields"],UnionTypeExtension:["name","directives","types"],EnumTypeExtension:["name","directives","values"],InputObjectTypeExtension:["name","directives","fields"]};t.QueryDocumentKeys=a;var s=Object.freeze({});function l(e,t,n){var r=e[t];if(r){if(!n&&"function"==typeof r)return r;var i=n?r.leave:r.enter;if("function"==typeof i)return i}else{var o=n?e.leave:e.enter;if(o){if("function"==typeof o)return o;var a=o[t];if("function"==typeof a)return a}}}t.BREAK=s},61035:(e,t,n)=>{"use strict";const r=n(68906),i=n(24162),o=n(30793),a=n(66213),s=n(88708),l=n(84118),u=n(5526),c=n(83651),d=n(24547);function p(e,t){if(""===e)return{data:{},content:e,excerpt:"",orig:e};let n=u(e);const r=p.cache[n.content];if(!t){if(r)return n=Object.assign({},r),n.orig=r.orig,n;p.cache[n.content]=n}return function(e,t){const n=o(t),r=n.delimiters[0],a="\n"+n.delimiters[1];let l=e.content;n.language&&(e.language=n.language);const u=r.length;if(!d.startsWith(l,r,u))return s(e,n),e;if(l.charAt(u)===r.slice(-1))return e;l=l.slice(u);const f=l.length,h=p.language(l,n);h.name&&(e.language=h.name,l=l.slice(h.raw.length));let m=l.indexOf(a);-1===m&&(m=f),e.matter=l.slice(0,m);return""===e.matter.replace(/^\s*#[^\n]+/gm,"").trim()?(e.isEmpty=!0,e.empty=e.content,e.data={}):e.data=c(e.language,e.matter,n),m===f?e.content="":(e.content=l.slice(m+a.length),"\r"===e.content[0]&&(e.content=e.content.slice(1)),"\n"===e.content[0]&&(e.content=e.content.slice(1))),s(e,n),(!0===n.sections||"function"==typeof n.section)&&i(e,n.section),e}(n,t)}p.engines=l,p.stringify=function(e,t,n){return"string"==typeof e&&(e=p(e,n)),a(e,t,n)},p.read=function(e,t){const n=p(r.readFileSync(e,"utf8"),t);return n.path=e,n},p.test=function(e,t){return d.startsWith(e,o(t).delimiters[0])},p.language=function(e,t){const n=o(t).delimiters[0];p.test(e)&&(e=e.slice(n.length));const r=e.slice(0,e.search(/\r?\n/));return{raw:r,name:r?r.trim():""}},p.cache={},p.clearCache=function(){p.cache={}},e.exports=p},30793:(e,t,n)=>{"use strict";const r=n(84118),i=n(24547);e.exports=function(e){const t=Object.assign({},e);return t.delimiters=i.arrayify(t.delims||t.delimiters||"---"),1===t.delimiters.length&&t.delimiters.push(t.delimiters[0]),t.language=(t.language||t.lang||"yaml").toLowerCase(),t.engines=Object.assign({},r,t.parsers,t.engines),t}},98760:e=>{"use strict";e.exports=function(e,t){let n=t.engines[e]||t.engines[function(e){switch(e.toLowerCase()){case"js":case"javascript":return"javascript";case"coffee":case"coffeescript":case"cson":return"coffee";case"yaml":case"yml":return"yaml";default:return e}}(e)];if(void 0===n)throw new Error('gray-matter engine "'+e+'" is not registered');return"function"==typeof n&&(n={parse:n}),n}},84118:(module,exports,__webpack_require__)=>{"use strict";const yaml=__webpack_require__(16640),engines=exports=module.exports;engines.yaml={parse:yaml.safeLoad.bind(yaml),stringify:yaml.safeDump.bind(yaml)},engines.json={parse:JSON.parse.bind(JSON),stringify:function(e,t){const n=Object.assign({replacer:null,space:2},t);return JSON.stringify(e,n.replacer,n.space)}},engines.javascript={parse:function parse(str,options,wrap){try{return!1!==wrap&&(str="(function() {\nreturn "+str.trim()+";\n}());"),eval(str)||{}}catch(e){if(!1!==wrap&&/(unexpected|identifier)/i.test(e.message))return parse(str,options,!1);throw new SyntaxError(e)}},stringify:function(){throw new Error("stringifying JavaScript is not supported")}}},88708:(e,t,n)=>{"use strict";const r=n(30793);e.exports=function(e,t){const n=r(t);if(null==e.data&&(e.data={}),"function"==typeof n.excerpt)return n.excerpt(e,n);const i=e.data.excerpt_separator||n.excerpt_separator;if(null==i&&(!1===n.excerpt||null==n.excerpt))return e;const o="string"==typeof n.excerpt?n.excerpt:i||n.delimiters[0],a=e.content.indexOf(o);return-1!==a&&(e.excerpt=e.content.slice(0,a)),e}},83651:(e,t,n)=>{"use strict";const r=n(98760),i=n(30793);e.exports=function(e,t,n){const o=i(n),a=r(e,o);if("function"!=typeof a.parse)throw new TypeError('expected "'+e+'.parse" to be a function');return a.parse(t,o)}},66213:(e,t,n)=>{"use strict";const r=n(91366),i=n(98760),o=n(30793);function a(e){return"\n"!==e.slice(-1)?e+"\n":e}e.exports=function(e,t,n){if(null==t&&null==n)switch(r(e)){case"object":t=e.data,n={};break;case"string":return e;default:throw new TypeError("expected file to be a string or object")}const s=e.content,l=o(n);if(null==t){if(!l.data)return e;t=l.data}const u=e.language||l.language,c=i(u,l);if("function"!=typeof c.stringify)throw new TypeError('expected "'+u+'.stringify" to be a function');t=Object.assign({},e.data,t);const d=l.delimiters[0],p=l.delimiters[1],f=c.stringify(t,n).trim();let h="";return"{}"!==f&&(h=a(d)+a(f)+a(p)),"string"==typeof e.excerpt&&""!==e.excerpt&&-1===s.indexOf(e.excerpt.trim())&&(h+=a(e.excerpt)+a(p)),h+a(s)}},5526:(e,t,n)=>{"use strict";const r=n(91366),i=n(66213),o=n(24547);e.exports=function(e){return"object"!==r(e)&&(e={content:e}),"object"!==r(e.data)&&(e.data={}),e.contents&&null==e.content&&(e.content=e.contents),o.define(e,"orig",o.toBuffer(e.content)),o.define(e,"language",e.language||""),o.define(e,"matter",e.matter||""),o.define(e,"stringify",(function(t,n){return n&&n.language&&(e.language=n.language),i(e,t,n)})),e.content=o.toString(e.content),e.isEmpty=!1,e.excerpt="",e}},24547:(e,t,n)=>{"use strict";var r=n(48834).Buffer;const i=n(42014),o=n(91366);t.define=function(e,t,n){Reflect.defineProperty(e,t,{enumerable:!1,configurable:!0,writable:!0,value:n})},t.isBuffer=function(e){return"buffer"===o(e)},t.isObject=function(e){return"object"===o(e)},t.toBuffer=function(e){return"string"==typeof e?r.from(e):e},t.toString=function(e){if(t.isBuffer(e))return i(String(e));if("string"!=typeof e)throw new TypeError("expected input to be a string or buffer");return i(e)},t.arrayify=function(e){return e?Array.isArray(e)?e:[e]:[]},t.startsWith=function(e,t,n){return"number"!=typeof n&&(n=t.length),e.slice(0,n)===t}},16640:(e,t,n)=>{"use strict";var r=n(81391);e.exports=r},81391:(e,t,n)=>{"use strict";var r=n(10704),i=n(48766);function o(e){return function(){throw new Error("Function "+e+" is deprecated and cannot be used.")}}e.exports.Type=n(81446),e.exports.Schema=n(43210),e.exports.FAILSAFE_SCHEMA=n(85936),e.exports.JSON_SCHEMA=n(85311),e.exports.CORE_SCHEMA=n(410),e.exports.DEFAULT_SAFE_SCHEMA=n(74654),e.exports.DEFAULT_FULL_SCHEMA=n(86720),e.exports.load=r.load,e.exports.loadAll=r.loadAll,e.exports.safeLoad=r.safeLoad,e.exports.safeLoadAll=r.safeLoadAll,e.exports.dump=i.dump,e.exports.safeDump=i.safeDump,e.exports.YAMLException=n(23908),e.exports.MINIMAL_SCHEMA=n(85936),e.exports.SAFE_SCHEMA=n(74654),e.exports.DEFAULT_SCHEMA=n(86720),e.exports.scan=o("scan"),e.exports.parse=o("parse"),e.exports.compose=o("compose"),e.exports.addConstructor=o("addConstructor")},86730:e=>{"use strict";function t(e){return null==e}e.exports.isNothing=t,e.exports.isObject=function(e){return"object"==typeof e&&null!==e},e.exports.toArray=function(e){return Array.isArray(e)?e:t(e)?[]:[e]},e.exports.repeat=function(e,t){var n,r="";for(n=0;n<t;n+=1)r+=e;return r},e.exports.isNegativeZero=function(e){return 0===e&&Number.NEGATIVE_INFINITY===1/e},e.exports.extend=function(e,t){var n,r,i,o;if(t)for(n=0,r=(o=Object.keys(t)).length;n<r;n+=1)e[i=o[n]]=t[i];return e}},48766:(e,t,n)=>{"use strict";var r=n(86730),i=n(23908),o=n(86720),a=n(74654),s=Object.prototype.toString,l=Object.prototype.hasOwnProperty,u=9,c=10,d=13,p=32,f=33,h=34,m=35,g=37,v=38,y=39,b=42,w=44,_=45,x=58,k=61,E=62,C=63,S=64,A=91,T=93,O=96,P=123,D=124,R=125,I={0:"\\0",7:"\\a",8:"\\b",9:"\\t",10:"\\n",11:"\\v",12:"\\f",13:"\\r",27:"\\e",34:'\\"',92:"\\\\",133:"\\N",160:"\\_",8232:"\\L",8233:"\\P"},M=["y","Y","yes","Yes","YES","on","On","ON","n","N","no","No","NO","off","Off","OFF"];function L(e){var t,n,o;if(t=e.toString(16).toUpperCase(),e<=255)n="x",o=2;else if(e<=65535)n="u",o=4;else{if(!(e<=4294967295))throw new i("code point within a string may not be greater than 0xFFFFFFFF");n="U",o=8}return"\\"+n+r.repeat("0",o-t.length)+t}function N(e){this.schema=e.schema||o,this.indent=Math.max(1,e.indent||2),this.noArrayIndent=e.noArrayIndent||!1,this.skipInvalid=e.skipInvalid||!1,this.flowLevel=r.isNothing(e.flowLevel)?-1:e.flowLevel,this.styleMap=function(e,t){var n,r,i,o,a,s,u;if(null===t)return{};for(n={},i=0,o=(r=Object.keys(t)).length;i<o;i+=1)a=r[i],s=String(t[a]),"!!"===a.slice(0,2)&&(a="tag:yaml.org,2002:"+a.slice(2)),(u=e.compiledTypeMap.fallback[a])&&l.call(u.styleAliases,s)&&(s=u.styleAliases[s]),n[a]=s;return n}(this.schema,e.styles||null),this.sortKeys=e.sortKeys||!1,this.lineWidth=e.lineWidth||80,this.noRefs=e.noRefs||!1,this.noCompatMode=e.noCompatMode||!1,this.condenseFlow=e.condenseFlow||!1,this.implicitTypes=this.schema.compiledImplicit,this.explicitTypes=this.schema.compiledExplicit,this.tag=null,this.result="",this.duplicates=[],this.usedDuplicates=null}function j(e,t){for(var n,i=r.repeat(" ",t),o=0,a=-1,s="",l=e.length;o<l;)-1===(a=e.indexOf("\n",o))?(n=e.slice(o),o=l):(n=e.slice(o,a+1),o=a+1),n.length&&"\n"!==n&&(s+=i),s+=n;return s}function F(e,t){return"\n"+r.repeat(" ",e.indent*t)}function B(e){return e===p||e===u}function z(e){return 32<=e&&e<=126||161<=e&&e<=55295&&8232!==e&&8233!==e||57344<=e&&e<=65533&&65279!==e||65536<=e&&e<=1114111}function U(e,t){return z(e)&&65279!==e&&e!==w&&e!==A&&e!==T&&e!==P&&e!==R&&e!==x&&(e!==m||t&&function(e){return z(e)&&!B(e)&&65279!==e&&e!==d&&e!==c}(t))}function q(e){return/^\n* /.test(e)}var $=1,W=2,H=3,V=4,G=5;function K(e,t,n,r){e.dump=function(){if(0===t.length)return"''";if(!e.noCompatMode&&-1!==M.indexOf(t))return"'"+t+"'";var o=e.indent*Math.max(1,n),a=-1===e.lineWidth?-1:Math.max(Math.min(e.lineWidth,40),e.lineWidth-o),s=r||e.flowLevel>-1&&n>=e.flowLevel;switch(function(e,t,n,r,i){var o,a,s,l,u=!1,d=!1,p=-1!==r,I=-1,M=z(l=e.charCodeAt(0))&&65279!==l&&!B(l)&&l!==_&&l!==C&&l!==x&&l!==w&&l!==A&&l!==T&&l!==P&&l!==R&&l!==m&&l!==v&&l!==b&&l!==f&&l!==D&&l!==k&&l!==E&&l!==y&&l!==h&&l!==g&&l!==S&&l!==O&&!B(e.charCodeAt(e.length-1));if(t)for(o=0;o<e.length;o++){if(!z(a=e.charCodeAt(o)))return G;s=o>0?e.charCodeAt(o-1):null,M=M&&U(a,s)}else{for(o=0;o<e.length;o++){if((a=e.charCodeAt(o))===c)u=!0,p&&(d=d||o-I-1>r&&" "!==e[I+1],I=o);else if(!z(a))return G;s=o>0?e.charCodeAt(o-1):null,M=M&&U(a,s)}d=d||p&&o-I-1>r&&" "!==e[I+1]}return u||d?n>9&&q(e)?G:d?V:H:M&&!i(e)?$:W}(t,s,e.indent,a,(function(t){return function(e,t){var n,r;for(n=0,r=e.implicitTypes.length;n<r;n+=1)if(e.implicitTypes[n].resolve(t))return!0;return!1}(e,t)}))){case $:return t;case W:return"'"+t.replace(/'/g,"''")+"'";case H:return"|"+Y(t,e.indent)+Z(j(t,o));case V:return">"+Y(t,e.indent)+Z(j(function(e,t){for(var n,r,i,o=/(\n+)([^\n]*)/g,a=(i=-1!==(i=e.indexOf("\n"))?i:e.length,o.lastIndex=i,X(e.slice(0,i),t)),s="\n"===e[0]||" "===e[0];r=o.exec(e);){var l=r[1],u=r[2];n=" "===u[0],a+=l+(s||n||""===u?"":"\n")+X(u,t),s=n}return a}(t,a),o));case G:return'"'+function(e){for(var t,n,r,i="",o=0;o<e.length;o++)(t=e.charCodeAt(o))>=55296&&t<=56319&&(n=e.charCodeAt(o+1))>=56320&&n<=57343?(i+=L(1024*(t-55296)+n-56320+65536),o++):i+=!(r=I[t])&&z(t)?e[o]:r||L(t);return i}(t)+'"';default:throw new i("impossible error: invalid scalar style")}}()}function Y(e,t){var n=q(e)?String(t):"",r="\n"===e[e.length-1];return n+(!r||"\n"!==e[e.length-2]&&"\n"!==e?r?"":"-":"+")+"\n"}function Z(e){return"\n"===e[e.length-1]?e.slice(0,-1):e}function X(e,t){if(""===e||" "===e[0])return e;for(var n,r,i=/ [^ ]/g,o=0,a=0,s=0,l="";n=i.exec(e);)(s=n.index)-o>t&&(r=a>o?a:s,l+="\n"+e.slice(o,r),o=r+1),a=s;return l+="\n",e.length-o>t&&a>o?l+=e.slice(o,a)+"\n"+e.slice(a+1):l+=e.slice(o),l.slice(1)}function Q(e,t,n){var r,o,a,u,c,d;for(a=0,u=(o=n?e.explicitTypes:e.implicitTypes).length;a<u;a+=1)if(((c=o[a]).instanceOf||c.predicate)&&(!c.instanceOf||"object"==typeof t&&t instanceof c.instanceOf)&&(!c.predicate||c.predicate(t))){if(e.tag=n?c.tag:"?",c.represent){if(d=e.styleMap[c.tag]||c.defaultStyle,"[object Function]"===s.call(c.represent))r=c.represent(t,d);else{if(!l.call(c.represent,d))throw new i("!<"+c.tag+'> tag resolver accepts not "'+d+'" style');r=c.represent[d](t,d)}e.dump=r}return!0}return!1}function J(e,t,n,r,o,a){e.tag=null,e.dump=n,Q(e,n,!1)||Q(e,n,!0);var l=s.call(e.dump);r&&(r=e.flowLevel<0||e.flowLevel>t);var u,d,p="[object Object]"===l||"[object Array]"===l;if(p&&(d=-1!==(u=e.duplicates.indexOf(n))),(null!==e.tag&&"?"!==e.tag||d||2!==e.indent&&t>0)&&(o=!1),d&&e.usedDuplicates[u])e.dump="*ref_"+u;else{if(p&&d&&!e.usedDuplicates[u]&&(e.usedDuplicates[u]=!0),"[object Object]"===l)r&&0!==Object.keys(e.dump).length?(function(e,t,n,r){var o,a,s,l,u,d,p="",f=e.tag,h=Object.keys(n);if(!0===e.sortKeys)h.sort();else if("function"==typeof e.sortKeys)h.sort(e.sortKeys);else if(e.sortKeys)throw new i("sortKeys must be a boolean or a function");for(o=0,a=h.length;o<a;o+=1)d="",r&&0===o||(d+=F(e,t)),l=n[s=h[o]],J(e,t+1,s,!0,!0,!0)&&((u=null!==e.tag&&"?"!==e.tag||e.dump&&e.dump.length>1024)&&(e.dump&&c===e.dump.charCodeAt(0)?d+="?":d+="? "),d+=e.dump,u&&(d+=F(e,t)),J(e,t+1,l,!0,u)&&(e.dump&&c===e.dump.charCodeAt(0)?d+=":":d+=": ",p+=d+=e.dump));e.tag=f,e.dump=p||"{}"}(e,t,e.dump,o),d&&(e.dump="&ref_"+u+e.dump)):(function(e,t,n){var r,i,o,a,s,l="",u=e.tag,c=Object.keys(n);for(r=0,i=c.length;r<i;r+=1)s="",0!==r&&(s+=", "),e.condenseFlow&&(s+='"'),a=n[o=c[r]],J(e,t,o,!1,!1)&&(e.dump.length>1024&&(s+="? "),s+=e.dump+(e.condenseFlow?'"':"")+":"+(e.condenseFlow?"":" "),J(e,t,a,!1,!1)&&(l+=s+=e.dump));e.tag=u,e.dump="{"+l+"}"}(e,t,e.dump),d&&(e.dump="&ref_"+u+" "+e.dump));else if("[object Array]"===l){var f=e.noArrayIndent&&t>0?t-1:t;r&&0!==e.dump.length?(function(e,t,n,r){var i,o,a="",s=e.tag;for(i=0,o=n.length;i<o;i+=1)J(e,t+1,n[i],!0,!0)&&(r&&0===i||(a+=F(e,t)),e.dump&&c===e.dump.charCodeAt(0)?a+="-":a+="- ",a+=e.dump);e.tag=s,e.dump=a||"[]"}(e,f,e.dump,o),d&&(e.dump="&ref_"+u+e.dump)):(function(e,t,n){var r,i,o="",a=e.tag;for(r=0,i=n.length;r<i;r+=1)J(e,t,n[r],!1,!1)&&(0!==r&&(o+=","+(e.condenseFlow?"":" ")),o+=e.dump);e.tag=a,e.dump="["+o+"]"}(e,f,e.dump),d&&(e.dump="&ref_"+u+" "+e.dump))}else{if("[object String]"!==l){if(e.skipInvalid)return!1;throw new i("unacceptable kind of an object to dump "+l)}"?"!==e.tag&&K(e,e.dump,t,a)}null!==e.tag&&"?"!==e.tag&&(e.dump="!<"+e.tag+"> "+e.dump)}return!0}function ee(e,t){var n,r,i=[],o=[];for(te(e,i,o),n=0,r=o.length;n<r;n+=1)t.duplicates.push(i[o[n]]);t.usedDuplicates=new Array(r)}function te(e,t,n){var r,i,o;if(null!==e&&"object"==typeof e)if(-1!==(i=t.indexOf(e)))-1===n.indexOf(i)&&n.push(i);else if(t.push(e),Array.isArray(e))for(i=0,o=e.length;i<o;i+=1)te(e[i],t,n);else for(i=0,o=(r=Object.keys(e)).length;i<o;i+=1)te(e[r[i]],t,n)}function ne(e,t){var n=new N(t=t||{});return n.noRefs||ee(e,n),J(n,0,e,!0,!0)?n.dump+"\n":""}e.exports.dump=ne,e.exports.safeDump=function(e,t){return ne(e,r.extend({schema:a},t))}},23908:e=>{"use strict";function t(e,t){Error.call(this),this.name="YAMLException",this.reason=e,this.mark=t,this.message=(this.reason||"(unknown reason)")+(this.mark?" "+this.mark.toString():""),Error.captureStackTrace?Error.captureStackTrace(this,this.constructor):this.stack=(new Error).stack||""}t.prototype=Object.create(Error.prototype),t.prototype.constructor=t,t.prototype.toString=function(e){var t=this.name+": ";return t+=this.reason||"(unknown reason)",!e&&this.mark&&(t+=" "+this.mark.toString()),t},e.exports=t},10704:(e,t,n)=>{"use strict";var r=n(86730),i=n(23908),o=n(43536),a=n(74654),s=n(86720),l=Object.prototype.hasOwnProperty,u=1,c=2,d=3,p=4,f=1,h=2,m=3,g=/[\x00-\x08\x0B\x0C\x0E-\x1F\x7F-\x84\x86-\x9F\uFFFE\uFFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,v=/[\x85\u2028\u2029]/,y=/[,\[\]\{\}]/,b=/^(?:!|!!|![a-z\-]+!)$/i,w=/^(?:!|[^,\[\]\{\}])(?:%[0-9a-f]{2}|[0-9a-z\-#;\/\?:@&=\+\$,_\.!~\*'\(\)\[\]])*$/i;function _(e){return Object.prototype.toString.call(e)}function x(e){return 10===e||13===e}function k(e){return 9===e||32===e}function E(e){return 9===e||32===e||10===e||13===e}function C(e){return 44===e||91===e||93===e||123===e||125===e}function S(e){var t;return 48<=e&&e<=57?e-48:97<=(t=32|e)&&t<=102?t-97+10:-1}function A(e){return 48===e?"\0":97===e?"":98===e?"\b":116===e||9===e?"\t":110===e?"\n":118===e?"\v":102===e?"\f":114===e?"\r":101===e?"":32===e?" ":34===e?'"':47===e?"/":92===e?"\\":78===e?"…":95===e?" ":76===e?"\u2028":80===e?"\u2029":""}function T(e){return e<=65535?String.fromCharCode(e):String.fromCharCode(55296+(e-65536>>10),56320+(e-65536&1023))}for(var O=new Array(256),P=new Array(256),D=0;D<256;D++)O[D]=A(D)?1:0,P[D]=A(D);function R(e,t){this.input=e,this.filename=t.filename||null,this.schema=t.schema||s,this.onWarning=t.onWarning||null,this.legacy=t.legacy||!1,this.json=t.json||!1,this.listener=t.listener||null,this.implicitTypes=this.schema.compiledImplicit,this.typeMap=this.schema.compiledTypeMap,this.length=e.length,this.position=0,this.line=0,this.lineStart=0,this.lineIndent=0,this.documents=[]}function I(e,t){return new i(t,new o(e.filename,e.input,e.position,e.line,e.position-e.lineStart))}function M(e,t){throw I(e,t)}function L(e,t){e.onWarning&&e.onWarning.call(null,I(e,t))}var N={YAML:function(e,t,n){var r,i,o;null!==e.version&&M(e,"duplication of %YAML directive"),1!==n.length&&M(e,"YAML directive accepts exactly one argument"),null===(r=/^([0-9]+)\.([0-9]+)$/.exec(n[0]))&&M(e,"ill-formed argument of the YAML directive"),i=parseInt(r[1],10),o=parseInt(r[2],10),1!==i&&M(e,"unacceptable YAML version of the document"),e.version=n[0],e.checkLineBreaks=o<2,1!==o&&2!==o&&L(e,"unsupported YAML version of the document")},TAG:function(e,t,n){var r,i;2!==n.length&&M(e,"TAG directive accepts exactly two arguments"),r=n[0],i=n[1],b.test(r)||M(e,"ill-formed tag handle (first argument) of the TAG directive"),l.call(e.tagMap,r)&&M(e,'there is a previously declared suffix for "'+r+'" tag handle'),w.test(i)||M(e,"ill-formed tag prefix (second argument) of the TAG directive"),e.tagMap[r]=i}};function j(e,t,n,r){var i,o,a,s;if(t<n){if(s=e.input.slice(t,n),r)for(i=0,o=s.length;i<o;i+=1)9===(a=s.charCodeAt(i))||32<=a&&a<=1114111||M(e,"expected valid JSON character");else g.test(s)&&M(e,"the stream contains non-printable characters");e.result+=s}}function F(e,t,n,i){var o,a,s,u;for(r.isObject(n)||M(e,"cannot merge mappings; the provided source object is unacceptable"),s=0,u=(o=Object.keys(n)).length;s<u;s+=1)a=o[s],l.call(t,a)||(t[a]=n[a],i[a]=!0)}function B(e,t,n,r,i,o,a,s){var u,c;if(Array.isArray(i))for(u=0,c=(i=Array.prototype.slice.call(i)).length;u<c;u+=1)Array.isArray(i[u])&&M(e,"nested arrays are not supported inside keys"),"object"==typeof i&&"[object Object]"===_(i[u])&&(i[u]="[object Object]");if("object"==typeof i&&"[object Object]"===_(i)&&(i="[object Object]"),i=String(i),null===t&&(t={}),"tag:yaml.org,2002:merge"===r)if(Array.isArray(o))for(u=0,c=o.length;u<c;u+=1)F(e,t,o[u],n);else F(e,t,o,n);else e.json||l.call(n,i)||!l.call(t,i)||(e.line=a||e.line,e.position=s||e.position,M(e,"duplicated mapping key")),t[i]=o,delete n[i];return t}function z(e){var t;10===(t=e.input.charCodeAt(e.position))?e.position++:13===t?(e.position++,10===e.input.charCodeAt(e.position)&&e.position++):M(e,"a line break is expected"),e.line+=1,e.lineStart=e.position}function U(e,t,n){for(var r=0,i=e.input.charCodeAt(e.position);0!==i;){for(;k(i);)i=e.input.charCodeAt(++e.position);if(t&&35===i)do{i=e.input.charCodeAt(++e.position)}while(10!==i&&13!==i&&0!==i);if(!x(i))break;for(z(e),i=e.input.charCodeAt(e.position),r++,e.lineIndent=0;32===i;)e.lineIndent++,i=e.input.charCodeAt(++e.position)}return-1!==n&&0!==r&&e.lineIndent<n&&L(e,"deficient indentation"),r}function q(e){var t,n=e.position;return!(45!==(t=e.input.charCodeAt(n))&&46!==t||t!==e.input.charCodeAt(n+1)||t!==e.input.charCodeAt(n+2)||(n+=3,0!==(t=e.input.charCodeAt(n))&&!E(t)))}function $(e,t){1===t?e.result+=" ":t>1&&(e.result+=r.repeat("\n",t-1))}function W(e,t){var n,r,i=e.tag,o=e.anchor,a=[],s=!1;for(null!==e.anchor&&(e.anchorMap[e.anchor]=a),r=e.input.charCodeAt(e.position);0!==r&&45===r&&E(e.input.charCodeAt(e.position+1));)if(s=!0,e.position++,U(e,!0,-1)&&e.lineIndent<=t)a.push(null),r=e.input.charCodeAt(e.position);else if(n=e.line,G(e,t,d,!1,!0),a.push(e.result),U(e,!0,-1),r=e.input.charCodeAt(e.position),(e.line===n||e.lineIndent>t)&&0!==r)M(e,"bad indentation of a sequence entry");else if(e.lineIndent<t)break;return!!s&&(e.tag=i,e.anchor=o,e.kind="sequence",e.result=a,!0)}function H(e){var t,n,r,i,o=!1,a=!1;if(33!==(i=e.input.charCodeAt(e.position)))return!1;if(null!==e.tag&&M(e,"duplication of a tag property"),60===(i=e.input.charCodeAt(++e.position))?(o=!0,i=e.input.charCodeAt(++e.position)):33===i?(a=!0,n="!!",i=e.input.charCodeAt(++e.position)):n="!",t=e.position,o){do{i=e.input.charCodeAt(++e.position)}while(0!==i&&62!==i);e.position<e.length?(r=e.input.slice(t,e.position),i=e.input.charCodeAt(++e.position)):M(e,"unexpected end of the stream within a verbatim tag")}else{for(;0!==i&&!E(i);)33===i&&(a?M(e,"tag suffix cannot contain exclamation marks"):(n=e.input.slice(t-1,e.position+1),b.test(n)||M(e,"named tag handle cannot contain such characters"),a=!0,t=e.position+1)),i=e.input.charCodeAt(++e.position);r=e.input.slice(t,e.position),y.test(r)&&M(e,"tag suffix cannot contain flow indicator characters")}return r&&!w.test(r)&&M(e,"tag name cannot contain such characters: "+r),o?e.tag=r:l.call(e.tagMap,n)?e.tag=e.tagMap[n]+r:"!"===n?e.tag="!"+r:"!!"===n?e.tag="tag:yaml.org,2002:"+r:M(e,'undeclared tag handle "'+n+'"'),!0}function V(e){var t,n;if(38!==(n=e.input.charCodeAt(e.position)))return!1;for(null!==e.anchor&&M(e,"duplication of an anchor property"),n=e.input.charCodeAt(++e.position),t=e.position;0!==n&&!E(n)&&!C(n);)n=e.input.charCodeAt(++e.position);return e.position===t&&M(e,"name of an anchor node must contain at least one character"),e.anchor=e.input.slice(t,e.position),!0}function G(e,t,n,i,o){var a,s,g,v,y,b,w,_,A=1,D=!1,R=!1;if(null!==e.listener&&e.listener("open",e),e.tag=null,e.anchor=null,e.kind=null,e.result=null,a=s=g=p===n||d===n,i&&U(e,!0,-1)&&(D=!0,e.lineIndent>t?A=1:e.lineIndent===t?A=0:e.lineIndent<t&&(A=-1)),1===A)for(;H(e)||V(e);)U(e,!0,-1)?(D=!0,g=a,e.lineIndent>t?A=1:e.lineIndent===t?A=0:e.lineIndent<t&&(A=-1)):g=!1;if(g&&(g=D||o),1!==A&&p!==n||(w=u===n||c===n?t:t+1,_=e.position-e.lineStart,1===A?g&&(W(e,_)||function(e,t,n){var r,i,o,a,s,l=e.tag,u=e.anchor,d={},f={},h=null,m=null,g=null,v=!1,y=!1;for(null!==e.anchor&&(e.anchorMap[e.anchor]=d),s=e.input.charCodeAt(e.position);0!==s;){if(r=e.input.charCodeAt(e.position+1),o=e.line,a=e.position,63!==s&&58!==s||!E(r)){if(!G(e,n,c,!1,!0))break;if(e.line===o){for(s=e.input.charCodeAt(e.position);k(s);)s=e.input.charCodeAt(++e.position);if(58===s)E(s=e.input.charCodeAt(++e.position))||M(e,"a whitespace character is expected after the key-value separator within a block mapping"),v&&(B(e,d,f,h,m,null),h=m=g=null),y=!0,v=!1,i=!1,h=e.tag,m=e.result;else{if(!y)return e.tag=l,e.anchor=u,!0;M(e,"can not read an implicit mapping pair; a colon is missed")}}else{if(!y)return e.tag=l,e.anchor=u,!0;M(e,"can not read a block mapping entry; a multiline key may not be an implicit key")}}else 63===s?(v&&(B(e,d,f,h,m,null),h=m=g=null),y=!0,v=!0,i=!0):v?(v=!1,i=!0):M(e,"incomplete explicit mapping pair; a key node is missed; or followed by a non-tabulated empty line"),e.position+=1,s=r;if((e.line===o||e.lineIndent>t)&&(G(e,t,p,!0,i)&&(v?m=e.result:g=e.result),v||(B(e,d,f,h,m,g,o,a),h=m=g=null),U(e,!0,-1),s=e.input.charCodeAt(e.position)),e.lineIndent>t&&0!==s)M(e,"bad indentation of a mapping entry");else if(e.lineIndent<t)break}return v&&B(e,d,f,h,m,null),y&&(e.tag=l,e.anchor=u,e.kind="mapping",e.result=d),y}(e,_,w))||function(e,t){var n,r,i,o,a,s,l,c,d,p,f=!0,h=e.tag,m=e.anchor,g={};if(91===(p=e.input.charCodeAt(e.position)))i=93,s=!1,r=[];else{if(123!==p)return!1;i=125,s=!0,r={}}for(null!==e.anchor&&(e.anchorMap[e.anchor]=r),p=e.input.charCodeAt(++e.position);0!==p;){if(U(e,!0,t),(p=e.input.charCodeAt(e.position))===i)return e.position++,e.tag=h,e.anchor=m,e.kind=s?"mapping":"sequence",e.result=r,!0;f||M(e,"missed comma between flow collection entries"),d=null,o=a=!1,63===p&&E(e.input.charCodeAt(e.position+1))&&(o=a=!0,e.position++,U(e,!0,t)),n=e.line,G(e,t,u,!1,!0),c=e.tag,l=e.result,U(e,!0,t),p=e.input.charCodeAt(e.position),!a&&e.line!==n||58!==p||(o=!0,p=e.input.charCodeAt(++e.position),U(e,!0,t),G(e,t,u,!1,!0),d=e.result),s?B(e,r,g,c,l,d):o?r.push(B(e,null,g,c,l,d)):r.push(l),U(e,!0,t),44===(p=e.input.charCodeAt(e.position))?(f=!0,p=e.input.charCodeAt(++e.position)):f=!1}M(e,"unexpected end of the stream within a flow collection")}(e,w)?R=!0:(s&&function(e,t){var n,i,o,a,s,l=f,u=!1,c=!1,d=t,p=0,g=!1;if(124===(a=e.input.charCodeAt(e.position)))i=!1;else{if(62!==a)return!1;i=!0}for(e.kind="scalar",e.result="";0!==a;)if(43===(a=e.input.charCodeAt(++e.position))||45===a)f===l?l=43===a?m:h:M(e,"repeat of a chomping mode identifier");else{if(!((o=48<=(s=a)&&s<=57?s-48:-1)>=0))break;0===o?M(e,"bad explicit indentation width of a block scalar; it cannot be less than one"):c?M(e,"repeat of an indentation width identifier"):(d=t+o-1,c=!0)}if(k(a)){do{a=e.input.charCodeAt(++e.position)}while(k(a));if(35===a)do{a=e.input.charCodeAt(++e.position)}while(!x(a)&&0!==a)}for(;0!==a;){for(z(e),e.lineIndent=0,a=e.input.charCodeAt(e.position);(!c||e.lineIndent<d)&&32===a;)e.lineIndent++,a=e.input.charCodeAt(++e.position);if(!c&&e.lineIndent>d&&(d=e.lineIndent),x(a))p++;else{if(e.lineIndent<d){l===m?e.result+=r.repeat("\n",u?1+p:p):l===f&&u&&(e.result+="\n");break}for(i?k(a)?(g=!0,e.result+=r.repeat("\n",u?1+p:p)):g?(g=!1,e.result+=r.repeat("\n",p+1)):0===p?u&&(e.result+=" "):e.result+=r.repeat("\n",p):e.result+=r.repeat("\n",u?1+p:p),u=!0,c=!0,p=0,n=e.position;!x(a)&&0!==a;)a=e.input.charCodeAt(++e.position);j(e,n,e.position,!1)}}return!0}(e,w)||function(e,t){var n,r,i;if(39!==(n=e.input.charCodeAt(e.position)))return!1;for(e.kind="scalar",e.result="",e.position++,r=i=e.position;0!==(n=e.input.charCodeAt(e.position));)if(39===n){if(j(e,r,e.position,!0),39!==(n=e.input.charCodeAt(++e.position)))return!0;r=e.position,e.position++,i=e.position}else x(n)?(j(e,r,i,!0),$(e,U(e,!1,t)),r=i=e.position):e.position===e.lineStart&&q(e)?M(e,"unexpected end of the document within a single quoted scalar"):(e.position++,i=e.position);M(e,"unexpected end of the stream within a single quoted scalar")}(e,w)||function(e,t){var n,r,i,o,a,s,l;if(34!==(s=e.input.charCodeAt(e.position)))return!1;for(e.kind="scalar",e.result="",e.position++,n=r=e.position;0!==(s=e.input.charCodeAt(e.position));){if(34===s)return j(e,n,e.position,!0),e.position++,!0;if(92===s){if(j(e,n,e.position,!0),x(s=e.input.charCodeAt(++e.position)))U(e,!1,t);else if(s<256&&O[s])e.result+=P[s],e.position++;else if((a=120===(l=s)?2:117===l?4:85===l?8:0)>0){for(i=a,o=0;i>0;i--)(a=S(s=e.input.charCodeAt(++e.position)))>=0?o=(o<<4)+a:M(e,"expected hexadecimal character");e.result+=T(o),e.position++}else M(e,"unknown escape sequence");n=r=e.position}else x(s)?(j(e,n,r,!0),$(e,U(e,!1,t)),n=r=e.position):e.position===e.lineStart&&q(e)?M(e,"unexpected end of the document within a double quoted scalar"):(e.position++,r=e.position)}M(e,"unexpected end of the stream within a double quoted scalar")}(e,w)?R=!0:function(e){var t,n,r;if(42!==(r=e.input.charCodeAt(e.position)))return!1;for(r=e.input.charCodeAt(++e.position),t=e.position;0!==r&&!E(r)&&!C(r);)r=e.input.charCodeAt(++e.position);return e.position===t&&M(e,"name of an alias node must contain at least one character"),n=e.input.slice(t,e.position),l.call(e.anchorMap,n)||M(e,'unidentified alias "'+n+'"'),e.result=e.anchorMap[n],U(e,!0,-1),!0}(e)?(R=!0,null===e.tag&&null===e.anchor||M(e,"alias node should not have any properties")):function(e,t,n){var r,i,o,a,s,l,u,c,d=e.kind,p=e.result;if(E(c=e.input.charCodeAt(e.position))||C(c)||35===c||38===c||42===c||33===c||124===c||62===c||39===c||34===c||37===c||64===c||96===c)return!1;if((63===c||45===c)&&(E(r=e.input.charCodeAt(e.position+1))||n&&C(r)))return!1;for(e.kind="scalar",e.result="",i=o=e.position,a=!1;0!==c;){if(58===c){if(E(r=e.input.charCodeAt(e.position+1))||n&&C(r))break}else if(35===c){if(E(e.input.charCodeAt(e.position-1)))break}else{if(e.position===e.lineStart&&q(e)||n&&C(c))break;if(x(c)){if(s=e.line,l=e.lineStart,u=e.lineIndent,U(e,!1,-1),e.lineIndent>=t){a=!0,c=e.input.charCodeAt(e.position);continue}e.position=o,e.line=s,e.lineStart=l,e.lineIndent=u;break}}a&&(j(e,i,o,!1),$(e,e.line-s),i=o=e.position,a=!1),k(c)||(o=e.position+1),c=e.input.charCodeAt(++e.position)}return j(e,i,o,!1),!!e.result||(e.kind=d,e.result=p,!1)}(e,w,u===n)&&(R=!0,null===e.tag&&(e.tag="?")),null!==e.anchor&&(e.anchorMap[e.anchor]=e.result)):0===A&&(R=g&&W(e,_))),null!==e.tag&&"!"!==e.tag)if("?"===e.tag){for(null!==e.result&&"scalar"!==e.kind&&M(e,'unacceptable node kind for !<?> tag; it should be "scalar", not "'+e.kind+'"'),v=0,y=e.implicitTypes.length;v<y;v+=1)if((b=e.implicitTypes[v]).resolve(e.result)){e.result=b.construct(e.result),e.tag=b.tag,null!==e.anchor&&(e.anchorMap[e.anchor]=e.result);break}}else l.call(e.typeMap[e.kind||"fallback"],e.tag)?(b=e.typeMap[e.kind||"fallback"][e.tag],null!==e.result&&b.kind!==e.kind&&M(e,"unacceptable node kind for !<"+e.tag+'> tag; it should be "'+b.kind+'", not "'+e.kind+'"'),b.resolve(e.result)?(e.result=b.construct(e.result),null!==e.anchor&&(e.anchorMap[e.anchor]=e.result)):M(e,"cannot resolve a node with !<"+e.tag+"> explicit tag")):M(e,"unknown tag !<"+e.tag+">");return null!==e.listener&&e.listener("close",e),null!==e.tag||null!==e.anchor||R}function K(e){var t,n,r,i,o=e.position,a=!1;for(e.version=null,e.checkLineBreaks=e.legacy,e.tagMap={},e.anchorMap={};0!==(i=e.input.charCodeAt(e.position))&&(U(e,!0,-1),i=e.input.charCodeAt(e.position),!(e.lineIndent>0||37!==i));){for(a=!0,i=e.input.charCodeAt(++e.position),t=e.position;0!==i&&!E(i);)i=e.input.charCodeAt(++e.position);for(r=[],(n=e.input.slice(t,e.position)).length<1&&M(e,"directive name must not be less than one character in length");0!==i;){for(;k(i);)i=e.input.charCodeAt(++e.position);if(35===i){do{i=e.input.charCodeAt(++e.position)}while(0!==i&&!x(i));break}if(x(i))break;for(t=e.position;0!==i&&!E(i);)i=e.input.charCodeAt(++e.position);r.push(e.input.slice(t,e.position))}0!==i&&z(e),l.call(N,n)?N[n](e,n,r):L(e,'unknown document directive "'+n+'"')}U(e,!0,-1),0===e.lineIndent&&45===e.input.charCodeAt(e.position)&&45===e.input.charCodeAt(e.position+1)&&45===e.input.charCodeAt(e.position+2)?(e.position+=3,U(e,!0,-1)):a&&M(e,"directives end mark is expected"),G(e,e.lineIndent-1,p,!1,!0),U(e,!0,-1),e.checkLineBreaks&&v.test(e.input.slice(o,e.position))&&L(e,"non-ASCII line breaks are interpreted as content"),e.documents.push(e.result),e.position===e.lineStart&&q(e)?46===e.input.charCodeAt(e.position)&&(e.position+=3,U(e,!0,-1)):e.position<e.length-1&&M(e,"end of the stream or a document separator is expected")}function Y(e,t){t=t||{},0!==(e=String(e)).length&&(10!==e.charCodeAt(e.length-1)&&13!==e.charCodeAt(e.length-1)&&(e+="\n"),65279===e.charCodeAt(0)&&(e=e.slice(1)));var n=new R(e,t),r=e.indexOf("\0");for(-1!==r&&(n.position=r,M(n,"null byte is not allowed in input")),n.input+="\0";32===n.input.charCodeAt(n.position);)n.lineIndent+=1,n.position+=1;for(;n.position<n.length-1;)K(n);return n.documents}function Z(e,t,n){null!==t&&"object"==typeof t&&void 0===n&&(n=t,t=null);var r=Y(e,n);if("function"!=typeof t)return r;for(var i=0,o=r.length;i<o;i+=1)t(r[i])}function X(e,t){var n=Y(e,t);if(0!==n.length){if(1===n.length)return n[0];throw new i("expected a single document in the stream, but found more")}}e.exports.loadAll=Z,e.exports.load=X,e.exports.safeLoadAll=function(e,t,n){return"object"==typeof t&&null!==t&&void 0===n&&(n=t,t=null),Z(e,t,r.extend({schema:a},n))},e.exports.safeLoad=function(e,t){return X(e,r.extend({schema:a},t))}},43536:(e,t,n)=>{"use strict";var r=n(86730);function i(e,t,n,r,i){this.name=e,this.buffer=t,this.position=n,this.line=r,this.column=i}i.prototype.getSnippet=function(e,t){var n,i,o,a,s;if(!this.buffer)return null;for(e=e||4,t=t||75,n="",i=this.position;i>0&&-1==="\0\r\n…\u2028\u2029".indexOf(this.buffer.charAt(i-1));)if(i-=1,this.position-i>t/2-1){n=" ... ",i+=5;break}for(o="",a=this.position;a<this.buffer.length&&-1==="\0\r\n…\u2028\u2029".indexOf(this.buffer.charAt(a));)if((a+=1)-this.position>t/2-1){o=" ... ",a-=5;break}return s=this.buffer.slice(i,a),r.repeat(" ",e)+n+s+o+"\n"+r.repeat(" ",e+this.position-i+n.length)+"^"},i.prototype.toString=function(e){var t,n="";return this.name&&(n+='in "'+this.name+'" '),n+="at line "+(this.line+1)+", column "+(this.column+1),e||(t=this.getSnippet())&&(n+=":\n"+t),n},e.exports=i},43210:(e,t,n)=>{"use strict";var r=n(86730),i=n(23908),o=n(81446);function a(e,t,n){var r=[];return e.include.forEach((function(e){n=a(e,t,n)})),e[t].forEach((function(e){n.forEach((function(t,n){t.tag===e.tag&&t.kind===e.kind&&r.push(n)})),n.push(e)})),n.filter((function(e,t){return-1===r.indexOf(t)}))}function s(e){this.include=e.include||[],this.implicit=e.implicit||[],this.explicit=e.explicit||[],this.implicit.forEach((function(e){if(e.loadKind&&"scalar"!==e.loadKind)throw new i("There is a non-scalar type in the implicit list of a schema. Implicit resolving of such types is not supported.")})),this.compiledImplicit=a(this,"implicit",[]),this.compiledExplicit=a(this,"explicit",[]),this.compiledTypeMap=function(){var e,t,n={scalar:{},sequence:{},mapping:{},fallback:{}};function r(e){n[e.kind][e.tag]=n.fallback[e.tag]=e}for(e=0,t=arguments.length;e<t;e+=1)arguments[e].forEach(r);return n}(this.compiledImplicit,this.compiledExplicit)}s.DEFAULT=null,s.create=function(){var e,t;switch(arguments.length){case 1:e=s.DEFAULT,t=arguments[0];break;case 2:e=arguments[0],t=arguments[1];break;default:throw new i("Wrong number of arguments for Schema.create function")}if(e=r.toArray(e),t=r.toArray(t),!e.every((function(e){return e instanceof s})))throw new i("Specified list of super schemas (or a single Schema object) contains a non-Schema object.");if(!t.every((function(e){return e instanceof o})))throw new i("Specified list of YAML types (or a single Type object) contains a non-Type object.");return new s({include:e,explicit:t})},e.exports=s},410:(e,t,n)=>{"use strict";var r=n(43210);e.exports=new r({include:[n(85311)]})},86720:(e,t,n)=>{"use strict";var r=n(43210);e.exports=r.DEFAULT=new r({include:[n(74654)],explicit:[n(93514),n(20594),n(32179)]})},74654:(e,t,n)=>{"use strict";var r=n(43210);e.exports=new r({include:[n(410)],implicit:[n(68892),n(72637)],explicit:[n(74281),n(89235),n(14404),n(47347)]})},85936:(e,t,n)=>{"use strict";var r=n(43210);e.exports=new r({explicit:[n(65946),n(45535),n(84033)]})},85311:(e,t,n)=>{"use strict";var r=n(43210);e.exports=new r({include:[n(85936)],implicit:[n(46219),n(18124),n(46145),n(85017)]})},81446:(e,t,n)=>{"use strict";var r=n(23908),i=["kind","resolve","construct","instanceOf","predicate","represent","defaultStyle","styleAliases"],o=["scalar","sequence","mapping"];e.exports=function(e,t){var n,a;if(t=t||{},Object.keys(t).forEach((function(t){if(-1===i.indexOf(t))throw new r('Unknown option "'+t+'" is met in definition of "'+e+'" YAML type.')})),this.tag=e,this.kind=t.kind||null,this.resolve=t.resolve||function(){return!0},this.construct=t.construct||function(e){return e},this.instanceOf=t.instanceOf||null,this.predicate=t.predicate||null,this.represent=t.represent||null,this.defaultStyle=t.defaultStyle||null,this.styleAliases=(n=t.styleAliases||null,a={},null!==n&&Object.keys(n).forEach((function(e){n[e].forEach((function(t){a[String(t)]=e}))})),a),-1===o.indexOf(this.kind))throw new r('Unknown kind "'+this.kind+'" is specified for "'+e+'" YAML type.')}},74281:(e,t,n)=>{"use strict";var r;try{r=n(48834).Buffer}catch(e){}var i=n(81446),o="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=\n\r";e.exports=new i("tag:yaml.org,2002:binary",{kind:"scalar",resolve:function(e){if(null===e)return!1;var t,n,r=0,i=e.length,a=o;for(n=0;n<i;n++)if(!((t=a.indexOf(e.charAt(n)))>64)){if(t<0)return!1;r+=6}return r%8==0},construct:function(e){var t,n,i=e.replace(/[\r\n=]/g,""),a=i.length,s=o,l=0,u=[];for(t=0;t<a;t++)t%4==0&&t&&(u.push(l>>16&255),u.push(l>>8&255),u.push(255&l)),l=l<<6|s.indexOf(i.charAt(t));return 0==(n=a%4*6)?(u.push(l>>16&255),u.push(l>>8&255),u.push(255&l)):18===n?(u.push(l>>10&255),u.push(l>>2&255)):12===n&&u.push(l>>4&255),r?r.from?r.from(u):new r(u):u},predicate:function(e){return r&&r.isBuffer(e)},represent:function(e){var t,n,r="",i=0,a=e.length,s=o;for(t=0;t<a;t++)t%3==0&&t&&(r+=s[i>>18&63],r+=s[i>>12&63],r+=s[i>>6&63],r+=s[63&i]),i=(i<<8)+e[t];return 0==(n=a%3)?(r+=s[i>>18&63],r+=s[i>>12&63],r+=s[i>>6&63],r+=s[63&i]):2===n?(r+=s[i>>10&63],r+=s[i>>4&63],r+=s[i<<2&63],r+=s[64]):1===n&&(r+=s[i>>2&63],r+=s[i<<4&63],r+=s[64],r+=s[64]),r}})},18124:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:bool",{kind:"scalar",resolve:function(e){if(null===e)return!1;var t=e.length;return 4===t&&("true"===e||"True"===e||"TRUE"===e)||5===t&&("false"===e||"False"===e||"FALSE"===e)},construct:function(e){return"true"===e||"True"===e||"TRUE"===e},predicate:function(e){return"[object Boolean]"===Object.prototype.toString.call(e)},represent:{lowercase:function(e){return e?"true":"false"},uppercase:function(e){return e?"TRUE":"FALSE"},camelcase:function(e){return e?"True":"False"}},defaultStyle:"lowercase"})},85017:(e,t,n)=>{"use strict";var r=n(86730),i=n(81446),o=new RegExp("^(?:[-+]?(?:0|[1-9][0-9_]*)(?:\\.[0-9_]*)?(?:[eE][-+]?[0-9]+)?|\\.[0-9_]+(?:[eE][-+]?[0-9]+)?|[-+]?[0-9][0-9_]*(?::[0-5]?[0-9])+\\.[0-9_]*|[-+]?\\.(?:inf|Inf|INF)|\\.(?:nan|NaN|NAN))$"),a=/^[-+]?[0-9]+e/;e.exports=new i("tag:yaml.org,2002:float",{kind:"scalar",resolve:function(e){return null!==e&&!(!o.test(e)||"_"===e[e.length-1])},construct:function(e){var t,n,r,i;return n="-"===(t=e.replace(/_/g,"").toLowerCase())[0]?-1:1,i=[],"+-".indexOf(t[0])>=0&&(t=t.slice(1)),".inf"===t?1===n?Number.POSITIVE_INFINITY:Number.NEGATIVE_INFINITY:".nan"===t?NaN:t.indexOf(":")>=0?(t.split(":").forEach((function(e){i.unshift(parseFloat(e,10))})),t=0,r=1,i.forEach((function(e){t+=e*r,r*=60})),n*t):n*parseFloat(t,10)},predicate:function(e){return"[object Number]"===Object.prototype.toString.call(e)&&(e%1!=0||r.isNegativeZero(e))},represent:function(e,t){var n;if(isNaN(e))switch(t){case"lowercase":return".nan";case"uppercase":return".NAN";case"camelcase":return".NaN"}else if(Number.POSITIVE_INFINITY===e)switch(t){case"lowercase":return".inf";case"uppercase":return".INF";case"camelcase":return".Inf"}else if(Number.NEGATIVE_INFINITY===e)switch(t){case"lowercase":return"-.inf";case"uppercase":return"-.INF";case"camelcase":return"-.Inf"}else if(r.isNegativeZero(e))return"-0.0";return n=e.toString(10),a.test(n)?n.replace("e",".e"):n},defaultStyle:"lowercase"})},46145:(e,t,n)=>{"use strict";var r=n(86730),i=n(81446);function o(e){return 48<=e&&e<=55}function a(e){return 48<=e&&e<=57}e.exports=new i("tag:yaml.org,2002:int",{kind:"scalar",resolve:function(e){if(null===e)return!1;var t,n,r=e.length,i=0,s=!1;if(!r)return!1;if("-"!==(t=e[i])&&"+"!==t||(t=e[++i]),"0"===t){if(i+1===r)return!0;if("b"===(t=e[++i])){for(i++;i<r;i++)if("_"!==(t=e[i])){if("0"!==t&&"1"!==t)return!1;s=!0}return s&&"_"!==t}if("x"===t){for(i++;i<r;i++)if("_"!==(t=e[i])){if(!(48<=(n=e.charCodeAt(i))&&n<=57||65<=n&&n<=70||97<=n&&n<=102))return!1;s=!0}return s&&"_"!==t}for(;i<r;i++)if("_"!==(t=e[i])){if(!o(e.charCodeAt(i)))return!1;s=!0}return s&&"_"!==t}if("_"===t)return!1;for(;i<r;i++)if("_"!==(t=e[i])){if(":"===t)break;if(!a(e.charCodeAt(i)))return!1;s=!0}return!(!s||"_"===t)&&(":"!==t||/^(:[0-5]?[0-9])+$/.test(e.slice(i)))},construct:function(e){var t,n,r=e,i=1,o=[];return-1!==r.indexOf("_")&&(r=r.replace(/_/g,"")),"-"!==(t=r[0])&&"+"!==t||("-"===t&&(i=-1),t=(r=r.slice(1))[0]),"0"===r?0:"0"===t?"b"===r[1]?i*parseInt(r.slice(2),2):"x"===r[1]?i*parseInt(r,16):i*parseInt(r,8):-1!==r.indexOf(":")?(r.split(":").forEach((function(e){o.unshift(parseInt(e,10))})),r=0,n=1,o.forEach((function(e){r+=e*n,n*=60})),i*r):i*parseInt(r,10)},predicate:function(e){return"[object Number]"===Object.prototype.toString.call(e)&&e%1==0&&!r.isNegativeZero(e)},represent:{binary:function(e){return e>=0?"0b"+e.toString(2):"-0b"+e.toString(2).slice(1)},octal:function(e){return e>=0?"0"+e.toString(8):"-0"+e.toString(8).slice(1)},decimal:function(e){return e.toString(10)},hexadecimal:function(e){return e>=0?"0x"+e.toString(16).toUpperCase():"-0x"+e.toString(16).toUpperCase().slice(1)}},defaultStyle:"decimal",styleAliases:{binary:[2,"bin"],octal:[8,"oct"],decimal:[10,"dec"],hexadecimal:[16,"hex"]}})},32179:(e,t,n)=>{"use strict";var r;try{r=n(Object(function(){var e=new Error("Cannot find module 'esprima'");throw e.code="MODULE_NOT_FOUND",e}()))}catch(e){"undefined"!=typeof window&&(r=window.esprima)}var i=n(81446);e.exports=new i("tag:yaml.org,2002:js/function",{kind:"scalar",resolve:function(e){if(null===e)return!1;try{var t="("+e+")",n=r.parse(t,{range:!0});return"Program"===n.type&&1===n.body.length&&"ExpressionStatement"===n.body[0].type&&("ArrowFunctionExpression"===n.body[0].expression.type||"FunctionExpression"===n.body[0].expression.type)}catch(e){return!1}},construct:function(e){var t,n="("+e+")",i=r.parse(n,{range:!0}),o=[];if("Program"!==i.type||1!==i.body.length||"ExpressionStatement"!==i.body[0].type||"ArrowFunctionExpression"!==i.body[0].expression.type&&"FunctionExpression"!==i.body[0].expression.type)throw new Error("Failed to resolve function");return i.body[0].expression.params.forEach((function(e){o.push(e.name)})),t=i.body[0].expression.body.range,"BlockStatement"===i.body[0].expression.body.type?new Function(o,n.slice(t[0]+1,t[1]-1)):new Function(o,"return "+n.slice(t[0],t[1]))},predicate:function(e){return"[object Function]"===Object.prototype.toString.call(e)},represent:function(e){return e.toString()}})},20594:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:js/regexp",{kind:"scalar",resolve:function(e){if(null===e)return!1;if(0===e.length)return!1;var t=e,n=/\/([gim]*)$/.exec(e),r="";if("/"===t[0]){if(n&&(r=n[1]),r.length>3)return!1;if("/"!==t[t.length-r.length-1])return!1}return!0},construct:function(e){var t=e,n=/\/([gim]*)$/.exec(e),r="";return"/"===t[0]&&(n&&(r=n[1]),t=t.slice(1,t.length-r.length-1)),new RegExp(t,r)},predicate:function(e){return"[object RegExp]"===Object.prototype.toString.call(e)},represent:function(e){var t="/"+e.source+"/";return e.global&&(t+="g"),e.multiline&&(t+="m"),e.ignoreCase&&(t+="i"),t}})},93514:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:js/undefined",{kind:"scalar",resolve:function(){return!0},construct:function(){},predicate:function(e){return void 0===e},represent:function(){return""}})},84033:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:map",{kind:"mapping",construct:function(e){return null!==e?e:{}}})},72637:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:merge",{kind:"scalar",resolve:function(e){return"<<"===e||null===e}})},46219:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:null",{kind:"scalar",resolve:function(e){if(null===e)return!0;var t=e.length;return 1===t&&"~"===e||4===t&&("null"===e||"Null"===e||"NULL"===e)},construct:function(){return null},predicate:function(e){return null===e},represent:{canonical:function(){return"~"},lowercase:function(){return"null"},uppercase:function(){return"NULL"},camelcase:function(){return"Null"}},defaultStyle:"lowercase"})},89235:(e,t,n)=>{"use strict";var r=n(81446),i=Object.prototype.hasOwnProperty,o=Object.prototype.toString;e.exports=new r("tag:yaml.org,2002:omap",{kind:"sequence",resolve:function(e){if(null===e)return!0;var t,n,r,a,s,l=[],u=e;for(t=0,n=u.length;t<n;t+=1){if(r=u[t],s=!1,"[object Object]"!==o.call(r))return!1;for(a in r)if(i.call(r,a)){if(s)return!1;s=!0}if(!s)return!1;if(-1!==l.indexOf(a))return!1;l.push(a)}return!0},construct:function(e){return null!==e?e:[]}})},14404:(e,t,n)=>{"use strict";var r=n(81446),i=Object.prototype.toString;e.exports=new r("tag:yaml.org,2002:pairs",{kind:"sequence",resolve:function(e){if(null===e)return!0;var t,n,r,o,a,s=e;for(a=new Array(s.length),t=0,n=s.length;t<n;t+=1){if(r=s[t],"[object Object]"!==i.call(r))return!1;if(1!==(o=Object.keys(r)).length)return!1;a[t]=[o[0],r[o[0]]]}return!0},construct:function(e){if(null===e)return[];var t,n,r,i,o,a=e;for(o=new Array(a.length),t=0,n=a.length;t<n;t+=1)r=a[t],i=Object.keys(r),o[t]=[i[0],r[i[0]]];return o}})},45535:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:seq",{kind:"sequence",construct:function(e){return null!==e?e:[]}})},47347:(e,t,n)=>{"use strict";var r=n(81446),i=Object.prototype.hasOwnProperty;e.exports=new r("tag:yaml.org,2002:set",{kind:"mapping",resolve:function(e){if(null===e)return!0;var t,n=e;for(t in n)if(i.call(n,t)&&null!==n[t])return!1;return!0},construct:function(e){return null!==e?e:{}}})},65946:(e,t,n)=>{"use strict";var r=n(81446);e.exports=new r("tag:yaml.org,2002:str",{kind:"scalar",construct:function(e){return null!==e?e:""}})},68892:(e,t,n)=>{"use strict";var r=n(81446),i=new RegExp("^([0-9][0-9][0-9][0-9])-([0-9][0-9])-([0-9][0-9])$"),o=new RegExp("^([0-9][0-9][0-9][0-9])-([0-9][0-9]?)-([0-9][0-9]?)(?:[Tt]|[ \\t]+)([0-9][0-9]?):([0-9][0-9]):([0-9][0-9])(?:\\.([0-9]*))?(?:[ \\t]*(Z|([-+])([0-9][0-9]?)(?::([0-9][0-9]))?))?$");e.exports=new r("tag:yaml.org,2002:timestamp",{kind:"scalar",resolve:function(e){return null!==e&&(null!==i.exec(e)||null!==o.exec(e))},construct:function(e){var t,n,r,a,s,l,u,c,d=0,p=null;if(null===(t=i.exec(e))&&(t=o.exec(e)),null===t)throw new Error("Date resolve error");if(n=+t[1],r=+t[2]-1,a=+t[3],!t[4])return new Date(Date.UTC(n,r,a));if(s=+t[4],l=+t[5],u=+t[6],t[7]){for(d=t[7].slice(0,3);d.length<3;)d+="0";d=+d}return t[9]&&(p=6e4*(60*+t[10]+ +(t[11]||0)),"-"===t[9]&&(p=-p)),c=new Date(Date.UTC(n,r,a,s,l,u,d)),p&&c.setTime(c.getTime()-p),c},instanceOf:Date,represent:function(e){return e.toISOString()}})},81181:(e,t,n)=>{"use strict";var r=n(70999),i=function(){return!!r};i.hasArrayLengthDefineBug=function(){if(!r)return null;try{return 1!==r([],"length",{value:1}).length}catch(e){return!0}},e.exports=i},18486:e=>{"use strict";var t={foo:{}},n=Object;e.exports=function(){return{__proto__:t}.foo===t.foo&&!({__proto__:null}instanceof n)}},32636:(e,t,n)=>{"use strict";var r="undefined"!=typeof Symbol&&Symbol,i=n(66679);e.exports=function(){return"function"==typeof r&&"function"==typeof Symbol&&"symbol"==typeof r("foo")&&"symbol"==typeof Symbol("bar")&&i()}},66679:e=>{"use strict";e.exports=function(){if("function"!=typeof Symbol||"function"!=typeof Object.getOwnPropertySymbols)return!1;if("symbol"==typeof Symbol.iterator)return!0;var e={},t=Symbol("test"),n=Object(t);if("string"==typeof t)return!1;if("[object Symbol]"!==Object.prototype.toString.call(t))return!1;if("[object Symbol]"!==Object.prototype.toString.call(n))return!1;for(t in e[t]=42,e)return!1;if("function"==typeof Object.keys&&0!==Object.keys(e).length)return!1;if("function"==typeof Object.getOwnPropertyNames&&0!==Object.getOwnPropertyNames(e).length)return!1;var r=Object.getOwnPropertySymbols(e);if(1!==r.length||r[0]!==t)return!1;if(!Object.prototype.propertyIsEnumerable.call(e,t))return!1;if("function"==typeof Object.getOwnPropertyDescriptor){var i=Object.getOwnPropertyDescriptor(e,t);if(42!==i.value||!0!==i.enumerable)return!1}return!0}},72196:(e,t,n)=>{"use strict";var r=Function.prototype.call,i=Object.prototype.hasOwnProperty,o=n(4090);e.exports=o.call(r,i)},34713:(e,t,n)=>{"use strict";var r=n(13056);e.exports=r(["audio","canvas","embed","iframe","img","math","object","picture","svg","video"])},19776:(e,t,n)=>{"use strict";var r=n(59209),i=n(57956),o=n(70521),a=n(26916),s=n(97078),l=n(22896),u=n(51960),c=n(53404);e.exports=function(e,t){var n,o=t||{};return o.messages?(n=o,o={}):n=o.file,f(e,{schema:"svg"===o.space?i:r,file:n,verbose:o.verbose,location:!1})};var d={}.hasOwnProperty,p={"#document":h,"#document-fragment":h,"#text":function(e){return{type:"text",value:e.value}},"#comment":function(e){return{type:"comment",value:e.data}},"#documentType":function(e){return{type:"doctype",name:e.name||"",public:e.publicId||null,system:e.systemId||null}}};function f(e,t){var n,s,l,c=t.schema,h=d.call(p,e.nodeName)?p[e.nodeName]:m;return h===m&&(t.schema=e.namespaceURI===a.svg?i:r),e.childNodes&&(n=function(e,t){for(var n=e.length,r=-1,i=[];++r<n;)i[r]=f(e[r],t);return i}(e.childNodes,t)),s=h(e,n,t),e.sourceCodeLocation&&t.file&&(l=function(e,t,n){var r,i,a,s,l=n.schema,c=n.verbose,d=g(t);if("element"===e.type&&(r=e.children[e.children.length-1],!t.endTag&&r&&r.position&&r.position.end&&(d.end=u(r.position.end)),c)){for(a in s={},i=t.attrs)s[o(l,a).property]=g(i[a]);e.data={position:{opening:g(t.startTag),closing:t.endTag?g(t.endTag):null,properties:s}}}return d}(s,e.sourceCodeLocation,t),l&&(t.location=!0,s.position=l)),t.schema=c,s}function h(e,t,n){var r,i={type:"root",children:t,data:{}};return i.data.quirksMode="quirks"===e.mode||"limited-quirks"===e.mode,n.file&&n.location&&(r=String(n.file),i.position={start:{line:1,column:1,offset:0},end:{line:c(r,"\n")+1,column:r.length-r.lastIndexOf("\n"),offset:r.length}}),i}function m(e,t,n){for(var r,i,o,a,u,c="svg"===n.schema.space?s:l,d=e.tagName,p=e.attrs,h=p.length,m={},v=-1;++v<h;)m[((r=p[v]).prefix?r.prefix+":":"")+r.name]=r.value;return i=c(d,m,t),"template"===d&&"content"in e&&(a=(o=e.sourceCodeLocation)&&o.startTag&&g(o.startTag).end,u=o&&o.endTag&&g(o.endTag).start,i.content=f(e.content,n),(a||u)&&n.file&&(i.content.position={start:a,end:u})),i}function g(e){var t=v({line:e.startLine,column:e.startCol,offset:e.startOffset}),n=v({line:e.endLine,column:e.endCol,offset:e.endOffset});return t||n?{start:t,end:n}:null}function v(e){return e.line&&e.column?e:null}},36040:e=>{"use strict";var t={}.hasOwnProperty;e.exports=function(e,n){var r,i;return!(!e||!n||"object"!=typeof e||"element"!==e.type)&&(null!=(i=(r=e.properties)&&t.call(r,n)&&r[n])&&!1!==i)}},13056:e=>{"use strict";function t(e){return e&&"object"==typeof e&&"element"===e.type&&"string"==typeof e.tagName}e.exports=function e(n){if("string"==typeof n)return function(e){return function(n){return t(n)&&n.tagName===e}}(n);if(null==n)return t;if("object"==typeof n)return r=function(t){for(var n=t.length,r=-1,i=[];++r<n;)i[r]=e(t[r]);return i}(n),i=r.length,function(){for(var e=-1;++e<i;)if(r[e].apply(this,arguments))return!0;return!1};var r,i;if("function"==typeof n)return function(e){return function(n){return t(n)&&Boolean(e.apply(this,arguments))}}(n);throw new Error("Expected function, string, or array as test")}},85909:(e,t,n)=>{"use strict";var r=n(13056);function i(e,t,n,i,o){var a=null!=i,s=null!=n,l=r(t);if(s&&("number"!=typeof n||n<0||n===1/0))throw new Error("Expected positive finite index for child node");if(a&&(!i.type||!i.children))throw new Error("Expected parent node");if(!e||!e.type||"string"!=typeof e.type)return!1;if(a!==s)throw new Error("Expected both parent and index");return l.call(o,e,n,i)}e.exports=i,i.convert=r},74163:e=>{"use strict";e.exports=function(e,n){for(var r,i,o,a=e||"",s=n||"div",l={},u=0;u<a.length;)t.lastIndex=u,o=t.exec(a),(r=a.slice(u,o?o.index:a.length))&&(i?"#"===i?l.id=r:l.className?l.className.push(r):l.className=[r]:s=r,u+=r.length),o&&(i=o[0],u++);return{type:"element",tagName:s,properties:l,children:[]}};var t=/[#.]/g},51389:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o=t||{},p={};return f.nodeById=p,f.baseFound=!1,f.frozenBaseUrl=null,f.wrapText=!0,f.qNesting=0,f.handlers=o.handlers?s(u,o.handlers):u,f.augment=h,f.document=o.document,f.checked=o.checked||"[x]",f.unchecked=o.unchecked||"[ ]",f.quotes=o.quotes||['"'],a(e,"element",(function(e){var t=r(e,"id")&&String(e.properties.id).toUpperCase();t&&!c.call(p,t)&&(p[t]=e)})),i({newlines:!0===o.newlines})(e),n=l(f,e,null),a(n,"text",(function(e,t,n){var r=n.children[t-1];return r&&e.type===r.type?(r.value+=e.value,n.children.splice(t,1),r.position&&e.position&&(r.position.end=e.position.end),t-1):(e.value=e.value.replace(/[\t ]*(\r?\n|\r)[\t ]*/,"$1"),d(n)&&(t||(e.value=e.value.replace(/^[\t ]+/,"")),t===n.children.length-1&&(e.value=e.value.replace(/[\t ]+$/,""))),e.value?void 0:(n.children.splice(t,1),t))})),n;function f(e,t,n,r){var i;return!r&&("string"==typeof n||"object"==typeof n&&"length"in n)&&(r=n,n={}),i=s({type:t},n),"string"==typeof r?i.value=r:r&&(i.children=r),h(e,i)}function h(e,t){return e.position&&(t.position=e.position),t}};var r=n(36040),i=n(26653),o=n(2547),a=n(18043),s=n(51960),l=n(25140),u=n(77603),c=n(22843),d=o(["heading","paragraph","root"])},134:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,i=t.children||[],o=[],a=-1;++a<i.length;)(n=r(e,i[a],t))&&(o=o.concat(n));return o};var r=n(25140)},55170:e=>{"use strict";e.exports=function(e,t){e.baseFound||(e.frozenBaseUrl=t.properties.href,e.baseFound=!0)}},34097:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"blockquote",r(e,t))};var r=n(27987)},98035:e=>{"use strict";e.exports=function(e,t){return e.wrapText?e(t,"break"):e(t,"text"," ")}},34589:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,i,d=t.children,p=-1;if(u(t))for(;++p<d.length;)if(c(d[p])&&r(d[p],"className")){n=d[p].properties.className;break}if(n)for(p=-1;++p<n.length;)if(n[p].slice(0,l.length)===l){i=n[p].slice(l.length);break}return e(t,"code",{lang:i||null,meta:null},a(s(e,o(t))))};var r=n(36040),i=n(13056),o=n(95318),a=n(67876),s=n(25084),l="language-",u=i("pre"),c=i("code")},66145:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"html","\x3c!--"+r(e,t.value)+"--\x3e")};var r=n(25084)},7459:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"delete",r(e,t))};var r=n(134)},81911:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,r,o=t.children,c=-1,d=[],p=[],f={titles:[],definitions:[]};++c<o.length;)r=o[c],d=d.concat(a(r)?r.children:r);for(c=-1;++c<d.length;)r=d[c],s(r)?(l(d[c-1])&&(p.push(f),f={titles:[],definitions:[]}),f.titles.push(r)):f.definitions.push(r);for(p.push(f),c=-1,n=[];++c<p.length;)(f=u(e,p[c].titles).concat(u(e,p[c].definitions))).length&&n.push({type:"listItem",spread:f.length>1,checked:null,children:f});if(n.length)return e(t,"list",{ordered:!1,start:null,spread:i(n)},n)};var r=n(13056),i=n(73982),o=n(1693),a=r("div"),s=r("dt"),l=r("dd");function u(e,t){var n=o(e,{children:t});return n.length?1===n.length?n[0].children:[{type:"list",ordered:!1,start:null,spread:i(n),children:n}]:n}},92281:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"emphasis",r(e,t))};var r=n(134)},18969:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,i=Number(t.tagName.charAt(1))||1,o=e.wrapText;return e.wrapText=!1,n=e(t,"heading",{depth:i},r(e,t)),e.wrapText=o,n};var r=n(134)},43710:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=t.properties.src,o=t.properties.title;if(n&&o)return{type:"link",title:null,url:r(e,n),children:[{type:"text",value:i(e,o)}]}};var r=n(39654),i=n(25084)},790:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"image",{url:r(e,t.properties.src),title:t.properties.title||null,alt:t.properties.alt||""})};var r=n(39654)},77603:(e,t,n)=>{"use strict";var r=n(134),i=n(27987),o=n(55170),a=n(34097),s=n(98035),l=n(34589),u=n(66145),c=n(7459),d=n(81911),p=n(92281),f=n(18969),h=n(43710),m=n(790),g=n(25450),v=n(75220),y=n(36443),b=n(58898),w=n(59707),_=n(44880),x=n(53043),k=n(89299),E=n(43568),C=n(1649),S=n(91922),A=n(97134),T=n(6),O=n(35621),P=n(71807),D=n(35149),R=n(33222),I=n(45613);function M(){}t.root=E,t.text=P,t.comment=u,t.doctype=M,t.applet=M,t.area=M,t.basefont=M,t.bgsound=M,t.caption=M,t.col=M,t.colgroup=M,t.command=M,t.content=M,t.datalist=M,t.dialog=M,t.element=M,t.embed=M,t.frame=M,t.frameset=M,t.isindex=M,t.keygen=M,t.link=M,t.math=M,t.menu=M,t.menuitem=M,t.meta=M,t.nextid=M,t.noembed=M,t.noframes=M,t.optgroup=M,t.option=M,t.param=M,t.script=M,t.shadow=M,t.source=M,t.spacer=M,t.style=M,t.svg=M,t.template=M,t.title=M,t.track=M,t.abbr=r,t.acronym=r,t.bdi=r,t.bdo=r,t.big=r,t.blink=r,t.button=r,t.canvas=r,t.cite=r,t.data=r,t.details=r,t.dfn=r,t.font=r,t.ins=r,t.label=r,t.map=r,t.marquee=r,t.meter=r,t.nobr=r,t.noscript=r,t.object=r,t.output=r,t.progress=r,t.rb=r,t.rbc=r,t.rp=r,t.rt=r,t.rtc=r,t.ruby=r,t.slot=r,t.small=r,t.span=r,t.sup=r,t.sub=r,t.tbody=r,t.tfoot=r,t.thead=r,t.time=r,t.address=i,t.article=i,t.aside=i,t.body=i,t.center=i,t.div=i,t.fieldset=i,t.figcaption=i,t.figure=i,t.form=i,t.footer=i,t.header=i,t.hgroup=i,t.html=i,t.legend=i,t.main=i,t.multicol=i,t.nav=i,t.picture=i,t.section=i,t.a=y,t.audio=_,t.b=S,t.base=o,t.blockquote=a,t.br=s,t.code=g,t.dir=w,t.dl=d,t.dt=b,t.dd=b,t.del=c,t.em=p,t.h1=f,t.h2=f,t.h3=f,t.h4=f,t.h5=f,t.h6=f,t.hr=R,t.i=p,t.iframe=h,t.img=m,t.image=m,t.input=v,t.kbd=g,t.li=b,t.listing=l,t.mark=p,t.ol=w,t.p=x,t.plaintext=l,t.pre=l,t.q=k,t.s=c,t.samp=g,t.select=C,t.strike=c,t.strong=S,t.summary=x,t.table=O,t.td=A,t.textarea=D,t.th=A,t.tr=T,t.tt=g,t.u=p,t.ul=w,t.var=g,t.video=_,t.wbr=I,t.xmp=l},25450:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"inlineCode",i(e,r(t)))};var r=n(95318),i=n(25084)},75220:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,r=t.properties,c=r.value||r.placeholder,d=[],p=[],f=-1;if(!r.disabled&&"hidden"!==r.type&&"file"!==r.type){if("checkbox"===r.type||"radio"===r.type)return e(t,"text",l(e,e[r.checked?"checked":"unchecked"]));if("image"===r.type)return r.alt||c?e(t,"image",{url:s(e,r.src),title:r.title&&l(e,r.title)||null,alt:l(e,r.alt||c)}):[];if(c?p=[[c]]:"password"!==r.type&&"file"!==r.type&&"submit"!==r.type&&"reset"!==r.type&&"button"!==r.type&&r.list&&(n=String(r.list).toUpperCase(),a.call(e.nodeById,n)&&u(e.nodeById[n])&&(p=o(e,e.nodeById[n],r))),p.length){if("password"===r.type&&(p[0]=[i("•",p[0][0].length)]),"url"===r.type||"email"===r.type){for(;++f<p.length;)c=s(e,p[f][0]),d.push(e(t,"link",{title:null,url:l(e,"email"===r.type?"mailto:"+c:c)},[{type:"text",value:l(e,p[f][1]||c)}])),f!==p.length-1&&d.push({type:"text",value:", "});return d}for(;++f<p.length;)d.push(p[f][1]?p[f][1]+" ("+p[f][0]+")":p[f][0]);return e(t,"text",l(e,d.join(", ")))}}};var r=n(13056),i=n(34076),o=n(82884),a=n(22843),s=n(39654),l=n(25084),u=r("datalist")},36443:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"link",{title:t.properties.title||null,url:i(e,t.properties.href)},r(e,t))};var r=n(134),i=n(39654)},58898:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,r,l,u,c=t.children[0],d=null;return a(c)&&(r=c.children[0],!s(r)||"checkbox"!==r.properties.type&&"radio"!==r.properties.type||(d=Boolean(r.properties.checked),(u=i(c)).children=c.children.slice(1),(l=i(t)).children=[u].concat(t.children.slice(1)))),e(t,"listItem",{spread:(n=o(e,l||t)).length>1,checked:d},n)};var r=n(13056),i=n(25833),o=n(27987),a=r("p"),s=r("input")},59707:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=s(t),r=a(e,t),l=null;return n&&(l=i(t,"start")?t.properties.start:1),e(t,"list",{ordered:n,start:l,spread:o(r)},r)};var r=n(13056),i=n(36040),o=n(73982),a=n(1693),s=r("ol")},44880:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,r=a(e,t),d=c(t)&&t.properties.poster,p=t.properties.src,f=-1;if(o({type:"root",children:r},"link",(function(){return n=!0,o.EXIT})),n||l.needed(r))return r;for(;!p&&++f<t.children.length;)u(t.children[f])&&(p=t.children[f].properties.src);return d&&(r=[{type:"image",title:null,url:s(e,d),alt:i({children:r})}]),{type:"link",title:t.properties.title||null,url:s(e,p),children:r}};var r=n(13056),i=n(75330),o=n(18043),a=n(134),s=n(39654),l=n(76115),u=r("source"),c=r("video")},53043:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=r(e,t);if(n.length)return e(t,"paragraph",n)};var r=n(134)},89299:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,i=e.quotes[e.qNesting%e.quotes.length];return e.qNesting++,n=r(e,t),e.qNesting--,n.unshift({type:"text",value:i.charAt(0)}),n.push({type:"text",value:i.length>1?i.charAt(1):i}),n};var r=n(134)},43568:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=r(e,t);return(e.document||i.needed(n))&&(n=i(n)),e(t,"root",n)};var r=n(134),i=n(76115)},1649:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,o=r(e,t),a=-1,s=[];++a<o.length;)n=o[a],s.push(n[1]?n[1]+" ("+n[0]+")":n[0]);if(s.length)return e(t,"text",i(e,s.join(", ")))};var r=n(82884),i=n(25084)},91922:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"strong",r(e,t))};var r=n(134)},97134:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,i=e.wrapText;return e.wrapText=!1,n=e(t,"tableCell",r(e,t)),e.wrapText=i,n};var r=n(134)},6:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"tableRow",r(e,t))};var r=n(134)},35621:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=function(e){var t=!0,n=[null],r=0,o=0;return i(e,"element",(function(e){a(e)?t=!1:s(e)?(r++,o=0):l(e)&&(n[o]||(n[o]=e.properties.align||null),t&&r<2&&"th"===e.tagName&&(t=!1),o++)})),{align:n,headless:t}}(t);return e(t,"table",{align:n.align},function(e,t){var n,r,i=[],o=-1;for(t.headless&&i.push({type:"tableRow",children:[]});++o<e.length;)"tableRow"===(n=e[o]).type?(r&&(n.children=r.concat(n.children),r=void 0),i.push(n)):(r||(r=[]),r.push(n));for(r&&((n=i[i.length-1]).children=n.children.concat(r)),o=-1;++o<i.length;)(n=i[o]).children=u(n.children,t);return i}(o(e,t),n))};var r=n(13056),i=n(18043),o=n(134),a=r("thead"),s=r("tr"),l=r(["th","td"]);function u(e,t){for(var n,r,i=[],o=-1;++o<e.length;)"tableCell"===(n=e[o]).type?(r&&(n.children=r.concat(n.children),r=void 0),i.push(n)):(r||(r=[]),r.push(n));for(r&&((n=i[i.length-1])||(n={type:"tableCell",children:[]},i.push(n)),n.children=n.children.concat(r)),o=i.length-1;++o<t.align.length;)i.push({type:"tableCell",children:[]});return i}},71807:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"text",r(e,t.value))};var r=n(25084)},35149:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"text",i(e,r(t)))};var r=n(95318),i=n(25084)},33222:e=>{"use strict";e.exports=function(e,t){return e(t,"thematicBreak")}},45613:e=>{"use strict";e.exports=function(e,t){return e(t,"text","")}},25140:(e,t,n)=>{"use strict";e.exports=function(e,t,n){var r;if("element"===t.type){if(t.properties&&"ignore"===t.properties.dataMdast)return;i.call(e.handlers,t.tagName)&&(r=e.handlers[t.tagName])}else i.call(e.handlers,t.type)&&(r=e.handlers[t.type]);return("function"==typeof r?r:a)(e,t,n)};var r=n(134),i=n(22843),o=n(25084);function a(e,t){return t.value?e(t,"text",o(e,t.value)):r(e,t)}},82884:(e,t,n)=>{"use strict";var r=n(36040),i=n(13056),o=n(95318),a=n(25084);e.exports=function(e,t,n){for(var i,s,u,c,d=n||t.properties,p=l(t),f=Math.min(parseInt(d.size,10),0)||(d.multiple?4:1),h=-1,m=[],g=[];++h<p.length;)r(p[h],"selected")&&m.push(p[h]);for(p=(m.length?m:p).slice(0,f),h=-1;++h<p.length;)i=p[h],u=(s=a(e,o(i)))||i.properties.label,c=i.properties.value||s,g.push([c,u===c?null:u]);return g};var s=i("option");function l(e){for(var t,n=e.children,i=-1,o=[];++i<n.length;)t=n[i],s(t)?r(t,"disabled")||o.push(t):t.children&&(o=o.concat(l(t)));return o}},73982:e=>{"use strict";e.exports=function(e){var t=-1;if(e.length>1)for(;++t<e.length;)if(e[t].spread)return!0;return!1}},22843:e=>{"use strict";e.exports={}.hasOwnProperty},39654:e=>{"use strict";e.exports=function(e,t){return null==t?"":e.frozenBaseUrl&&"undefined"!=typeof URL?String(new URL(t,e.frozenBaseUrl)):t}},25833:(e,t,n)=>{"use strict";e.exports=function(e){var t,n={};for(t in e)r.call(e,t)&&"children"!==t&&(n[t]=e[t]);return n};var r=n(22843)},27987:(e,t,n)=>{"use strict";e.exports=function(e,t){return i(r(e,t))};var r=n(134),i=n(76115)},1693:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n=r(e,t),i=-1;++i<n.length;)"listItem"!==n[i].type&&(n[i]={type:"listItem",spread:!1,checked:null,children:[n[i]]});return n};var r=n(134)},25084:e=>{"use strict";e.exports=function(e,t){return e.wrapText?t:t.replace(/\r?\n|\r/g," ")}},76115:(e,t,n)=>{"use strict";e.exports=a,a.needed=l;var r=n(49385),i=n(96967),o=n(25833);function a(e){return s(e,(function(e){var t=e[0];return 1!==e.length||"text"!==t.type||" "!==t.value&&"\n"!==t.value?{type:"paragraph",children:e}:[]}))}function s(e,t,n){for(var r,o,a=n||c,s=function(e){for(var t,n=[],r=-1;++r<e.length;)"delete"!==(t=e[r]).type&&"link"!==t.type||!l(t.children)?n.push(t):n=n.concat(u(t));return n}(e),d=[],p=-1;++p<s.length;)r=s[p],i(r)?(o||(o=[]),o.push(r)):(o&&(d=d.concat(t(o)),o=void 0),d=d.concat(a(r)));return o&&(d=d.concat(t(o))),d}function l(e){for(var t,n=-1;++n<e.length;)if(t=e[n],!i(t)||t.children&&l(t.children))return!0}function u(e){return s(e.children,(function(t){var n=r(!0,{},o(e));return n.children=t,n}),(function(t){var n=r(!0,{},o(e)),i=o(t);return i.children=[n],n.children=t.children,i}))}function c(e){return e}},54332:e=>{e.exports=function(e){return e}},56770:(e,t,n)=>{"use strict";e.exports=l;var r=n(2547),i=n(54332),o=!0,a="skip",s=!1;function l(e,t,n,l){var u,c;"function"==typeof t&&"function"!=typeof n&&(l=n,n=t,t=null),c=r(t),u=l?-1:1,function e(r,d,p){var f,h="object"==typeof r&&null!==r?r:{};return"string"==typeof h.type&&(f="string"==typeof h.tagName?h.tagName:"string"==typeof h.name?h.name:void 0,m.displayName="node ("+i(h.type+(f?"<"+f+">":""))+")"),m;function m(){var i,f,h=p.concat(r),m=[];if((!t||c(r,d,p[p.length-1]||null))&&(m=function(e){return null!==e&&"object"==typeof e&&"length"in e?e:"number"==typeof e?[o,e]:[e]}(n(r,p)),m[0]===s))return m;if(r.children&&m[0]!==a)for(f=(l?r.children.length:-1)+u;f>-1&&f<r.children.length;){if((i=e(r.children[f],f,h)())[0]===s)return i;f="number"==typeof i[1]?i[1]:f+u}return m}}(e,null,[])()}l.CONTINUE=o,l.SKIP=a,l.EXIT=s},18043:(e,t,n)=>{"use strict";e.exports=s;var r=n(56770),i=r.CONTINUE,o=r.SKIP,a=r.EXIT;function s(e,t,n,i){"function"==typeof t&&"function"!=typeof n&&(i=n,n=t,t=null),r(e,t,(function(e,t){var r=t[t.length-1],i=r?r.children.indexOf(e):null;return n(e,i,r)}),i)}s.CONTINUE=i,s.SKIP=o,s.EXIT=a},95318:(e,t,n)=>{"use strict";var r=n(34076),i=n(13056),o=n(48313);e.exports=function(e){var t,n,i,o,a=e.children||[],s=f(e),u=y(e,{}),c=-1;if("text"===e.type||"comment"===e.type)return m(e,{whiteSpace:u,breakBefore:!0,breakAfter:!0});for(t=[];++c<a.length;)t=t.concat(h(a[c],0,e,{whiteSpace:u,breakBefore:c?null:s,breakAfter:c<a.length-1?l(a[c+1]):s}));for(c=-1,n=[];++c<t.length;)"number"==typeof(i=t[c])?void 0!==o&&i>o&&(o=i):i&&(o&&n.push(r("\n",o)),o=0,n.push(i));return n.join("")};var a=/\n/g,s=/[\t ]+/g,l=i("br"),u=i("p"),c=i(["th","td"]),d=i("tr"),p=i(["datalist","head","noembed","noframes","rp","script","style","template","title","noscript",function(e){return(e.properties||{}).hidden},function(e){return"dialog"===e.tagName&&!(e.properties||{}).open}]),f=i(["caption","html","body","address","blockquote","center","dialog","div","figure","figcaption","footer","form,","header","hr","legend","listing","main","p","plaintext","pre","xmp","article","aside","h1","h2","h3","h4","h5","h6","hgroup","nav","section","dir","dd","dl","dt","menu","ol","ul"]);function h(e,t,n,r){return"element"===e.type?function(e,t,n,r){var i,a,s=y(e,r),m=e.children||[],g=-1,v=[];if(p(e))return v;for(l(e)||d(e)&&o(n,e,d)?a="\n":u(e)?(i=2,a=2):f(e)&&(i=1,a=1);++g<m.length;)v=v.concat(h(m[g],0,e,{whiteSpace:s,breakBefore:g?null:i,breakAfter:g<m.length-1?l(m[g+1]):a}));return c(e)&&o(n,e,c)&&v.push("\t"),i&&v.unshift(i),a&&v.push(a),v}(e,0,n,r):"text"===e.type?["normal"===r.whiteSpace?m(e,r):g(e)]:[]}function m(e,t){for(var n,r,i,o=String(e.value),s=[],l=[],u=0,c=-1;u<o.length;)a.lastIndex=u,r=(n=a.exec(o))?n.index:o.length,s.push(v(o.slice(u,r).replace(/[\u061c\u200e\u200f\u202a-\u202e\u2066-\u2069]/g,""),t.breakBefore,t.breakAfter)),u=r+1;for(;++c<s.length;)8203===s[c].charCodeAt(s[c].length-1)||c<s.length-1&&8203===s[c+1].charCodeAt(0)?(l.push(s[c]),i=""):s[c]&&(i&&l.push(i),l.push(s[c]),i=" ");return l.join("")}function g(e){return String(e.value)}function v(e,t,n){for(var r,i,o=[],a=0;a<e.length;)s.lastIndex=a,i=(r=s.exec(e))?r.index:e.length,a||i||!r||t||o.push(""),a!==i&&o.push(e.slice(a,i)),a=r?i+r[0].length:i;return a===i||n||o.push(""),o.join(" ")}function y(e,t){var n=e.properties||{},r=t.whiteSpace||"normal";switch(e.tagName){case"listing":case"plaintext":case"xmp":return"pre";case"nobr":return"nowrap";case"pre":return n.wrap?"pre-wrap":"pre";case"td":case"th":return n.noWrap?"nowrap":r;case"textarea":return"pre-wrap";default:return r}}},67273:e=>{"use strict";e.exports=function(e){var n;if(e&&"object"==typeof e&&"text"===e.type)n=e.value||"";else{if("string"!=typeof e)return!1;n=e}return""===n.replace(t,"")};var t=/[ \t\n\f\r]/g},68924:(e,t,n)=>{"use strict";var r=n(70521),i=n(2939),o=n(74163),a=n(93113).parse,s=n(97327).parse;e.exports=function(e,t,n){var i=n?function(e){for(var t,n=e.length,r=-1,i={};++r<n;)i[(t=e[r]).toLowerCase()]=t;return i}(n):null;return function(e,n){var r,a=o(e,t),s=Array.prototype.slice.call(arguments,2),c=a.tagName.toLowerCase();if(a.tagName=i&&l.call(i,c)?i[c]:c,n&&function(e,t){return"string"==typeof e||"length"in e||function(e,t){var n=t.type;return!("input"===e||!n||"string"!=typeof n)&&("object"==typeof t.children&&"length"in t.children||(n=n.toLowerCase(),"button"===e?"menu"!==n&&"submit"!==n&&"reset"!==n&&"button"!==n:"value"in t))}(t.tagName,e)}(n,a)&&(s.unshift(n),n=null),n)for(r in n)d(a.properties,r,n[r]);return u(a.children,s),"template"===a.tagName&&(a.content={type:"root",children:a.children},a.children=[]),a};function d(t,n,i){var o,l,u;null!=i&&i==i&&(l=(o=r(e,n)).property,"string"==typeof(u=i)&&(o.spaceSeparated?u=a(u):o.commaSeparated?u=s(u):o.commaOrSpaceSeparated&&(u=a(s(u).join(" ")))),"style"===l&&"string"!=typeof i&&(u=function(e){var t,n=[];for(t in e)n.push([t,e[t]].join(": "));return n.join("; ")}(u)),"className"===l&&t.className&&(u=t.className.concat(u)),t[l]=function(e,t,n){var r,i,o;if("object"!=typeof n||!("length"in n))return c(e,t,n);for(i=n.length,r=-1,o=[];++r<i;)o[r]=c(e,t,n[r]);return o}(o,l,u))}};var l={}.hasOwnProperty;function u(e,t){var n,r;if("string"!=typeof t&&"number"!=typeof t)if("object"==typeof t&&"length"in t)for(n=-1,r=t.length;++n<r;)u(e,t[n]);else{if("object"!=typeof t||!("type"in t))throw new Error("Expected node, nodes, or string, got `"+t+"`");e.push(t)}else e.push({type:"text",value:String(t)})}function c(e,t,n){var r=n;return e.number||e.positiveNumber?isNaN(r)||""===r||(r=Number(r)):(e.boolean||e.overloadedBoolean)&&("string"!=typeof r||""!==r&&i(n)!==i(t)||(r=!0)),r}},88977:(e,t,n)=>{"use strict";var r=n(59209),i=n(68924)(r,"div");i.displayName="html",e.exports=i},22896:(e,t,n)=>{"use strict";e.exports=n(88977)},97078:(e,t,n)=>{"use strict";var r=n(57956),i=n(98176),o=n(68924)(r,"g",i);o.displayName="svg",e.exports=o},73463:(e,t,n)=>{"use strict";var r=n(48570),i={childContextTypes:!0,contextType:!0,contextTypes:!0,defaultProps:!0,displayName:!0,getDefaultProps:!0,getDerivedStateFromError:!0,getDerivedStateFromProps:!0,mixins:!0,propTypes:!0,type:!0},o={name:!0,length:!0,prototype:!0,caller:!0,callee:!0,arguments:!0,arity:!0},a={$$typeof:!0,compare:!0,defaultProps:!0,displayName:!0,propTypes:!0,type:!0},s={};function l(e){return r.isMemo(e)?a:s[e.$$typeof]||i}s[r.ForwardRef]={$$typeof:!0,render:!0,defaultProps:!0,displayName:!0,propTypes:!0},s[r.Memo]=a;var u=Object.defineProperty,c=Object.getOwnPropertyNames,d=Object.getOwnPropertySymbols,p=Object.getOwnPropertyDescriptor,f=Object.getPrototypeOf,h=Object.prototype;e.exports=function e(t,n,r){if("string"!=typeof n){if(h){var i=f(n);i&&i!==h&&e(t,i,r)}var a=c(n);d&&(a=a.concat(d(n)));for(var s=l(t),m=l(n),g=0;g<a.length;++g){var v=a[g];if(!(o[v]||r&&r[v]||m&&m[v]||s&&s[v])){var y=p(n,v);try{u(t,v,y)}catch(e){}}}}return t}},62333:(e,t)=>{t.read=function(e,t,n,r,i){var o,a,s=8*i-r-1,l=(1<<s)-1,u=l>>1,c=-7,d=n?i-1:0,p=n?-1:1,f=e[t+d];for(d+=p,o=f&(1<<-c)-1,f>>=-c,c+=s;c>0;o=256*o+e[t+d],d+=p,c-=8);for(a=o&(1<<-c)-1,o>>=-c,c+=r;c>0;a=256*a+e[t+d],d+=p,c-=8);if(0===o)o=1-u;else{if(o===l)return a?NaN:1/0*(f?-1:1);a+=Math.pow(2,r),o-=u}return(f?-1:1)*a*Math.pow(2,o-r)},t.write=function(e,t,n,r,i,o){var a,s,l,u=8*o-i-1,c=(1<<u)-1,d=c>>1,p=23===i?Math.pow(2,-24)-Math.pow(2,-77):0,f=r?0:o-1,h=r?1:-1,m=t<0||0===t&&1/t<0?1:0;for(t=Math.abs(t),isNaN(t)||t===1/0?(s=isNaN(t)?1:0,a=c):(a=Math.floor(Math.log(t)/Math.LN2),t*(l=Math.pow(2,-a))<1&&(a--,l*=2),(t+=a+d>=1?p/l:p*Math.pow(2,1-d))*l>=2&&(a++,l/=2),a+d>=c?(s=0,a=c):a+d>=1?(s=(t*l-1)*Math.pow(2,i),a+=d):(s=t*Math.pow(2,d-1)*Math.pow(2,i),a=0));i>=8;e[n+f]=255&s,f+=h,s/=256,i-=8);for(a=a<<i|s,u+=i;u>0;e[n+f]=255&a,f+=h,a/=256,u-=8);e[n+f-h]|=128*m}},46670:function(e){e.exports=function(){"use strict";var e=Array.prototype.slice;function t(e,t){t&&(e.prototype=Object.create(t.prototype)),e.prototype.constructor=e}function n(e){return a(e)?e:G(e)}function r(e){return s(e)?e:K(e)}function i(e){return l(e)?e:Y(e)}function o(e){return a(e)&&!u(e)?e:Z(e)}function a(e){return!(!e||!e[d])}function s(e){return!(!e||!e[p])}function l(e){return!(!e||!e[f])}function u(e){return s(e)||l(e)}function c(e){return!(!e||!e[h])}t(r,n),t(i,n),t(o,n),n.isIterable=a,n.isKeyed=s,n.isIndexed=l,n.isAssociative=u,n.isOrdered=c,n.Keyed=r,n.Indexed=i,n.Set=o;var d="@@__IMMUTABLE_ITERABLE__@@",p="@@__IMMUTABLE_KEYED__@@",f="@@__IMMUTABLE_INDEXED__@@",h="@@__IMMUTABLE_ORDERED__@@",m="delete",g=5,v=1<<g,y=v-1,b={},w={value:!1},_={value:!1};function x(e){return e.value=!1,e}function k(e){e&&(e.value=!0)}function E(){}function C(e,t){t=t||0;for(var n=Math.max(0,e.length-t),r=new Array(n),i=0;i<n;i++)r[i]=e[i+t];return r}function S(e){return void 0===e.size&&(e.size=e.__iterate(T)),e.size}function A(e,t){if("number"!=typeof t){var n=t>>>0;if(""+n!==t||4294967295===n)return NaN;t=n}return t<0?S(e)+t:t}function T(){return!0}function O(e,t,n){return(0===e||void 0!==n&&e<=-n)&&(void 0===t||void 0!==n&&t>=n)}function P(e,t){return R(e,t,0)}function D(e,t){return R(e,t,t)}function R(e,t,n){return void 0===e?n:e<0?Math.max(0,t+e):void 0===t?e:Math.min(t,e)}var I=0,M=1,L=2,N="function"==typeof Symbol&&Symbol.iterator,j="@@iterator",F=N||j;function B(e){this.next=e}function z(e,t,n,r){var i=0===e?t:1===e?n:[t,n];return r?r.value=i:r={value:i,done:!1},r}function U(){return{value:void 0,done:!0}}function q(e){return!!H(e)}function $(e){return e&&"function"==typeof e.next}function W(e){var t=H(e);return t&&t.call(e)}function H(e){var t=e&&(N&&e[N]||e[j]);if("function"==typeof t)return t}function V(e){return e&&"number"==typeof e.length}function G(e){return null==e?ae():a(e)?e.toSeq():function(e){var t=ue(e)||"object"==typeof e&&new ne(e);if(!t)throw new TypeError("Expected Array or iterable object of values, or keyed object: "+e);return t}(e)}function K(e){return null==e?ae().toKeyedSeq():a(e)?s(e)?e.toSeq():e.fromEntrySeq():se(e)}function Y(e){return null==e?ae():a(e)?s(e)?e.entrySeq():e.toIndexedSeq():le(e)}function Z(e){return(null==e?ae():a(e)?s(e)?e.entrySeq():e:le(e)).toSetSeq()}B.prototype.toString=function(){return"[Iterator]"},B.KEYS=I,B.VALUES=M,B.ENTRIES=L,B.prototype.inspect=B.prototype.toSource=function(){return this.toString()},B.prototype[F]=function(){return this},t(G,n),G.of=function(){return G(arguments)},G.prototype.toSeq=function(){return this},G.prototype.toString=function(){return this.__toString("Seq {","}")},G.prototype.cacheResult=function(){return!this._cache&&this.__iterateUncached&&(this._cache=this.entrySeq().toArray(),this.size=this._cache.length),this},G.prototype.__iterate=function(e,t){return ce(this,e,t,!0)},G.prototype.__iterator=function(e,t){return de(this,e,t,!0)},t(K,G),K.prototype.toKeyedSeq=function(){return this},t(Y,G),Y.of=function(){return Y(arguments)},Y.prototype.toIndexedSeq=function(){return this},Y.prototype.toString=function(){return this.__toString("Seq [","]")},Y.prototype.__iterate=function(e,t){return ce(this,e,t,!1)},Y.prototype.__iterator=function(e,t){return de(this,e,t,!1)},t(Z,G),Z.of=function(){return Z(arguments)},Z.prototype.toSetSeq=function(){return this},G.isSeq=oe,G.Keyed=K,G.Set=Z,G.Indexed=Y;var X,Q,J,ee="@@__IMMUTABLE_SEQ__@@";function te(e){this._array=e,this.size=e.length}function ne(e){var t=Object.keys(e);this._object=e,this._keys=t,this.size=t.length}function re(e){this._iterable=e,this.size=e.length||e.size}function ie(e){this._iterator=e,this._iteratorCache=[]}function oe(e){return!(!e||!e[ee])}function ae(){return X||(X=new te([]))}function se(e){var t=Array.isArray(e)?new te(e).fromEntrySeq():$(e)?new ie(e).fromEntrySeq():q(e)?new re(e).fromEntrySeq():"object"==typeof e?new ne(e):void 0;if(!t)throw new TypeError("Expected Array or iterable object of [k, v] entries, or keyed object: "+e);return t}function le(e){var t=ue(e);if(!t)throw new TypeError("Expected Array or iterable object of values: "+e);return t}function ue(e){return V(e)?new te(e):$(e)?new ie(e):q(e)?new re(e):void 0}function ce(e,t,n,r){var i=e._cache;if(i){for(var o=i.length-1,a=0;a<=o;a++){var s=i[n?o-a:a];if(!1===t(s[1],r?s[0]:a,e))return a+1}return a}return e.__iterateUncached(t,n)}function de(e,t,n,r){var i=e._cache;if(i){var o=i.length-1,a=0;return new B((function(){var e=i[n?o-a:a];return a++>o?{value:void 0,done:!0}:z(t,r?e[0]:a-1,e[1])}))}return e.__iteratorUncached(t,n)}function pe(e,t){return t?fe(t,e,"",{"":e}):he(e)}function fe(e,t,n,r){return Array.isArray(t)?e.call(r,n,Y(t).map((function(n,r){return fe(e,n,r,t)}))):me(t)?e.call(r,n,K(t).map((function(n,r){return fe(e,n,r,t)}))):t}function he(e){return Array.isArray(e)?Y(e).map(he).toList():me(e)?K(e).map(he).toMap():e}function me(e){return e&&(e.constructor===Object||void 0===e.constructor)}function ge(e,t){if(e===t||e!=e&&t!=t)return!0;if(!e||!t)return!1;if("function"==typeof e.valueOf&&"function"==typeof t.valueOf){if((e=e.valueOf())===(t=t.valueOf())||e!=e&&t!=t)return!0;if(!e||!t)return!1}return!("function"!=typeof e.equals||"function"!=typeof t.equals||!e.equals(t))}function ve(e,t){if(e===t)return!0;if(!a(t)||void 0!==e.size&&void 0!==t.size&&e.size!==t.size||void 0!==e.__hash&&void 0!==t.__hash&&e.__hash!==t.__hash||s(e)!==s(t)||l(e)!==l(t)||c(e)!==c(t))return!1;if(0===e.size&&0===t.size)return!0;var n=!u(e);if(c(e)){var r=e.entries();return t.every((function(e,t){var i=r.next().value;return i&&ge(i[1],e)&&(n||ge(i[0],t))}))&&r.next().done}var i=!1;if(void 0===e.size)if(void 0===t.size)"function"==typeof e.cacheResult&&e.cacheResult();else{i=!0;var o=e;e=t,t=o}var d=!0,p=t.__iterate((function(t,r){if(n?!e.has(t):i?!ge(t,e.get(r,b)):!ge(e.get(r,b),t))return d=!1,!1}));return d&&e.size===p}function ye(e,t){if(!(this instanceof ye))return new ye(e,t);if(this._value=e,this.size=void 0===t?1/0:Math.max(0,t),0===this.size){if(Q)return Q;Q=this}}function be(e,t){if(!e)throw new Error(t)}function we(e,t,n){if(!(this instanceof we))return new we(e,t,n);if(be(0!==n,"Cannot step a Range by 0"),e=e||0,void 0===t&&(t=1/0),n=void 0===n?1:Math.abs(n),t<e&&(n=-n),this._start=e,this._end=t,this._step=n,this.size=Math.max(0,Math.ceil((t-e)/n-1)+1),0===this.size){if(J)return J;J=this}}function _e(){throw TypeError("Abstract")}function xe(){}function ke(){}function Ee(){}G.prototype[ee]=!0,t(te,Y),te.prototype.get=function(e,t){return this.has(e)?this._array[A(this,e)]:t},te.prototype.__iterate=function(e,t){for(var n=this._array,r=n.length-1,i=0;i<=r;i++)if(!1===e(n[t?r-i:i],i,this))return i+1;return i},te.prototype.__iterator=function(e,t){var n=this._array,r=n.length-1,i=0;return new B((function(){return i>r?{value:void 0,done:!0}:z(e,i,n[t?r-i++:i++])}))},t(ne,K),ne.prototype.get=function(e,t){return void 0===t||this.has(e)?this._object[e]:t},ne.prototype.has=function(e){return this._object.hasOwnProperty(e)},ne.prototype.__iterate=function(e,t){for(var n=this._object,r=this._keys,i=r.length-1,o=0;o<=i;o++){var a=r[t?i-o:o];if(!1===e(n[a],a,this))return o+1}return o},ne.prototype.__iterator=function(e,t){var n=this._object,r=this._keys,i=r.length-1,o=0;return new B((function(){var a=r[t?i-o:o];return o++>i?{value:void 0,done:!0}:z(e,a,n[a])}))},ne.prototype[h]=!0,t(re,Y),re.prototype.__iterateUncached=function(e,t){if(t)return this.cacheResult().__iterate(e,t);var n=W(this._iterable),r=0;if($(n))for(var i;!(i=n.next()).done&&!1!==e(i.value,r++,this););return r},re.prototype.__iteratorUncached=function(e,t){if(t)return this.cacheResult().__iterator(e,t);var n=W(this._iterable);if(!$(n))return new B(U);var r=0;return new B((function(){var t=n.next();return t.done?t:z(e,r++,t.value)}))},t(ie,Y),ie.prototype.__iterateUncached=function(e,t){if(t)return this.cacheResult().__iterate(e,t);for(var n,r=this._iterator,i=this._iteratorCache,o=0;o<i.length;)if(!1===e(i[o],o++,this))return o;for(;!(n=r.next()).done;){var a=n.value;if(i[o]=a,!1===e(a,o++,this))break}return o},ie.prototype.__iteratorUncached=function(e,t){if(t)return this.cacheResult().__iterator(e,t);var n=this._iterator,r=this._iteratorCache,i=0;return new B((function(){if(i>=r.length){var t=n.next();if(t.done)return t;r[i]=t.value}return z(e,i,r[i++])}))},t(ye,Y),ye.prototype.toString=function(){return 0===this.size?"Repeat []":"Repeat [ "+this._value+" "+this.size+" times ]"},ye.prototype.get=function(e,t){return this.has(e)?this._value:t},ye.prototype.includes=function(e){return ge(this._value,e)},ye.prototype.slice=function(e,t){var n=this.size;return O(e,t,n)?this:new ye(this._value,D(t,n)-P(e,n))},ye.prototype.reverse=function(){return this},ye.prototype.indexOf=function(e){return ge(this._value,e)?0:-1},ye.prototype.lastIndexOf=function(e){return ge(this._value,e)?this.size:-1},ye.prototype.__iterate=function(e,t){for(var n=0;n<this.size;n++)if(!1===e(this._value,n,this))return n+1;return n},ye.prototype.__iterator=function(e,t){var n=this,r=0;return new B((function(){return r<n.size?z(e,r++,n._value):{value:void 0,done:!0}}))},ye.prototype.equals=function(e){return e instanceof ye?ge(this._value,e._value):ve(e)},t(we,Y),we.prototype.toString=function(){return 0===this.size?"Range []":"Range [ "+this._start+"..."+this._end+(1!==this._step?" by "+this._step:"")+" ]"},we.prototype.get=function(e,t){return this.has(e)?this._start+A(this,e)*this._step:t},we.prototype.includes=function(e){var t=(e-this._start)/this._step;return t>=0&&t<this.size&&t===Math.floor(t)},we.prototype.slice=function(e,t){return O(e,t,this.size)?this:(e=P(e,this.size),(t=D(t,this.size))<=e?new we(0,0):new we(this.get(e,this._end),this.get(t,this._end),this._step))},we.prototype.indexOf=function(e){var t=e-this._start;if(t%this._step==0){var n=t/this._step;if(n>=0&&n<this.size)return n}return-1},we.prototype.lastIndexOf=function(e){return this.indexOf(e)},we.prototype.__iterate=function(e,t){for(var n=this.size-1,r=this._step,i=t?this._start+n*r:this._start,o=0;o<=n;o++){if(!1===e(i,o,this))return o+1;i+=t?-r:r}return o},we.prototype.__iterator=function(e,t){var n=this.size-1,r=this._step,i=t?this._start+n*r:this._start,o=0;return new B((function(){var a=i;return i+=t?-r:r,o>n?{value:void 0,done:!0}:z(e,o++,a)}))},we.prototype.equals=function(e){return e instanceof we?this._start===e._start&&this._end===e._end&&this._step===e._step:ve(this,e)},t(_e,n),t(xe,_e),t(ke,_e),t(Ee,_e),_e.Keyed=xe,_e.Indexed=ke,_e.Set=Ee;var Ce="function"==typeof Math.imul&&-2===Math.imul(4294967295,2)?Math.imul:function(e,t){var n=65535&(e|=0),r=65535&(t|=0);return n*r+((e>>>16)*r+n*(t>>>16)<<16>>>0)|0};function Se(e){return e>>>1&1073741824|3221225471&e}function Ae(e){if(!1===e||null==e)return 0;if("function"==typeof e.valueOf&&(!1===(e=e.valueOf())||null==e))return 0;if(!0===e)return 1;var t=typeof e;if("number"===t){if(e!=e||e===1/0)return 0;var n=0|e;for(n!==e&&(n^=4294967295*e);e>4294967295;)n^=e/=4294967295;return Se(n)}if("string"===t)return e.length>Le?function(e){var t=Fe[e];return void 0===t&&(t=Te(e),je===Ne&&(je=0,Fe={}),je++,Fe[e]=t),t}(e):Te(e);if("function"==typeof e.hashCode)return e.hashCode();if("object"===t)return function(e){var t;if(Re&&void 0!==(t=De.get(e)))return t;if(void 0!==(t=e[Me]))return t;if(!Pe){if(void 0!==(t=e.propertyIsEnumerable&&e.propertyIsEnumerable[Me]))return t;if(void 0!==(t=function(e){if(e&&e.nodeType>0)switch(e.nodeType){case 1:return e.uniqueID;case 9:return e.documentElement&&e.documentElement.uniqueID}}(e)))return t}if(t=++Ie,1073741824&Ie&&(Ie=0),Re)De.set(e,t);else{if(void 0!==Oe&&!1===Oe(e))throw new Error("Non-extensible objects are not allowed as keys.");if(Pe)Object.defineProperty(e,Me,{enumerable:!1,configurable:!1,writable:!1,value:t});else if(void 0!==e.propertyIsEnumerable&&e.propertyIsEnumerable===e.constructor.prototype.propertyIsEnumerable)e.propertyIsEnumerable=function(){return this.constructor.prototype.propertyIsEnumerable.apply(this,arguments)},e.propertyIsEnumerable[Me]=t;else{if(void 0===e.nodeType)throw new Error("Unable to set a non-enumerable property on object.");e[Me]=t}}return t}(e);if("function"==typeof e.toString)return Te(e.toString());throw new Error("Value type "+t+" cannot be hashed.")}function Te(e){for(var t=0,n=0;n<e.length;n++)t=31*t+e.charCodeAt(n)|0;return Se(t)}var Oe=Object.isExtensible,Pe=function(){try{return Object.defineProperty({},"@",{}),!0}catch(e){return!1}}();var De,Re="function"==typeof WeakMap;Re&&(De=new WeakMap);var Ie=0,Me="__immutablehash__";"function"==typeof Symbol&&(Me=Symbol(Me));var Le=16,Ne=255,je=0,Fe={};function Be(e){be(e!==1/0,"Cannot perform this action with an infinite size.")}function ze(e){return null==e?et():Ue(e)&&!c(e)?e:et().withMutations((function(t){var n=r(e);Be(n.size),n.forEach((function(e,n){return t.set(n,e)}))}))}function Ue(e){return!(!e||!e[$e])}t(ze,xe),ze.of=function(){var t=e.call(arguments,0);return et().withMutations((function(e){for(var n=0;n<t.length;n+=2){if(n+1>=t.length)throw new Error("Missing value for key: "+t[n]);e.set(t[n],t[n+1])}}))},ze.prototype.toString=function(){return this.__toString("Map {","}")},ze.prototype.get=function(e,t){return this._root?this._root.get(0,void 0,e,t):t},ze.prototype.set=function(e,t){return tt(this,e,t)},ze.prototype.setIn=function(e,t){return this.updateIn(e,b,(function(){return t}))},ze.prototype.remove=function(e){return tt(this,e,b)},ze.prototype.deleteIn=function(e){return this.updateIn(e,(function(){return b}))},ze.prototype.update=function(e,t,n){return 1===arguments.length?e(this):this.updateIn([e],t,n)},ze.prototype.updateIn=function(e,t,n){n||(n=t,t=void 0);var r=ut(this,an(e),t,n);return r===b?void 0:r},ze.prototype.clear=function(){return 0===this.size?this:this.__ownerID?(this.size=0,this._root=null,this.__hash=void 0,this.__altered=!0,this):et()},ze.prototype.merge=function(){return ot(this,void 0,arguments)},ze.prototype.mergeWith=function(t){return ot(this,t,e.call(arguments,1))},ze.prototype.mergeIn=function(t){var n=e.call(arguments,1);return this.updateIn(t,et(),(function(e){return"function"==typeof e.merge?e.merge.apply(e,n):n[n.length-1]}))},ze.prototype.mergeDeep=function(){return ot(this,at,arguments)},ze.prototype.mergeDeepWith=function(t){var n=e.call(arguments,1);return ot(this,st(t),n)},ze.prototype.mergeDeepIn=function(t){var n=e.call(arguments,1);return this.updateIn(t,et(),(function(e){return"function"==typeof e.mergeDeep?e.mergeDeep.apply(e,n):n[n.length-1]}))},ze.prototype.sort=function(e){return Rt(Kt(this,e))},ze.prototype.sortBy=function(e,t){return Rt(Kt(this,t,e))},ze.prototype.withMutations=function(e){var t=this.asMutable();return e(t),t.wasAltered()?t.__ensureOwner(this.__ownerID):this},ze.prototype.asMutable=function(){return this.__ownerID?this:this.__ensureOwner(new E)},ze.prototype.asImmutable=function(){return this.__ensureOwner()},ze.prototype.wasAltered=function(){return this.__altered},ze.prototype.__iterator=function(e,t){return new Ze(this,e,t)},ze.prototype.__iterate=function(e,t){var n=this,r=0;return this._root&&this._root.iterate((function(t){return r++,e(t[1],t[0],n)}),t),r},ze.prototype.__ensureOwner=function(e){return e===this.__ownerID?this:e?Je(this.size,this._root,e,this.__hash):(this.__ownerID=e,this.__altered=!1,this)},ze.isMap=Ue;var qe,$e="@@__IMMUTABLE_MAP__@@",We=ze.prototype;function He(e,t){this.ownerID=e,this.entries=t}function Ve(e,t,n){this.ownerID=e,this.bitmap=t,this.nodes=n}function Ge(e,t,n){this.ownerID=e,this.count=t,this.nodes=n}function Ke(e,t,n){this.ownerID=e,this.keyHash=t,this.entries=n}function Ye(e,t,n){this.ownerID=e,this.keyHash=t,this.entry=n}function Ze(e,t,n){this._type=t,this._reverse=n,this._stack=e._root&&Qe(e._root)}function Xe(e,t){return z(e,t[0],t[1])}function Qe(e,t){return{node:e,index:0,__prev:t}}function Je(e,t,n,r){var i=Object.create(We);return i.size=e,i._root=t,i.__ownerID=n,i.__hash=r,i.__altered=!1,i}function et(){return qe||(qe=Je(0))}function tt(e,t,n){var r,i;if(e._root){var o=x(w),a=x(_);if(r=nt(e._root,e.__ownerID,0,void 0,t,n,o,a),!a.value)return e;i=e.size+(o.value?n===b?-1:1:0)}else{if(n===b)return e;i=1,r=new He(e.__ownerID,[[t,n]])}return e.__ownerID?(e.size=i,e._root=r,e.__hash=void 0,e.__altered=!0,e):r?Je(i,r):et()}function nt(e,t,n,r,i,o,a,s){return e?e.update(t,n,r,i,o,a,s):o===b?e:(k(s),k(a),new Ye(t,r,[i,o]))}function rt(e){return e.constructor===Ye||e.constructor===Ke}function it(e,t,n,r,i){if(e.keyHash===r)return new Ke(t,r,[e.entry,i]);var o,a=(0===n?e.keyHash:e.keyHash>>>n)&y,s=(0===n?r:r>>>n)&y;return new Ve(t,1<<a|1<<s,a===s?[it(e,t,n+g,r,i)]:(o=new Ye(t,r,i),a<s?[e,o]:[o,e]))}function ot(e,t,n){for(var i=[],o=0;o<n.length;o++){var s=n[o],l=r(s);a(s)||(l=l.map((function(e){return pe(e)}))),i.push(l)}return lt(e,t,i)}function at(e,t,n){return e&&e.mergeDeep&&a(t)?e.mergeDeep(t):ge(e,t)?e:t}function st(e){return function(t,n,r){if(t&&t.mergeDeepWith&&a(n))return t.mergeDeepWith(e,n);var i=e(t,n,r);return ge(t,i)?t:i}}function lt(e,t,n){return 0===(n=n.filter((function(e){return 0!==e.size}))).length?e:0!==e.size||e.__ownerID||1!==n.length?e.withMutations((function(e){for(var r=t?function(n,r){e.update(r,b,(function(e){return e===b?n:t(e,n,r)}))}:function(t,n){e.set(n,t)},i=0;i<n.length;i++)n[i].forEach(r)})):e.constructor(n[0])}function ut(e,t,n,r){var i=e===b,o=t.next();if(o.done){var a=i?n:e,s=r(a);return s===a?e:s}be(i||e&&e.set,"invalid keyPath");var l=o.value,u=i?b:e.get(l,b),c=ut(u,t,n,r);return c===u?e:c===b?e.remove(l):(i?et():e).set(l,c)}function ct(e){return e=(e=(858993459&(e-=e>>1&1431655765))+(e>>2&858993459))+(e>>4)&252645135,127&(e+=e>>8)+(e>>16)}function dt(e,t,n,r){var i=r?e:C(e);return i[t]=n,i}We[$e]=!0,We[m]=We.remove,We.removeIn=We.deleteIn,He.prototype.get=function(e,t,n,r){for(var i=this.entries,o=0,a=i.length;o<a;o++)if(ge(n,i[o][0]))return i[o][1];return r},He.prototype.update=function(e,t,n,r,i,o,a){for(var s=i===b,l=this.entries,u=0,c=l.length;u<c&&!ge(r,l[u][0]);u++);var d=u<c;if(d?l[u][1]===i:s)return this;if(k(a),(s||!d)&&k(o),!s||1!==l.length){if(!d&&!s&&l.length>=pt)return function(e,t,n,r){e||(e=new E);for(var i=new Ye(e,Ae(n),[n,r]),o=0;o<t.length;o++){var a=t[o];i=i.update(e,0,void 0,a[0],a[1])}return i}(e,l,r,i);var p=e&&e===this.ownerID,f=p?l:C(l);return d?s?u===c-1?f.pop():f[u]=f.pop():f[u]=[r,i]:f.push([r,i]),p?(this.entries=f,this):new He(e,f)}},Ve.prototype.get=function(e,t,n,r){void 0===t&&(t=Ae(n));var i=1<<((0===e?t:t>>>e)&y),o=this.bitmap;return 0==(o&i)?r:this.nodes[ct(o&i-1)].get(e+g,t,n,r)},Ve.prototype.update=function(e,t,n,r,i,o,a){void 0===n&&(n=Ae(r));var s=(0===t?n:n>>>t)&y,l=1<<s,u=this.bitmap,c=0!=(u&l);if(!c&&i===b)return this;var d=ct(u&l-1),p=this.nodes,f=c?p[d]:void 0,h=nt(f,e,t+g,n,r,i,o,a);if(h===f)return this;if(!c&&h&&p.length>=ft)return function(e,t,n,r,i){for(var o=0,a=new Array(v),s=0;0!==n;s++,n>>>=1)a[s]=1&n?t[o++]:void 0;return a[r]=i,new Ge(e,o+1,a)}(e,p,u,s,h);if(c&&!h&&2===p.length&&rt(p[1^d]))return p[1^d];if(c&&h&&1===p.length&&rt(h))return h;var m=e&&e===this.ownerID,w=c?h?u:u^l:u|l,_=c?h?dt(p,d,h,m):function(e,t,n){var r=e.length-1;if(n&&t===r)return e.pop(),e;for(var i=new Array(r),o=0,a=0;a<r;a++)a===t&&(o=1),i[a]=e[a+o];return i}(p,d,m):function(e,t,n,r){var i=e.length+1;if(r&&t+1===i)return e[t]=n,e;for(var o=new Array(i),a=0,s=0;s<i;s++)s===t?(o[s]=n,a=-1):o[s]=e[s+a];return o}(p,d,h,m);return m?(this.bitmap=w,this.nodes=_,this):new Ve(e,w,_)},Ge.prototype.get=function(e,t,n,r){void 0===t&&(t=Ae(n));var i=(0===e?t:t>>>e)&y,o=this.nodes[i];return o?o.get(e+g,t,n,r):r},Ge.prototype.update=function(e,t,n,r,i,o,a){void 0===n&&(n=Ae(r));var s=(0===t?n:n>>>t)&y,l=i===b,u=this.nodes,c=u[s];if(l&&!c)return this;var d=nt(c,e,t+g,n,r,i,o,a);if(d===c)return this;var p=this.count;if(c){if(!d&&--p<ht)return function(e,t,n,r){for(var i=0,o=0,a=new Array(n),s=0,l=1,u=t.length;s<u;s++,l<<=1){var c=t[s];void 0!==c&&s!==r&&(i|=l,a[o++]=c)}return new Ve(e,i,a)}(e,u,p,s)}else p++;var f=e&&e===this.ownerID,h=dt(u,s,d,f);return f?(this.count=p,this.nodes=h,this):new Ge(e,p,h)},Ke.prototype.get=function(e,t,n,r){for(var i=this.entries,o=0,a=i.length;o<a;o++)if(ge(n,i[o][0]))return i[o][1];return r},Ke.prototype.update=function(e,t,n,r,i,o,a){void 0===n&&(n=Ae(r));var s=i===b;if(n!==this.keyHash)return s?this:(k(a),k(o),it(this,e,t,n,[r,i]));for(var l=this.entries,u=0,c=l.length;u<c&&!ge(r,l[u][0]);u++);var d=u<c;if(d?l[u][1]===i:s)return this;if(k(a),(s||!d)&&k(o),s&&2===c)return new Ye(e,this.keyHash,l[1^u]);var p=e&&e===this.ownerID,f=p?l:C(l);return d?s?u===c-1?f.pop():f[u]=f.pop():f[u]=[r,i]:f.push([r,i]),p?(this.entries=f,this):new Ke(e,this.keyHash,f)},Ye.prototype.get=function(e,t,n,r){return ge(n,this.entry[0])?this.entry[1]:r},Ye.prototype.update=function(e,t,n,r,i,o,a){var s=i===b,l=ge(r,this.entry[0]);return(l?i===this.entry[1]:s)?this:(k(a),s?void k(o):l?e&&e===this.ownerID?(this.entry[1]=i,this):new Ye(e,this.keyHash,[r,i]):(k(o),it(this,e,t,Ae(r),[r,i])))},He.prototype.iterate=Ke.prototype.iterate=function(e,t){for(var n=this.entries,r=0,i=n.length-1;r<=i;r++)if(!1===e(n[t?i-r:r]))return!1},Ve.prototype.iterate=Ge.prototype.iterate=function(e,t){for(var n=this.nodes,r=0,i=n.length-1;r<=i;r++){var o=n[t?i-r:r];if(o&&!1===o.iterate(e,t))return!1}},Ye.prototype.iterate=function(e,t){return e(this.entry)},t(Ze,B),Ze.prototype.next=function(){for(var e=this._type,t=this._stack;t;){var n,r=t.node,i=t.index++;if(r.entry){if(0===i)return Xe(e,r.entry)}else if(r.entries){if(i<=(n=r.entries.length-1))return Xe(e,r.entries[this._reverse?n-i:i])}else if(i<=(n=r.nodes.length-1)){var o=r.nodes[this._reverse?n-i:i];if(o){if(o.entry)return Xe(e,o.entry);t=this._stack=Qe(o,t)}continue}t=this._stack=this._stack.__prev}return{value:void 0,done:!0}};var pt=v/4,ft=v/2,ht=v/4;function mt(e){var t=Ct();if(null==e)return t;if(gt(e))return e;var n=i(e),r=n.size;return 0===r?t:(Be(r),r>0&&r<v?Et(0,r,g,null,new bt(n.toArray())):t.withMutations((function(e){e.setSize(r),n.forEach((function(t,n){return e.set(n,t)}))})))}function gt(e){return!(!e||!e[vt])}t(mt,ke),mt.of=function(){return this(arguments)},mt.prototype.toString=function(){return this.__toString("List [","]")},mt.prototype.get=function(e,t){if((e=A(this,e))>=0&&e<this.size){var n=Tt(this,e+=this._origin);return n&&n.array[e&y]}return t},mt.prototype.set=function(e,t){return function(e,t,n){if((t=A(e,t))!=t)return e;if(t>=e.size||t<0)return e.withMutations((function(e){t<0?Ot(e,t).set(0,n):Ot(e,0,t+1).set(t,n)}));t+=e._origin;var r=e._tail,i=e._root,o=x(_);return t>=Dt(e._capacity)?r=St(r,e.__ownerID,0,t,n,o):i=St(i,e.__ownerID,e._level,t,n,o),o.value?e.__ownerID?(e._root=i,e._tail=r,e.__hash=void 0,e.__altered=!0,e):Et(e._origin,e._capacity,e._level,i,r):e}(this,e,t)},mt.prototype.remove=function(e){return this.has(e)?0===e?this.shift():e===this.size-1?this.pop():this.splice(e,1):this},mt.prototype.insert=function(e,t){return this.splice(e,0,t)},mt.prototype.clear=function(){return 0===this.size?this:this.__ownerID?(this.size=this._origin=this._capacity=0,this._level=g,this._root=this._tail=null,this.__hash=void 0,this.__altered=!0,this):Ct()},mt.prototype.push=function(){var e=arguments,t=this.size;return this.withMutations((function(n){Ot(n,0,t+e.length);for(var r=0;r<e.length;r++)n.set(t+r,e[r])}))},mt.prototype.pop=function(){return Ot(this,0,-1)},mt.prototype.unshift=function(){var e=arguments;return this.withMutations((function(t){Ot(t,-e.length);for(var n=0;n<e.length;n++)t.set(n,e[n])}))},mt.prototype.shift=function(){return Ot(this,1)},mt.prototype.merge=function(){return Pt(this,void 0,arguments)},mt.prototype.mergeWith=function(t){return Pt(this,t,e.call(arguments,1))},mt.prototype.mergeDeep=function(){return Pt(this,at,arguments)},mt.prototype.mergeDeepWith=function(t){var n=e.call(arguments,1);return Pt(this,st(t),n)},mt.prototype.setSize=function(e){return Ot(this,0,e)},mt.prototype.slice=function(e,t){var n=this.size;return O(e,t,n)?this:Ot(this,P(e,n),D(t,n))},mt.prototype.__iterator=function(e,t){var n=0,r=kt(this,t);return new B((function(){var t=r();return t===xt?{value:void 0,done:!0}:z(e,n++,t)}))},mt.prototype.__iterate=function(e,t){for(var n,r=0,i=kt(this,t);(n=i())!==xt&&!1!==e(n,r++,this););return r},mt.prototype.__ensureOwner=function(e){return e===this.__ownerID?this:e?Et(this._origin,this._capacity,this._level,this._root,this._tail,e,this.__hash):(this.__ownerID=e,this)},mt.isList=gt;var vt="@@__IMMUTABLE_LIST__@@",yt=mt.prototype;function bt(e,t){this.array=e,this.ownerID=t}yt[vt]=!0,yt[m]=yt.remove,yt.setIn=We.setIn,yt.deleteIn=yt.removeIn=We.removeIn,yt.update=We.update,yt.updateIn=We.updateIn,yt.mergeIn=We.mergeIn,yt.mergeDeepIn=We.mergeDeepIn,yt.withMutations=We.withMutations,yt.asMutable=We.asMutable,yt.asImmutable=We.asImmutable,yt.wasAltered=We.wasAltered,bt.prototype.removeBefore=function(e,t,n){if(n===t?1<<t:0===this.array.length)return this;var r=n>>>t&y;if(r>=this.array.length)return new bt([],e);var i,o=0===r;if(t>0){var a=this.array[r];if((i=a&&a.removeBefore(e,t-g,n))===a&&o)return this}if(o&&!i)return this;var s=At(this,e);if(!o)for(var l=0;l<r;l++)s.array[l]=void 0;return i&&(s.array[r]=i),s},bt.prototype.removeAfter=function(e,t,n){if(n===(t?1<<t:0)||0===this.array.length)return this;var r,i=n-1>>>t&y;if(i>=this.array.length)return this;if(t>0){var o=this.array[i];if((r=o&&o.removeAfter(e,t-g,n))===o&&i===this.array.length-1)return this}var a=At(this,e);return a.array.splice(i+1),r&&(a.array[i]=r),a};var wt,_t,xt={};function kt(e,t){var n=e._origin,r=e._capacity,i=Dt(r),o=e._tail;return a(e._root,e._level,0);function a(e,s,l){return 0===s?function(e,a){var s=a===i?o&&o.array:e&&e.array,l=a>n?0:n-a,u=r-a;return u>v&&(u=v),function(){if(l===u)return xt;var e=t?--u:l++;return s&&s[e]}}(e,l):function(e,i,o){var s,l=e&&e.array,u=o>n?0:n-o>>i,c=1+(r-o>>i);return c>v&&(c=v),function(){for(;;){if(s){var e=s();if(e!==xt)return e;s=null}if(u===c)return xt;var n=t?--c:u++;s=a(l&&l[n],i-g,o+(n<<i))}}}(e,s,l)}}function Et(e,t,n,r,i,o,a){var s=Object.create(yt);return s.size=t-e,s._origin=e,s._capacity=t,s._level=n,s._root=r,s._tail=i,s.__ownerID=o,s.__hash=a,s.__altered=!1,s}function Ct(){return wt||(wt=Et(0,0,g))}function St(e,t,n,r,i,o){var a,s=r>>>n&y,l=e&&s<e.array.length;if(!l&&void 0===i)return e;if(n>0){var u=e&&e.array[s],c=St(u,t,n-g,r,i,o);return c===u?e:((a=At(e,t)).array[s]=c,a)}return l&&e.array[s]===i?e:(k(o),a=At(e,t),void 0===i&&s===a.array.length-1?a.array.pop():a.array[s]=i,a)}function At(e,t){return t&&e&&t===e.ownerID?e:new bt(e?e.array.slice():[],t)}function Tt(e,t){if(t>=Dt(e._capacity))return e._tail;if(t<1<<e._level+g){for(var n=e._root,r=e._level;n&&r>0;)n=n.array[t>>>r&y],r-=g;return n}}function Ot(e,t,n){void 0!==t&&(t|=0),void 0!==n&&(n|=0);var r=e.__ownerID||new E,i=e._origin,o=e._capacity,a=i+t,s=void 0===n?o:n<0?o+n:i+n;if(a===i&&s===o)return e;if(a>=s)return e.clear();for(var l=e._level,u=e._root,c=0;a+c<0;)u=new bt(u&&u.array.length?[void 0,u]:[],r),c+=1<<(l+=g);c&&(a+=c,i+=c,s+=c,o+=c);for(var d=Dt(o),p=Dt(s);p>=1<<l+g;)u=new bt(u&&u.array.length?[u]:[],r),l+=g;var f=e._tail,h=p<d?Tt(e,s-1):p>d?new bt([],r):f;if(f&&p>d&&a<o&&f.array.length){for(var m=u=At(u,r),v=l;v>g;v-=g){var b=d>>>v&y;m=m.array[b]=At(m.array[b],r)}m.array[d>>>g&y]=f}if(s<o&&(h=h&&h.removeAfter(r,0,s)),a>=p)a-=p,s-=p,l=g,u=null,h=h&&h.removeBefore(r,0,a);else if(a>i||p<d){for(c=0;u;){var w=a>>>l&y;if(w!==p>>>l&y)break;w&&(c+=(1<<l)*w),l-=g,u=u.array[w]}u&&a>i&&(u=u.removeBefore(r,l,a-c)),u&&p<d&&(u=u.removeAfter(r,l,p-c)),c&&(a-=c,s-=c)}return e.__ownerID?(e.size=s-a,e._origin=a,e._capacity=s,e._level=l,e._root=u,e._tail=h,e.__hash=void 0,e.__altered=!0,e):Et(a,s,l,u,h)}function Pt(e,t,n){for(var r=[],o=0,s=0;s<n.length;s++){var l=n[s],u=i(l);u.size>o&&(o=u.size),a(l)||(u=u.map((function(e){return pe(e)}))),r.push(u)}return o>e.size&&(e=e.setSize(o)),lt(e,t,r)}function Dt(e){return e<v?0:e-1>>>g<<g}function Rt(e){return null==e?Lt():It(e)?e:Lt().withMutations((function(t){var n=r(e);Be(n.size),n.forEach((function(e,n){return t.set(n,e)}))}))}function It(e){return Ue(e)&&c(e)}function Mt(e,t,n,r){var i=Object.create(Rt.prototype);return i.size=e?e.size:0,i._map=e,i._list=t,i.__ownerID=n,i.__hash=r,i}function Lt(){return _t||(_t=Mt(et(),Ct()))}function Nt(e,t,n){var r,i,o=e._map,a=e._list,s=o.get(t),l=void 0!==s;if(n===b){if(!l)return e;a.size>=v&&a.size>=2*o.size?(r=(i=a.filter((function(e,t){return void 0!==e&&s!==t}))).toKeyedSeq().map((function(e){return e[0]})).flip().toMap(),e.__ownerID&&(r.__ownerID=i.__ownerID=e.__ownerID)):(r=o.remove(t),i=s===a.size-1?a.pop():a.set(s,void 0))}else if(l){if(n===a.get(s)[1])return e;r=o,i=a.set(s,[t,n])}else r=o.set(t,a.size),i=a.set(a.size,[t,n]);return e.__ownerID?(e.size=r.size,e._map=r,e._list=i,e.__hash=void 0,e):Mt(r,i)}function jt(e,t){this._iter=e,this._useKeys=t,this.size=e.size}function Ft(e){this._iter=e,this.size=e.size}function Bt(e){this._iter=e,this.size=e.size}function zt(e){this._iter=e,this.size=e.size}function Ut(e){var t=nn(e);return t._iter=e,t.size=e.size,t.flip=function(){return e},t.reverse=function(){var t=e.reverse.apply(this);return t.flip=function(){return e.reverse()},t},t.has=function(t){return e.includes(t)},t.includes=function(t){return e.has(t)},t.cacheResult=rn,t.__iterateUncached=function(t,n){var r=this;return e.__iterate((function(e,n){return!1!==t(n,e,r)}),n)},t.__iteratorUncached=function(t,n){if(t===L){var r=e.__iterator(t,n);return new B((function(){var e=r.next();if(!e.done){var t=e.value[0];e.value[0]=e.value[1],e.value[1]=t}return e}))}return e.__iterator(t===M?I:M,n)},t}function qt(e,t,n){var r=nn(e);return r.size=e.size,r.has=function(t){return e.has(t)},r.get=function(r,i){var o=e.get(r,b);return o===b?i:t.call(n,o,r,e)},r.__iterateUncached=function(r,i){var o=this;return e.__iterate((function(e,i,a){return!1!==r(t.call(n,e,i,a),i,o)}),i)},r.__iteratorUncached=function(r,i){var o=e.__iterator(L,i);return new B((function(){var i=o.next();if(i.done)return i;var a=i.value,s=a[0];return z(r,s,t.call(n,a[1],s,e),i)}))},r}function $t(e,t){var n=nn(e);return n._iter=e,n.size=e.size,n.reverse=function(){return e},e.flip&&(n.flip=function(){var t=Ut(e);return t.reverse=function(){return e.flip()},t}),n.get=function(n,r){return e.get(t?n:-1-n,r)},n.has=function(n){return e.has(t?n:-1-n)},n.includes=function(t){return e.includes(t)},n.cacheResult=rn,n.__iterate=function(t,n){var r=this;return e.__iterate((function(e,n){return t(e,n,r)}),!n)},n.__iterator=function(t,n){return e.__iterator(t,!n)},n}function Wt(e,t,n,r){var i=nn(e);return r&&(i.has=function(r){var i=e.get(r,b);return i!==b&&!!t.call(n,i,r,e)},i.get=function(r,i){var o=e.get(r,b);return o!==b&&t.call(n,o,r,e)?o:i}),i.__iterateUncached=function(i,o){var a=this,s=0;return e.__iterate((function(e,o,l){if(t.call(n,e,o,l))return s++,i(e,r?o:s-1,a)}),o),s},i.__iteratorUncached=function(i,o){var a=e.__iterator(L,o),s=0;return new B((function(){for(;;){var o=a.next();if(o.done)return o;var l=o.value,u=l[0],c=l[1];if(t.call(n,c,u,e))return z(i,r?u:s++,c,o)}}))},i}function Ht(e,t,n,r){var i=e.size;if(void 0!==t&&(t|=0),void 0!==n&&(n===1/0?n=i:n|=0),O(t,n,i))return e;var o=P(t,i),a=D(n,i);if(o!=o||a!=a)return Ht(e.toSeq().cacheResult(),t,n,r);var s,l=a-o;l==l&&(s=l<0?0:l);var u=nn(e);return u.size=0===s?s:e.size&&s||void 0,!r&&oe(e)&&s>=0&&(u.get=function(t,n){return(t=A(this,t))>=0&&t<s?e.get(t+o,n):n}),u.__iterateUncached=function(t,n){var i=this;if(0===s)return 0;if(n)return this.cacheResult().__iterate(t,n);var a=0,l=!0,u=0;return e.__iterate((function(e,n){if(!l||!(l=a++<o))return u++,!1!==t(e,r?n:u-1,i)&&u!==s})),u},u.__iteratorUncached=function(t,n){if(0!==s&&n)return this.cacheResult().__iterator(t,n);var i=0!==s&&e.__iterator(t,n),a=0,l=0;return new B((function(){for(;a++<o;)i.next();if(++l>s)return{value:void 0,done:!0};var e=i.next();return r||t===M?e:z(t,l-1,t===I?void 0:e.value[1],e)}))},u}function Vt(e,t,n,r){var i=nn(e);return i.__iterateUncached=function(i,o){var a=this;if(o)return this.cacheResult().__iterate(i,o);var s=!0,l=0;return e.__iterate((function(e,o,u){if(!s||!(s=t.call(n,e,o,u)))return l++,i(e,r?o:l-1,a)})),l},i.__iteratorUncached=function(i,o){var a=this;if(o)return this.cacheResult().__iterator(i,o);var s=e.__iterator(L,o),l=!0,u=0;return new B((function(){var e,o,c;do{if((e=s.next()).done)return r||i===M?e:z(i,u++,i===I?void 0:e.value[1],e);var d=e.value;o=d[0],c=d[1],l&&(l=t.call(n,c,o,a))}while(l);return i===L?e:z(i,o,c,e)}))},i}function Gt(e,t,n){var r=nn(e);return r.__iterateUncached=function(r,i){var o=0,s=!1;return function e(l,u){var c=this;l.__iterate((function(i,l){return(!t||u<t)&&a(i)?e(i,u+1):!1===r(i,n?l:o++,c)&&(s=!0),!s}),i)}(e,0),o},r.__iteratorUncached=function(r,i){var o=e.__iterator(r,i),s=[],l=0;return new B((function(){for(;o;){var e=o.next();if(!1===e.done){var u=e.value;if(r===L&&(u=u[1]),t&&!(s.length<t)||!a(u))return n?e:z(r,l++,u,e);s.push(o),o=u.__iterator(r,i)}else o=s.pop()}return{value:void 0,done:!0}}))},r}function Kt(e,t,n){t||(t=on);var r=s(e),i=0,o=e.toSeq().map((function(t,r){return[r,t,i++,n?n(t,r,e):t]})).toArray();return o.sort((function(e,n){return t(e[3],n[3])||e[2]-n[2]})).forEach(r?function(e,t){o[t].length=2}:function(e,t){o[t]=e[1]}),r?K(o):l(e)?Y(o):Z(o)}function Yt(e,t,n){if(t||(t=on),n){var r=e.toSeq().map((function(t,r){return[t,n(t,r,e)]})).reduce((function(e,n){return Zt(t,e[1],n[1])?n:e}));return r&&r[0]}return e.reduce((function(e,n){return Zt(t,e,n)?n:e}))}function Zt(e,t,n){var r=e(n,t);return 0===r&&n!==t&&(null==n||n!=n)||r>0}function Xt(e,t,r){var i=nn(e);return i.size=new te(r).map((function(e){return e.size})).min(),i.__iterate=function(e,t){for(var n,r=this.__iterator(M,t),i=0;!(n=r.next()).done&&!1!==e(n.value,i++,this););return i},i.__iteratorUncached=function(e,i){var o=r.map((function(e){return e=n(e),W(i?e.reverse():e)})),a=0,s=!1;return new B((function(){var n;return s||(n=o.map((function(e){return e.next()})),s=n.some((function(e){return e.done}))),s?{value:void 0,done:!0}:z(e,a++,t.apply(null,n.map((function(e){return e.value}))))}))},i}function Qt(e,t){return oe(e)?t:e.constructor(t)}function Jt(e){if(e!==Object(e))throw new TypeError("Expected [K, V] tuple: "+e)}function en(e){return Be(e.size),S(e)}function tn(e){return s(e)?r:l(e)?i:o}function nn(e){return Object.create((s(e)?K:l(e)?Y:Z).prototype)}function rn(){return this._iter.cacheResult?(this._iter.cacheResult(),this.size=this._iter.size,this):G.prototype.cacheResult.call(this)}function on(e,t){return e>t?1:e<t?-1:0}function an(e){var t=W(e);if(!t){if(!V(e))throw new TypeError("Expected iterable or array-like: "+e);t=W(n(e))}return t}function sn(e,t){var n,r=function(o){if(o instanceof r)return o;if(!(this instanceof r))return new r(o);if(!n){n=!0;var a=Object.keys(e);(function(e,t){try{t.forEach(dn.bind(void 0,e))}catch(e){}})(i,a),i.size=a.length,i._name=t,i._keys=a,i._defaultValues=e}this._map=ze(o)},i=r.prototype=Object.create(ln);return i.constructor=r,r}t(Rt,ze),Rt.of=function(){return this(arguments)},Rt.prototype.toString=function(){return this.__toString("OrderedMap {","}")},Rt.prototype.get=function(e,t){var n=this._map.get(e);return void 0!==n?this._list.get(n)[1]:t},Rt.prototype.clear=function(){return 0===this.size?this:this.__ownerID?(this.size=0,this._map.clear(),this._list.clear(),this):Lt()},Rt.prototype.set=function(e,t){return Nt(this,e,t)},Rt.prototype.remove=function(e){return Nt(this,e,b)},Rt.prototype.wasAltered=function(){return this._map.wasAltered()||this._list.wasAltered()},Rt.prototype.__iterate=function(e,t){var n=this;return this._list.__iterate((function(t){return t&&e(t[1],t[0],n)}),t)},Rt.prototype.__iterator=function(e,t){return this._list.fromEntrySeq().__iterator(e,t)},Rt.prototype.__ensureOwner=function(e){if(e===this.__ownerID)return this;var t=this._map.__ensureOwner(e),n=this._list.__ensureOwner(e);return e?Mt(t,n,e,this.__hash):(this.__ownerID=e,this._map=t,this._list=n,this)},Rt.isOrderedMap=It,Rt.prototype[h]=!0,Rt.prototype[m]=Rt.prototype.remove,t(jt,K),jt.prototype.get=function(e,t){return this._iter.get(e,t)},jt.prototype.has=function(e){return this._iter.has(e)},jt.prototype.valueSeq=function(){return this._iter.valueSeq()},jt.prototype.reverse=function(){var e=this,t=$t(this,!0);return this._useKeys||(t.valueSeq=function(){return e._iter.toSeq().reverse()}),t},jt.prototype.map=function(e,t){var n=this,r=qt(this,e,t);return this._useKeys||(r.valueSeq=function(){return n._iter.toSeq().map(e,t)}),r},jt.prototype.__iterate=function(e,t){var n,r=this;return this._iter.__iterate(this._useKeys?function(t,n){return e(t,n,r)}:(n=t?en(this):0,function(i){return e(i,t?--n:n++,r)}),t)},jt.prototype.__iterator=function(e,t){if(this._useKeys)return this._iter.__iterator(e,t);var n=this._iter.__iterator(M,t),r=t?en(this):0;return new B((function(){var i=n.next();return i.done?i:z(e,t?--r:r++,i.value,i)}))},jt.prototype[h]=!0,t(Ft,Y),Ft.prototype.includes=function(e){return this._iter.includes(e)},Ft.prototype.__iterate=function(e,t){var n=this,r=0;return this._iter.__iterate((function(t){return e(t,r++,n)}),t)},Ft.prototype.__iterator=function(e,t){var n=this._iter.__iterator(M,t),r=0;return new B((function(){var t=n.next();return t.done?t:z(e,r++,t.value,t)}))},t(Bt,Z),Bt.prototype.has=function(e){return this._iter.includes(e)},Bt.prototype.__iterate=function(e,t){var n=this;return this._iter.__iterate((function(t){return e(t,t,n)}),t)},Bt.prototype.__iterator=function(e,t){var n=this._iter.__iterator(M,t);return new B((function(){var t=n.next();return t.done?t:z(e,t.value,t.value,t)}))},t(zt,K),zt.prototype.entrySeq=function(){return this._iter.toSeq()},zt.prototype.__iterate=function(e,t){var n=this;return this._iter.__iterate((function(t){if(t){Jt(t);var r=a(t);return e(r?t.get(1):t[1],r?t.get(0):t[0],n)}}),t)},zt.prototype.__iterator=function(e,t){var n=this._iter.__iterator(M,t);return new B((function(){for(;;){var t=n.next();if(t.done)return t;var r=t.value;if(r){Jt(r);var i=a(r);return z(e,i?r.get(0):r[0],i?r.get(1):r[1],t)}}}))},Ft.prototype.cacheResult=jt.prototype.cacheResult=Bt.prototype.cacheResult=zt.prototype.cacheResult=rn,t(sn,xe),sn.prototype.toString=function(){return this.__toString(cn(this)+" {","}")},sn.prototype.has=function(e){return this._defaultValues.hasOwnProperty(e)},sn.prototype.get=function(e,t){if(!this.has(e))return t;var n=this._defaultValues[e];return this._map?this._map.get(e,n):n},sn.prototype.clear=function(){if(this.__ownerID)return this._map&&this._map.clear(),this;var e=this.constructor;return e._empty||(e._empty=un(this,et()))},sn.prototype.set=function(e,t){if(!this.has(e))throw new Error('Cannot set unknown key "'+e+'" on '+cn(this));if(this._map&&!this._map.has(e)&&t===this._defaultValues[e])return this;var n=this._map&&this._map.set(e,t);return this.__ownerID||n===this._map?this:un(this,n)},sn.prototype.remove=function(e){if(!this.has(e))return this;var t=this._map&&this._map.remove(e);return this.__ownerID||t===this._map?this:un(this,t)},sn.prototype.wasAltered=function(){return this._map.wasAltered()},sn.prototype.__iterator=function(e,t){var n=this;return r(this._defaultValues).map((function(e,t){return n.get(t)})).__iterator(e,t)},sn.prototype.__iterate=function(e,t){var n=this;return r(this._defaultValues).map((function(e,t){return n.get(t)})).__iterate(e,t)},sn.prototype.__ensureOwner=function(e){if(e===this.__ownerID)return this;var t=this._map&&this._map.__ensureOwner(e);return e?un(this,t,e):(this.__ownerID=e,this._map=t,this)};var ln=sn.prototype;function un(e,t,n){var r=Object.create(Object.getPrototypeOf(e));return r._map=t,r.__ownerID=n,r}function cn(e){return e._name||e.constructor.name||"Record"}function dn(e,t){Object.defineProperty(e,t,{get:function(){return this.get(t)},set:function(e){be(this.__ownerID,"Cannot set on an immutable record."),this.set(t,e)}})}function pn(e){return null==e?bn():fn(e)&&!c(e)?e:bn().withMutations((function(t){var n=o(e);Be(n.size),n.forEach((function(e){return t.add(e)}))}))}function fn(e){return!(!e||!e[mn])}ln[m]=ln.remove,ln.deleteIn=ln.removeIn=We.removeIn,ln.merge=We.merge,ln.mergeWith=We.mergeWith,ln.mergeIn=We.mergeIn,ln.mergeDeep=We.mergeDeep,ln.mergeDeepWith=We.mergeDeepWith,ln.mergeDeepIn=We.mergeDeepIn,ln.setIn=We.setIn,ln.update=We.update,ln.updateIn=We.updateIn,ln.withMutations=We.withMutations,ln.asMutable=We.asMutable,ln.asImmutable=We.asImmutable,t(pn,Ee),pn.of=function(){return this(arguments)},pn.fromKeys=function(e){return this(r(e).keySeq())},pn.prototype.toString=function(){return this.__toString("Set {","}")},pn.prototype.has=function(e){return this._map.has(e)},pn.prototype.add=function(e){return vn(this,this._map.set(e,!0))},pn.prototype.remove=function(e){return vn(this,this._map.remove(e))},pn.prototype.clear=function(){return vn(this,this._map.clear())},pn.prototype.union=function(){var t=e.call(arguments,0);return 0===(t=t.filter((function(e){return 0!==e.size}))).length?this:0!==this.size||this.__ownerID||1!==t.length?this.withMutations((function(e){for(var n=0;n<t.length;n++)o(t[n]).forEach((function(t){return e.add(t)}))})):this.constructor(t[0])},pn.prototype.intersect=function(){var t=e.call(arguments,0);if(0===t.length)return this;t=t.map((function(e){return o(e)}));var n=this;return this.withMutations((function(e){n.forEach((function(n){t.every((function(e){return e.includes(n)}))||e.remove(n)}))}))},pn.prototype.subtract=function(){var t=e.call(arguments,0);if(0===t.length)return this;t=t.map((function(e){return o(e)}));var n=this;return this.withMutations((function(e){n.forEach((function(n){t.some((function(e){return e.includes(n)}))&&e.remove(n)}))}))},pn.prototype.merge=function(){return this.union.apply(this,arguments)},pn.prototype.mergeWith=function(t){var n=e.call(arguments,1);return this.union.apply(this,n)},pn.prototype.sort=function(e){return wn(Kt(this,e))},pn.prototype.sortBy=function(e,t){return wn(Kt(this,t,e))},pn.prototype.wasAltered=function(){return this._map.wasAltered()},pn.prototype.__iterate=function(e,t){var n=this;return this._map.__iterate((function(t,r){return e(r,r,n)}),t)},pn.prototype.__iterator=function(e,t){return this._map.map((function(e,t){return t})).__iterator(e,t)},pn.prototype.__ensureOwner=function(e){if(e===this.__ownerID)return this;var t=this._map.__ensureOwner(e);return e?this.__make(t,e):(this.__ownerID=e,this._map=t,this)},pn.isSet=fn;var hn,mn="@@__IMMUTABLE_SET__@@",gn=pn.prototype;function vn(e,t){return e.__ownerID?(e.size=t.size,e._map=t,e):t===e._map?e:0===t.size?e.__empty():e.__make(t)}function yn(e,t){var n=Object.create(gn);return n.size=e?e.size:0,n._map=e,n.__ownerID=t,n}function bn(){return hn||(hn=yn(et()))}function wn(e){return null==e?Cn():_n(e)?e:Cn().withMutations((function(t){var n=o(e);Be(n.size),n.forEach((function(e){return t.add(e)}))}))}function _n(e){return fn(e)&&c(e)}gn[mn]=!0,gn[m]=gn.remove,gn.mergeDeep=gn.merge,gn.mergeDeepWith=gn.mergeWith,gn.withMutations=We.withMutations,gn.asMutable=We.asMutable,gn.asImmutable=We.asImmutable,gn.__empty=bn,gn.__make=yn,t(wn,pn),wn.of=function(){return this(arguments)},wn.fromKeys=function(e){return this(r(e).keySeq())},wn.prototype.toString=function(){return this.__toString("OrderedSet {","}")},wn.isOrderedSet=_n;var xn,kn=wn.prototype;function En(e,t){var n=Object.create(kn);return n.size=e?e.size:0,n._map=e,n.__ownerID=t,n}function Cn(){return xn||(xn=En(Lt()))}function Sn(e){return null==e?Rn():An(e)?e:Rn().unshiftAll(e)}function An(e){return!(!e||!e[On])}kn[h]=!0,kn.__empty=Cn,kn.__make=En,t(Sn,ke),Sn.of=function(){return this(arguments)},Sn.prototype.toString=function(){return this.__toString("Stack [","]")},Sn.prototype.get=function(e,t){var n=this._head;for(e=A(this,e);n&&e--;)n=n.next;return n?n.value:t},Sn.prototype.peek=function(){return this._head&&this._head.value},Sn.prototype.push=function(){if(0===arguments.length)return this;for(var e=this.size+arguments.length,t=this._head,n=arguments.length-1;n>=0;n--)t={value:arguments[n],next:t};return this.__ownerID?(this.size=e,this._head=t,this.__hash=void 0,this.__altered=!0,this):Dn(e,t)},Sn.prototype.pushAll=function(e){if(0===(e=i(e)).size)return this;Be(e.size);var t=this.size,n=this._head;return e.reverse().forEach((function(e){t++,n={value:e,next:n}})),this.__ownerID?(this.size=t,this._head=n,this.__hash=void 0,this.__altered=!0,this):Dn(t,n)},Sn.prototype.pop=function(){return this.slice(1)},Sn.prototype.unshift=function(){return this.push.apply(this,arguments)},Sn.prototype.unshiftAll=function(e){return this.pushAll(e)},Sn.prototype.shift=function(){return this.pop.apply(this,arguments)},Sn.prototype.clear=function(){return 0===this.size?this:this.__ownerID?(this.size=0,this._head=void 0,this.__hash=void 0,this.__altered=!0,this):Rn()},Sn.prototype.slice=function(e,t){if(O(e,t,this.size))return this;var n=P(e,this.size);if(D(t,this.size)!==this.size)return ke.prototype.slice.call(this,e,t);for(var r=this.size-n,i=this._head;n--;)i=i.next;return this.__ownerID?(this.size=r,this._head=i,this.__hash=void 0,this.__altered=!0,this):Dn(r,i)},Sn.prototype.__ensureOwner=function(e){return e===this.__ownerID?this:e?Dn(this.size,this._head,e,this.__hash):(this.__ownerID=e,this.__altered=!1,this)},Sn.prototype.__iterate=function(e,t){if(t)return this.reverse().__iterate(e);for(var n=0,r=this._head;r&&!1!==e(r.value,n++,this);)r=r.next;return n},Sn.prototype.__iterator=function(e,t){if(t)return this.reverse().__iterator(e);var n=0,r=this._head;return new B((function(){if(r){var t=r.value;return r=r.next,z(e,n++,t)}return{value:void 0,done:!0}}))},Sn.isStack=An;var Tn,On="@@__IMMUTABLE_STACK__@@",Pn=Sn.prototype;function Dn(e,t,n,r){var i=Object.create(Pn);return i.size=e,i._head=t,i.__ownerID=n,i.__hash=r,i.__altered=!1,i}function Rn(){return Tn||(Tn=Dn(0))}function In(e,t){var n=function(n){e.prototype[n]=t[n]};return Object.keys(t).forEach(n),Object.getOwnPropertySymbols&&Object.getOwnPropertySymbols(t).forEach(n),e}Pn[On]=!0,Pn.withMutations=We.withMutations,Pn.asMutable=We.asMutable,Pn.asImmutable=We.asImmutable,Pn.wasAltered=We.wasAltered,n.Iterator=B,In(n,{toArray:function(){Be(this.size);var e=new Array(this.size||0);return this.valueSeq().__iterate((function(t,n){e[n]=t})),e},toIndexedSeq:function(){return new Ft(this)},toJS:function(){return this.toSeq().map((function(e){return e&&"function"==typeof e.toJS?e.toJS():e})).__toJS()},toJSON:function(){return this.toSeq().map((function(e){return e&&"function"==typeof e.toJSON?e.toJSON():e})).__toJS()},toKeyedSeq:function(){return new jt(this,!0)},toMap:function(){return ze(this.toKeyedSeq())},toObject:function(){Be(this.size);var e={};return this.__iterate((function(t,n){e[n]=t})),e},toOrderedMap:function(){return Rt(this.toKeyedSeq())},toOrderedSet:function(){return wn(s(this)?this.valueSeq():this)},toSet:function(){return pn(s(this)?this.valueSeq():this)},toSetSeq:function(){return new Bt(this)},toSeq:function(){return l(this)?this.toIndexedSeq():s(this)?this.toKeyedSeq():this.toSetSeq()},toStack:function(){return Sn(s(this)?this.valueSeq():this)},toList:function(){return mt(s(this)?this.valueSeq():this)},toString:function(){return"[Iterable]"},__toString:function(e,t){return 0===this.size?e+t:e+" "+this.toSeq().map(this.__toStringMapper).join(", ")+" "+t},concat:function(){return Qt(this,function(e,t){var n=s(e),i=[e].concat(t).map((function(e){return a(e)?n&&(e=r(e)):e=n?se(e):le(Array.isArray(e)?e:[e]),e})).filter((function(e){return 0!==e.size}));if(0===i.length)return e;if(1===i.length){var o=i[0];if(o===e||n&&s(o)||l(e)&&l(o))return o}var u=new te(i);return n?u=u.toKeyedSeq():l(e)||(u=u.toSetSeq()),(u=u.flatten(!0)).size=i.reduce((function(e,t){if(void 0!==e){var n=t.size;if(void 0!==n)return e+n}}),0),u}(this,e.call(arguments,0)))},includes:function(e){return this.some((function(t){return ge(t,e)}))},entries:function(){return this.__iterator(L)},every:function(e,t){Be(this.size);var n=!0;return this.__iterate((function(r,i,o){if(!e.call(t,r,i,o))return n=!1,!1})),n},filter:function(e,t){return Qt(this,Wt(this,e,t,!0))},find:function(e,t,n){var r=this.findEntry(e,t);return r?r[1]:n},forEach:function(e,t){return Be(this.size),this.__iterate(t?e.bind(t):e)},join:function(e){Be(this.size),e=void 0!==e?""+e:",";var t="",n=!0;return this.__iterate((function(r){n?n=!1:t+=e,t+=null!=r?r.toString():""})),t},keys:function(){return this.__iterator(I)},map:function(e,t){return Qt(this,qt(this,e,t))},reduce:function(e,t,n){var r,i;return Be(this.size),arguments.length<2?i=!0:r=t,this.__iterate((function(t,o,a){i?(i=!1,r=t):r=e.call(n,r,t,o,a)})),r},reduceRight:function(e,t,n){var r=this.toKeyedSeq().reverse();return r.reduce.apply(r,arguments)},reverse:function(){return Qt(this,$t(this,!0))},slice:function(e,t){return Qt(this,Ht(this,e,t,!0))},some:function(e,t){return!this.every(Fn(e),t)},sort:function(e){return Qt(this,Kt(this,e))},values:function(){return this.__iterator(M)},butLast:function(){return this.slice(0,-1)},isEmpty:function(){return void 0!==this.size?0===this.size:!this.some((function(){return!0}))},count:function(e,t){return S(e?this.toSeq().filter(e,t):this)},countBy:function(e,t){return function(e,t,n){var r=ze().asMutable();return e.__iterate((function(i,o){r.update(t.call(n,i,o,e),0,(function(e){return e+1}))})),r.asImmutable()}(this,e,t)},equals:function(e){return ve(this,e)},entrySeq:function(){var e=this;if(e._cache)return new te(e._cache);var t=e.toSeq().map(jn).toIndexedSeq();return t.fromEntrySeq=function(){return e.toSeq()},t},filterNot:function(e,t){return this.filter(Fn(e),t)},findEntry:function(e,t,n){var r=n;return this.__iterate((function(n,i,o){if(e.call(t,n,i,o))return r=[i,n],!1})),r},findKey:function(e,t){var n=this.findEntry(e,t);return n&&n[0]},findLast:function(e,t,n){return this.toKeyedSeq().reverse().find(e,t,n)},findLastEntry:function(e,t,n){return this.toKeyedSeq().reverse().findEntry(e,t,n)},findLastKey:function(e,t){return this.toKeyedSeq().reverse().findKey(e,t)},first:function(){return this.find(T)},flatMap:function(e,t){return Qt(this,function(e,t,n){var r=tn(e);return e.toSeq().map((function(i,o){return r(t.call(n,i,o,e))})).flatten(!0)}(this,e,t))},flatten:function(e){return Qt(this,Gt(this,e,!0))},fromEntrySeq:function(){return new zt(this)},get:function(e,t){return this.find((function(t,n){return ge(n,e)}),void 0,t)},getIn:function(e,t){for(var n,r=this,i=an(e);!(n=i.next()).done;){var o=n.value;if((r=r&&r.get?r.get(o,b):b)===b)return t}return r},groupBy:function(e,t){return function(e,t,n){var r=s(e),i=(c(e)?Rt():ze()).asMutable();e.__iterate((function(o,a){i.update(t.call(n,o,a,e),(function(e){return(e=e||[]).push(r?[a,o]:o),e}))}));var o=tn(e);return i.map((function(t){return Qt(e,o(t))}))}(this,e,t)},has:function(e){return this.get(e,b)!==b},hasIn:function(e){return this.getIn(e,b)!==b},isSubset:function(e){return e="function"==typeof e.includes?e:n(e),this.every((function(t){return e.includes(t)}))},isSuperset:function(e){return(e="function"==typeof e.isSubset?e:n(e)).isSubset(this)},keyOf:function(e){return this.findKey((function(t){return ge(t,e)}))},keySeq:function(){return this.toSeq().map(Nn).toIndexedSeq()},last:function(){return this.toSeq().reverse().first()},lastKeyOf:function(e){return this.toKeyedSeq().reverse().keyOf(e)},max:function(e){return Yt(this,e)},maxBy:function(e,t){return Yt(this,t,e)},min:function(e){return Yt(this,e?Bn(e):qn)},minBy:function(e,t){return Yt(this,t?Bn(t):qn,e)},rest:function(){return this.slice(1)},skip:function(e){return this.slice(Math.max(0,e))},skipLast:function(e){return Qt(this,this.toSeq().reverse().skip(e).reverse())},skipWhile:function(e,t){return Qt(this,Vt(this,e,t,!0))},skipUntil:function(e,t){return this.skipWhile(Fn(e),t)},sortBy:function(e,t){return Qt(this,Kt(this,t,e))},take:function(e){return this.slice(0,Math.max(0,e))},takeLast:function(e){return Qt(this,this.toSeq().reverse().take(e).reverse())},takeWhile:function(e,t){return Qt(this,function(e,t,n){var r=nn(e);return r.__iterateUncached=function(r,i){var o=this;if(i)return this.cacheResult().__iterate(r,i);var a=0;return e.__iterate((function(e,i,s){return t.call(n,e,i,s)&&++a&&r(e,i,o)})),a},r.__iteratorUncached=function(r,i){var o=this;if(i)return this.cacheResult().__iterator(r,i);var a=e.__iterator(L,i),s=!0;return new B((function(){if(!s)return{value:void 0,done:!0};var e=a.next();if(e.done)return e;var i=e.value,l=i[0],u=i[1];return t.call(n,u,l,o)?r===L?e:z(r,l,u,e):(s=!1,{value:void 0,done:!0})}))},r}(this,e,t))},takeUntil:function(e,t){return this.takeWhile(Fn(e),t)},valueSeq:function(){return this.toIndexedSeq()},hashCode:function(){return this.__hash||(this.__hash=function(e){if(e.size===1/0)return 0;var t=c(e),n=s(e),r=t?1:0;return function(e,t){return t=Ce(t,3432918353),t=Ce(t<<15|t>>>-15,461845907),t=Ce(t<<13|t>>>-13,5),t=Ce((t=(t+3864292196|0)^e)^t>>>16,2246822507),Se((t=Ce(t^t>>>13,3266489909))^t>>>16)}(e.__iterate(n?t?function(e,t){r=31*r+$n(Ae(e),Ae(t))|0}:function(e,t){r=r+$n(Ae(e),Ae(t))|0}:t?function(e){r=31*r+Ae(e)|0}:function(e){r=r+Ae(e)|0}),r)}(this))}});var Mn=n.prototype;Mn[d]=!0,Mn[F]=Mn.values,Mn.__toJS=Mn.toArray,Mn.__toStringMapper=zn,Mn.inspect=Mn.toSource=function(){return this.toString()},Mn.chain=Mn.flatMap,Mn.contains=Mn.includes,In(r,{flip:function(){return Qt(this,Ut(this))},mapEntries:function(e,t){var n=this,r=0;return Qt(this,this.toSeq().map((function(i,o){return e.call(t,[o,i],r++,n)})).fromEntrySeq())},mapKeys:function(e,t){var n=this;return Qt(this,this.toSeq().flip().map((function(r,i){return e.call(t,r,i,n)})).flip())}});var Ln=r.prototype;function Nn(e,t){return t}function jn(e,t){return[t,e]}function Fn(e){return function(){return!e.apply(this,arguments)}}function Bn(e){return function(){return-e.apply(this,arguments)}}function zn(e){return"string"==typeof e?JSON.stringify(e):String(e)}function Un(){return C(arguments)}function qn(e,t){return e<t?1:e>t?-1:0}function $n(e,t){return e^t+2654435769+(e<<6)+(e>>2)|0}return Ln[p]=!0,Ln[F]=Mn.entries,Ln.__toJS=Mn.toObject,Ln.__toStringMapper=function(e,t){return JSON.stringify(t)+": "+zn(e)},In(i,{toKeyedSeq:function(){return new jt(this,!1)},filter:function(e,t){return Qt(this,Wt(this,e,t,!1))},findIndex:function(e,t){var n=this.findEntry(e,t);return n?n[0]:-1},indexOf:function(e){var t=this.keyOf(e);return void 0===t?-1:t},lastIndexOf:function(e){var t=this.lastKeyOf(e);return void 0===t?-1:t},reverse:function(){return Qt(this,$t(this,!1))},slice:function(e,t){return Qt(this,Ht(this,e,t,!1))},splice:function(e,t){var n=arguments.length;if(t=Math.max(0|t,0),0===n||2===n&&!t)return this;e=P(e,e<0?this.count():this.size);var r=this.slice(0,e);return Qt(this,1===n?r:r.concat(C(arguments,2),this.slice(e+t)))},findLastIndex:function(e,t){var n=this.findLastEntry(e,t);return n?n[0]:-1},first:function(){return this.get(0)},flatten:function(e){return Qt(this,Gt(this,e,!1))},get:function(e,t){return(e=A(this,e))<0||this.size===1/0||void 0!==this.size&&e>this.size?t:this.find((function(t,n){return n===e}),void 0,t)},has:function(e){return(e=A(this,e))>=0&&(void 0!==this.size?this.size===1/0||e<this.size:-1!==this.indexOf(e))},interpose:function(e){return Qt(this,function(e,t){var n=nn(e);return n.size=e.size&&2*e.size-1,n.__iterateUncached=function(n,r){var i=this,o=0;return e.__iterate((function(e,r){return(!o||!1!==n(t,o++,i))&&!1!==n(e,o++,i)}),r),o},n.__iteratorUncached=function(n,r){var i,o=e.__iterator(M,r),a=0;return new B((function(){return(!i||a%2)&&(i=o.next()).done?i:a%2?z(n,a++,t):z(n,a++,i.value,i)}))},n}(this,e))},interleave:function(){var e=[this].concat(C(arguments)),t=Xt(this.toSeq(),Y.of,e),n=t.flatten(!0);return t.size&&(n.size=t.size*e.length),Qt(this,n)},keySeq:function(){return we(0,this.size)},last:function(){return this.get(-1)},skipWhile:function(e,t){return Qt(this,Vt(this,e,t,!1))},zip:function(){return Qt(this,Xt(this,Un,[this].concat(C(arguments))))},zipWith:function(e){var t=C(arguments);return t[0]=this,Qt(this,Xt(this,e,t))}}),i.prototype[f]=!0,i.prototype[h]=!0,In(o,{get:function(e,t){return this.has(e)?e:t},includes:function(e){return this.has(e)},keySeq:function(){return this.valueSeq()}}),o.prototype.has=Mn.includes,o.prototype.contains=o.prototype.includes,In(K,r.prototype),In(Y,i.prototype),In(Z,o.prototype),In(xe,r.prototype),In(ke,i.prototype),In(Ee,o.prototype),{Iterable:n,Seq:G,Collection:_e,Map:ze,OrderedMap:Rt,List:mt,Stack:Sn,Set:pn,OrderedSet:wn,Record:sn,Range:we,Repeat:ye,is:ge,fromJS:pe}}()},91285:e=>{"function"==typeof Object.create?e.exports=function(e,t){t&&(e.super_=t,e.prototype=Object.create(t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}))}:e.exports=function(e,t){if(t){e.super_=t;var n=function(){};n.prototype=t.prototype,e.prototype=new n,e.prototype.constructor=e}}},6299:e=>{var t=/\/\*[^*]*\*+([^/*][^*]*\*+)*\//g,n=/\n/g,r=/^\s*/,i=/^(\*?[-#/*\\\w]+(\[[0-9a-z_-]+\])?)\s*/,o=/^:\s*/,a=/^((?:'(?:\\'|.)*?'|"(?:\\"|.)*?"|\([^)]*?\)|[^};])+)/,s=/^[;\s]*/,l=/^\s+|\s+$/g,u="";function c(e){return e?e.replace(l,u):u}e.exports=function(e,l){if("string"!=typeof e)throw new TypeError("First argument must be a string");if(!e)return[];l=l||{};var d=1,p=1;function f(e){var t=e.match(n);t&&(d+=t.length);var r=e.lastIndexOf("\n");p=~r?e.length-r:p+e.length}function h(){var e={line:d,column:p};return function(t){return t.position=new m(e),b(),t}}function m(e){this.start=e,this.end={line:d,column:p},this.source=l.source}m.prototype.content=e;var g=[];function v(t){var n=new Error(l.source+":"+d+":"+p+": "+t);if(n.reason=t,n.filename=l.source,n.line=d,n.column=p,n.source=e,!l.silent)throw n;g.push(n)}function y(t){var n=t.exec(e);if(n){var r=n[0];return f(r),e=e.slice(r.length),n}}function b(){y(r)}function w(e){var t;for(e=e||[];t=_();)!1!==t&&e.push(t);return e}function _(){var t=h();if("/"==e.charAt(0)&&"*"==e.charAt(1)){for(var n=2;u!=e.charAt(n)&&("*"!=e.charAt(n)||"/"!=e.charAt(n+1));)++n;if(n+=2,u===e.charAt(n-1))return v("End of comment missing");var r=e.slice(2,n-2);return p+=2,f(r),e=e.slice(n),p+=2,t({type:"comment",comment:r})}}function x(){var e=h(),n=y(i);if(n){if(_(),!y(o))return v("property missing ':'");var r=y(a),l=e({type:"declaration",property:c(n[0].replace(t,u)),value:r?c(r[0].replace(t,u)):u});return y(s),l}}return b(),function(){var e,t=[];for(w(t);e=x();)!1!==e&&(t.push(e),w(t));return t}()}},73756:e=>{"use strict";e.exports=function(e){var t="string"==typeof e?e.charCodeAt(0):e;return t>=97&&t<=122||t>=65&&t<=90}},88637:e=>{"use strict";e.exports=function(e){if("string"!=typeof e)throw new TypeError("Expected a string");return!/[^0-9a-z\xDF-\xFF]/.test(e.toLowerCase())}},65279:(e,t,n)=>{"use strict";var r=n(73756),i=n(61278);e.exports=function(e){return r(e)||i(e)}},61278:e=>{"use strict";e.exports=function(e){var t="string"==typeof e?e.charCodeAt(0):e;return t>=48&&t<=57}},22777:e=>{"use strict";e.exports=function(e){return null!=e&&("object"==typeof e||"function"==typeof e)}},48833:e=>{"use strict";e.exports=function(e){var t="string"==typeof e?e.charCodeAt(0):e;return t>=97&&t<=102||t>=65&&t<=70||t>=48&&t<=57}},87202:(e,t)=>{"use strict";for(var n="undefined"!=typeof window&&/Mac|iPod|iPhone|iPad/.test(window.navigator.platform),r={alt:"altKey",control:"ctrlKey",meta:"metaKey",shift:"shiftKey"},i={add:"+",break:"pause",cmd:"meta",command:"meta",ctl:"control",ctrl:"control",del:"delete",down:"arrowdown",esc:"escape",ins:"insert",left:"arrowleft",mod:n?"meta":"control",opt:"alt",option:"alt",return:"enter",right:"arrowright",space:" ",spacebar:" ",up:"arrowup",win:"meta",windows:"meta"},o={backspace:8,tab:9,enter:13,shift:16,control:17,alt:18,pause:19,capslock:20,escape:27," ":32,pageup:33,pagedown:34,end:35,home:36,arrowleft:37,arrowup:38,arrowright:39,arrowdown:40,insert:45,delete:46,meta:91,numlock:144,scrolllock:145,";":186,"=":187,",":188,"-":189,".":190,"/":191,"`":192,"[":219,"\\":220,"]":221,"'":222},a=1;a<20;a++)o["f"+a]=111+a;function s(e){return e=l(e),o[e]||e.toUpperCase().charCodeAt(0)}function l(e){return e=e.toLowerCase(),i[e]||e}t.ZP=function(e,t,n){t&&!("byKey"in t)&&(n=t,t=null),Array.isArray(e)||(e=[e]);var a=e.map((function(e){return function(e,t){var n=t&&t.byKey,a={},u=(e=e.replace("++","+add")).split("+"),c=u.length;for(var d in r)a[r[d]]=!1;var p=!0,f=!1,h=void 0;try{for(var m,g=u[Symbol.iterator]();!(p=(m=g.next()).done);p=!0){var v=m.value,y=v.endsWith("?")&&v.length>1;y&&(v=v.slice(0,-1));var b=l(v),w=r[b];if(v.length>1&&!w&&!i[v]&&!o[b])throw new TypeError('Unknown modifier: "'+v+'"');1!==c&&w||(n?a.key=b:a.which=s(v)),w&&(a[w]=!y||null)}}catch(e){f=!0,h=e}finally{try{!p&&g.return&&g.return()}finally{if(f)throw h}}return a}(e,t)})),u=function(e){return a.some((function(t){return function(e,t){for(var n in e){var r=e[n],i=void 0;if(null!=r&&(null!=(i="key"===n&&null!=t.key?t.key.toLowerCase():"which"===n?91===r&&93===t.which?91:t.which:t[n])||!1!==r)&&i!==r)return!1}return!0}(t,e)}))};return null==n?u:u(n)}},74898:e=>{"use strict";e.exports=function(e){return n.test("number"==typeof e?t(e):e.charAt(0))};var t=String.fromCharCode,n=/\s/},2821:e=>{"use strict";e.exports=function(e){return n.test("number"==typeof e?t(e):e.charAt(0))};var t=String.fromCharCode,n=/\w/},38291:function(e,t){var n;!function(t,n){"use strict";"object"==typeof e.exports?e.exports=t.document?n(t,!0):function(e){if(!e.document)throw new Error("jQuery requires a window with a document");return n(e)}:n(t)}("undefined"!=typeof window?window:this,(function(r,i){"use strict";var o=[],a=Object.getPrototypeOf,s=o.slice,l=o.flat?function(e){return o.flat.call(e)}:function(e){return o.concat.apply([],e)},u=o.push,c=o.indexOf,d={},p=d.toString,f=d.hasOwnProperty,h=f.toString,m=h.call(Object),g={},v=function(e){return"function"==typeof e&&"number"!=typeof e.nodeType&&"function"!=typeof e.item},y=function(e){return null!=e&&e===e.window},b=r.document,w={type:!0,src:!0,nonce:!0,noModule:!0};function _(e,t,n){var r,i,o=(n=n||b).createElement("script");if(o.text=e,t)for(r in w)(i=t[r]||t.getAttribute&&t.getAttribute(r))&&o.setAttribute(r,i);n.head.appendChild(o).parentNode.removeChild(o)}function x(e){return null==e?e+"":"object"==typeof e||"function"==typeof e?d[p.call(e)]||"object":typeof e}var k="3.7.1",E=/HTML$/i,C=function(e,t){return new C.fn.init(e,t)};function S(e){var t=!!e&&"length"in e&&e.length,n=x(e);return!v(e)&&!y(e)&&("array"===n||0===t||"number"==typeof t&&t>0&&t-1 in e)}function A(e,t){return e.nodeName&&e.nodeName.toLowerCase()===t.toLowerCase()}C.fn=C.prototype={jquery:k,constructor:C,length:0,toArray:function(){return s.call(this)},get:function(e){return null==e?s.call(this):e<0?this[e+this.length]:this[e]},pushStack:function(e){var t=C.merge(this.constructor(),e);return t.prevObject=this,t},each:function(e){return C.each(this,e)},map:function(e){return this.pushStack(C.map(this,(function(t,n){return e.call(t,n,t)})))},slice:function(){return this.pushStack(s.apply(this,arguments))},first:function(){return this.eq(0)},last:function(){return this.eq(-1)},even:function(){return this.pushStack(C.grep(this,(function(e,t){return(t+1)%2})))},odd:function(){return this.pushStack(C.grep(this,(function(e,t){return t%2})))},eq:function(e){var t=this.length,n=+e+(e<0?t:0);return this.pushStack(n>=0&&n<t?[this[n]]:[])},end:function(){return this.prevObject||this.constructor()},push:u,sort:o.sort,splice:o.splice},C.extend=C.fn.extend=function(){var e,t,n,r,i,o,a=arguments[0]||{},s=1,l=arguments.length,u=!1;for("boolean"==typeof a&&(u=a,a=arguments[s]||{},s++),"object"==typeof a||v(a)||(a={}),s===l&&(a=this,s--);s<l;s++)if(null!=(e=arguments[s]))for(t in e)r=e[t],"__proto__"!==t&&a!==r&&(u&&r&&(C.isPlainObject(r)||(i=Array.isArray(r)))?(n=a[t],o=i&&!Array.isArray(n)?[]:i||C.isPlainObject(n)?n:{},i=!1,a[t]=C.extend(u,o,r)):void 0!==r&&(a[t]=r));return a},C.extend({expando:"jQuery"+(k+Math.random()).replace(/\D/g,""),isReady:!0,error:function(e){throw new Error(e)},noop:function(){},isPlainObject:function(e){var t,n;return!(!e||"[object Object]"!==p.call(e)||(t=a(e))&&("function"!=typeof(n=f.call(t,"constructor")&&t.constructor)||h.call(n)!==m))},isEmptyObject:function(e){var t;for(t in e)return!1;return!0},globalEval:function(e,t,n){_(e,{nonce:t&&t.nonce},n)},each:function(e,t){var n,r=0;if(S(e))for(n=e.length;r<n&&!1!==t.call(e[r],r,e[r]);r++);else for(r in e)if(!1===t.call(e[r],r,e[r]))break;return e},text:function(e){var t,n="",r=0,i=e.nodeType;if(!i)for(;t=e[r++];)n+=C.text(t);return 1===i||11===i?e.textContent:9===i?e.documentElement.textContent:3===i||4===i?e.nodeValue:n},makeArray:function(e,t){var n=t||[];return null!=e&&(S(Object(e))?C.merge(n,"string"==typeof e?[e]:e):u.call(n,e)),n},inArray:function(e,t,n){return null==t?-1:c.call(t,e,n)},isXMLDoc:function(e){var t=e&&e.namespaceURI,n=e&&(e.ownerDocument||e).documentElement;return!E.test(t||n&&n.nodeName||"HTML")},merge:function(e,t){for(var n=+t.length,r=0,i=e.length;r<n;r++)e[i++]=t[r];return e.length=i,e},grep:function(e,t,n){for(var r=[],i=0,o=e.length,a=!n;i<o;i++)!t(e[i],i)!==a&&r.push(e[i]);return r},map:function(e,t,n){var r,i,o=0,a=[];if(S(e))for(r=e.length;o<r;o++)null!=(i=t(e[o],o,n))&&a.push(i);else for(o in e)null!=(i=t(e[o],o,n))&&a.push(i);return l(a)},guid:1,support:g}),"function"==typeof Symbol&&(C.fn[Symbol.iterator]=o[Symbol.iterator]),C.each("Boolean Number String Function Array Date RegExp Object Error Symbol".split(" "),(function(e,t){d["[object "+t+"]"]=t.toLowerCase()}));var T=o.pop,O=o.sort,P=o.splice,D="[\\x20\\t\\r\\n\\f]",R=new RegExp("^"+D+"+|((?:^|[^\\\\])(?:\\\\.)*)"+D+"+$","g");C.contains=function(e,t){var n=t&&t.parentNode;return e===n||!(!n||1!==n.nodeType||!(e.contains?e.contains(n):e.compareDocumentPosition&&16&e.compareDocumentPosition(n)))};var I=/([\0-\x1f\x7f]|^-?\d)|^-$|[^\x80-\uFFFF\w-]/g;function M(e,t){return t?"\0"===e?"<22>":e.slice(0,-1)+"\\"+e.charCodeAt(e.length-1).toString(16)+" ":"\\"+e}C.escapeSelector=function(e){return(e+"").replace(I,M)};var L=b,N=u;!function(){var e,t,n,i,a,l,u,d,p,h,m=N,v=C.expando,y=0,b=0,w=ee(),_=ee(),x=ee(),k=ee(),E=function(e,t){return e===t&&(a=!0),0},S="checked|selected|async|autofocus|autoplay|controls|defer|disabled|hidden|ismap|loop|multiple|open|readonly|required|scoped",I="(?:\\\\[\\da-fA-F]{1,6}"+D+"?|\\\\[^\\r\\n\\f]|[\\w-]|[^\0-\\x7f])+",M="\\["+D+"*("+I+")(?:"+D+"*([*^$|!~]?=)"+D+"*(?:'((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\"|("+I+"))|)"+D+"*\\]",j=":("+I+")(?:\\((('((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\")|((?:\\\\.|[^\\\\()[\\]]|"+M+")*)|.*)\\)|)",F=new RegExp(D+"+","g"),B=new RegExp("^"+D+"*,"+D+"*"),z=new RegExp("^"+D+"*([>+~]|"+D+")"+D+"*"),U=new RegExp(D+"|>"),q=new RegExp(j),$=new RegExp("^"+I+"$"),W={ID:new RegExp("^#("+I+")"),CLASS:new RegExp("^\\.("+I+")"),TAG:new RegExp("^("+I+"|[*])"),ATTR:new RegExp("^"+M),PSEUDO:new RegExp("^"+j),CHILD:new RegExp("^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\("+D+"*(even|odd|(([+-]|)(\\d*)n|)"+D+"*(?:([+-]|)"+D+"*(\\d+)|))"+D+"*\\)|)","i"),bool:new RegExp("^(?:"+S+")$","i"),needsContext:new RegExp("^"+D+"*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\("+D+"*((?:-\\d)?\\d*)"+D+"*\\)|)(?=[^-]|$)","i")},H=/^(?:input|select|textarea|button)$/i,V=/^h\d$/i,G=/^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/,K=/[+~]/,Y=new RegExp("\\\\[\\da-fA-F]{1,6}"+D+"?|\\\\([^\\r\\n\\f])","g"),Z=function(e,t){var n="0x"+e.slice(1)-65536;return t||(n<0?String.fromCharCode(n+65536):String.fromCharCode(n>>10|55296,1023&n|56320))},X=function(){le()},Q=pe((function(e){return!0===e.disabled&&A(e,"fieldset")}),{dir:"parentNode",next:"legend"});try{m.apply(o=s.call(L.childNodes),L.childNodes),o[L.childNodes.length].nodeType}catch(e){m={apply:function(e,t){N.apply(e,s.call(t))},call:function(e){N.apply(e,s.call(arguments,1))}}}function J(e,t,n,r){var i,o,a,s,u,c,f,h=t&&t.ownerDocument,y=t?t.nodeType:9;if(n=n||[],"string"!=typeof e||!e||1!==y&&9!==y&&11!==y)return n;if(!r&&(le(t),t=t||l,d)){if(11!==y&&(u=G.exec(e)))if(i=u[1]){if(9===y){if(!(a=t.getElementById(i)))return n;if(a.id===i)return m.call(n,a),n}else if(h&&(a=h.getElementById(i))&&J.contains(t,a)&&a.id===i)return m.call(n,a),n}else{if(u[2])return m.apply(n,t.getElementsByTagName(e)),n;if((i=u[3])&&t.getElementsByClassName)return m.apply(n,t.getElementsByClassName(i)),n}if(!(k[e+" "]||p&&p.test(e))){if(f=e,h=t,1===y&&(U.test(e)||z.test(e))){for((h=K.test(e)&&se(t.parentNode)||t)==t&&g.scope||((s=t.getAttribute("id"))?s=C.escapeSelector(s):t.setAttribute("id",s=v)),o=(c=ce(e)).length;o--;)c[o]=(s?"#"+s:":scope")+" "+de(c[o]);f=c.join(",")}try{return m.apply(n,h.querySelectorAll(f)),n}catch(t){k(e,!0)}finally{s===v&&t.removeAttribute("id")}}}return ye(e.replace(R,"$1"),t,n,r)}function ee(){var e=[];return function n(r,i){return e.push(r+" ")>t.cacheLength&&delete n[e.shift()],n[r+" "]=i}}function te(e){return e[v]=!0,e}function ne(e){var t=l.createElement("fieldset");try{return!!e(t)}catch(e){return!1}finally{t.parentNode&&t.parentNode.removeChild(t),t=null}}function re(e){return function(t){return A(t,"input")&&t.type===e}}function ie(e){return function(t){return(A(t,"input")||A(t,"button"))&&t.type===e}}function oe(e){return function(t){return"form"in t?t.parentNode&&!1===t.disabled?"label"in t?"label"in t.parentNode?t.parentNode.disabled===e:t.disabled===e:t.isDisabled===e||t.isDisabled!==!e&&Q(t)===e:t.disabled===e:"label"in t&&t.disabled===e}}function ae(e){return te((function(t){return t=+t,te((function(n,r){for(var i,o=e([],n.length,t),a=o.length;a--;)n[i=o[a]]&&(n[i]=!(r[i]=n[i]))}))}))}function se(e){return e&&void 0!==e.getElementsByTagName&&e}function le(e){var n,r=e?e.ownerDocument||e:L;return r!=l&&9===r.nodeType&&r.documentElement?(u=(l=r).documentElement,d=!C.isXMLDoc(l),h=u.matches||u.webkitMatchesSelector||u.msMatchesSelector,u.msMatchesSelector&&L!=l&&(n=l.defaultView)&&n.top!==n&&n.addEventListener("unload",X),g.getById=ne((function(e){return u.appendChild(e).id=C.expando,!l.getElementsByName||!l.getElementsByName(C.expando).length})),g.disconnectedMatch=ne((function(e){return h.call(e,"*")})),g.scope=ne((function(){return l.querySelectorAll(":scope")})),g.cssHas=ne((function(){try{return l.querySelector(":has(*,:jqfake)"),!1}catch(e){return!0}})),g.getById?(t.filter.ID=function(e){var t=e.replace(Y,Z);return function(e){return e.getAttribute("id")===t}},t.find.ID=function(e,t){if(void 0!==t.getElementById&&d){var n=t.getElementById(e);return n?[n]:[]}}):(t.filter.ID=function(e){var t=e.replace(Y,Z);return function(e){var n=void 0!==e.getAttributeNode&&e.getAttributeNode("id");return n&&n.value===t}},t.find.ID=function(e,t){if(void 0!==t.getElementById&&d){var n,r,i,o=t.getElementById(e);if(o){if((n=o.getAttributeNode("id"))&&n.value===e)return[o];for(i=t.getElementsByName(e),r=0;o=i[r++];)if((n=o.getAttributeNode("id"))&&n.value===e)return[o]}return[]}}),t.find.TAG=function(e,t){return void 0!==t.getElementsByTagName?t.getElementsByTagName(e):t.querySelectorAll(e)},t.find.CLASS=function(e,t){if(void 0!==t.getElementsByClassName&&d)return t.getElementsByClassName(e)},p=[],ne((function(e){var t;u.appendChild(e).innerHTML="<a id='"+v+"' href='' disabled='disabled'></a><select id='"+v+"-\r\\' disabled='disabled'><option selected=''></option></select>",e.querySelectorAll("[selected]").length||p.push("\\["+D+"*(?:value|"+S+")"),e.querySelectorAll("[id~="+v+"-]").length||p.push("~="),e.querySelectorAll("a#"+v+"+*").length||p.push(".#.+[+~]"),e.querySelectorAll(":checked").length||p.push(":checked"),(t=l.createElement("input")).setAttribute("type","hidden"),e.appendChild(t).setAttribute("name","D"),u.appendChild(e).disabled=!0,2!==e.querySelectorAll(":disabled").length&&p.push(":enabled",":disabled"),(t=l.createElement("input")).setAttribute("name",""),e.appendChild(t),e.querySelectorAll("[name='']").length||p.push("\\["+D+"*name"+D+"*="+D+"*(?:''|\"\")")})),g.cssHas||p.push(":has"),p=p.length&&new RegExp(p.join("|")),E=function(e,t){if(e===t)return a=!0,0;var n=!e.compareDocumentPosition-!t.compareDocumentPosition;return n||(1&(n=(e.ownerDocument||e)==(t.ownerDocument||t)?e.compareDocumentPosition(t):1)||!g.sortDetached&&t.compareDocumentPosition(e)===n?e===l||e.ownerDocument==L&&J.contains(L,e)?-1:t===l||t.ownerDocument==L&&J.contains(L,t)?1:i?c.call(i,e)-c.call(i,t):0:4&n?-1:1)},l):l}for(e in J.matches=function(e,t){return J(e,null,null,t)},J.matchesSelector=function(e,t){if(le(e),d&&!k[t+" "]&&(!p||!p.test(t)))try{var n=h.call(e,t);if(n||g.disconnectedMatch||e.document&&11!==e.document.nodeType)return n}catch(e){k(t,!0)}return J(t,l,null,[e]).length>0},J.contains=function(e,t){return(e.ownerDocument||e)!=l&&le(e),C.contains(e,t)},J.attr=function(e,n){(e.ownerDocument||e)!=l&&le(e);var r=t.attrHandle[n.toLowerCase()],i=r&&f.call(t.attrHandle,n.toLowerCase())?r(e,n,!d):void 0;return void 0!==i?i:e.getAttribute(n)},J.error=function(e){throw new Error("Syntax error, unrecognized expression: "+e)},C.uniqueSort=function(e){var t,n=[],r=0,o=0;if(a=!g.sortStable,i=!g.sortStable&&s.call(e,0),O.call(e,E),a){for(;t=e[o++];)t===e[o]&&(r=n.push(o));for(;r--;)P.call(e,n[r],1)}return i=null,e},C.fn.uniqueSort=function(){return this.pushStack(C.uniqueSort(s.apply(this)))},t=C.expr={cacheLength:50,createPseudo:te,match:W,attrHandle:{},find:{},relative:{">":{dir:"parentNode",first:!0}," ":{dir:"parentNode"},"+":{dir:"previousSibling",first:!0},"~":{dir:"previousSibling"}},preFilter:{ATTR:function(e){return e[1]=e[1].replace(Y,Z),e[3]=(e[3]||e[4]||e[5]||"").replace(Y,Z),"~="===e[2]&&(e[3]=" "+e[3]+" "),e.slice(0,4)},CHILD:function(e){return e[1]=e[1].toLowerCase(),"nth"===e[1].slice(0,3)?(e[3]||J.error(e[0]),e[4]=+(e[4]?e[5]+(e[6]||1):2*("even"===e[3]||"odd"===e[3])),e[5]=+(e[7]+e[8]||"odd"===e[3])):e[3]&&J.error(e[0]),e},PSEUDO:function(e){var t,n=!e[6]&&e[2];return W.CHILD.test(e[0])?null:(e[3]?e[2]=e[4]||e[5]||"":n&&q.test(n)&&(t=ce(n,!0))&&(t=n.indexOf(")",n.length-t)-n.length)&&(e[0]=e[0].slice(0,t),e[2]=n.slice(0,t)),e.slice(0,3))}},filter:{TAG:function(e){var t=e.replace(Y,Z).toLowerCase();return"*"===e?function(){return!0}:function(e){return A(e,t)}},CLASS:function(e){var t=w[e+" "];return t||(t=new RegExp("(^|"+D+")"+e+"("+D+"|$)"))&&w(e,(function(e){return t.test("string"==typeof e.className&&e.className||void 0!==e.getAttribute&&e.getAttribute("class")||"")}))},ATTR:function(e,t,n){return function(r){var i=J.attr(r,e);return null==i?"!="===t:!t||(i+="","="===t?i===n:"!="===t?i!==n:"^="===t?n&&0===i.indexOf(n):"*="===t?n&&i.indexOf(n)>-1:"$="===t?n&&i.slice(-n.length)===n:"~="===t?(" "+i.replace(F," ")+" ").indexOf(n)>-1:"|="===t&&(i===n||i.slice(0,n.length+1)===n+"-"))}},CHILD:function(e,t,n,r,i){var o="nth"!==e.slice(0,3),a="last"!==e.slice(-4),s="of-type"===t;return 1===r&&0===i?function(e){return!!e.parentNode}:function(t,n,l){var u,c,d,p,f,h=o!==a?"nextSibling":"previousSibling",m=t.parentNode,g=s&&t.nodeName.toLowerCase(),b=!l&&!s,w=!1;if(m){if(o){for(;h;){for(d=t;d=d[h];)if(s?A(d,g):1===d.nodeType)return!1;f=h="only"===e&&!f&&"nextSibling"}return!0}if(f=[a?m.firstChild:m.lastChild],a&&b){for(w=(p=(u=(c=m[v]||(m[v]={}))[e]||[])[0]===y&&u[1])&&u[2],d=p&&m.childNodes[p];d=++p&&d&&d[h]||(w=p=0)||f.pop();)if(1===d.nodeType&&++w&&d===t){c[e]=[y,p,w];break}}else if(b&&(w=p=(u=(c=t[v]||(t[v]={}))[e]||[])[0]===y&&u[1]),!1===w)for(;(d=++p&&d&&d[h]||(w=p=0)||f.pop())&&(!(s?A(d,g):1===d.nodeType)||!++w||(b&&((c=d[v]||(d[v]={}))[e]=[y,w]),d!==t)););return(w-=i)===r||w%r==0&&w/r>=0}}},PSEUDO:function(e,n){var r,i=t.pseudos[e]||t.setFilters[e.toLowerCase()]||J.error("unsupported pseudo: "+e);return i[v]?i(n):i.length>1?(r=[e,e,"",n],t.setFilters.hasOwnProperty(e.toLowerCase())?te((function(e,t){for(var r,o=i(e,n),a=o.length;a--;)e[r=c.call(e,o[a])]=!(t[r]=o[a])})):function(e){return i(e,0,r)}):i}},pseudos:{not:te((function(e){var t=[],n=[],r=ve(e.replace(R,"$1"));return r[v]?te((function(e,t,n,i){for(var o,a=r(e,null,i,[]),s=e.length;s--;)(o=a[s])&&(e[s]=!(t[s]=o))})):function(e,i,o){return t[0]=e,r(t,null,o,n),t[0]=null,!n.pop()}})),has:te((function(e){return function(t){return J(e,t).length>0}})),contains:te((function(e){return e=e.replace(Y,Z),function(t){return(t.textContent||C.text(t)).indexOf(e)>-1}})),lang:te((function(e){return $.test(e||"")||J.error("unsupported lang: "+e),e=e.replace(Y,Z).toLowerCase(),function(t){var n;do{if(n=d?t.lang:t.getAttribute("xml:lang")||t.getAttribute("lang"))return(n=n.toLowerCase())===e||0===n.indexOf(e+"-")}while((t=t.parentNode)&&1===t.nodeType);return!1}})),target:function(e){var t=r.location&&r.location.hash;return t&&t.slice(1)===e.id},root:function(e){return e===u},focus:function(e){return e===function(){try{return l.activeElement}catch(e){}}()&&l.hasFocus()&&!!(e.type||e.href||~e.tabIndex)},enabled:oe(!1),disabled:oe(!0),checked:function(e){return A(e,"input")&&!!e.checked||A(e,"option")&&!!e.selected},selected:function(e){return e.parentNode&&e.parentNode.selectedIndex,!0===e.selected},empty:function(e){for(e=e.firstChild;e;e=e.nextSibling)if(e.nodeType<6)return!1;return!0},parent:function(e){return!t.pseudos.empty(e)},header:function(e){return V.test(e.nodeName)},input:function(e){return H.test(e.nodeName)},button:function(e){return A(e,"input")&&"button"===e.type||A(e,"button")},text:function(e){var t;return A(e,"input")&&"text"===e.type&&(null==(t=e.getAttribute("type"))||"text"===t.toLowerCase())},first:ae((function(){return[0]})),last:ae((function(e,t){return[t-1]})),eq:ae((function(e,t,n){return[n<0?n+t:n]})),even:ae((function(e,t){for(var n=0;n<t;n+=2)e.push(n);return e})),odd:ae((function(e,t){for(var n=1;n<t;n+=2)e.push(n);return e})),lt:ae((function(e,t,n){var r;for(r=n<0?n+t:n>t?t:n;--r>=0;)e.push(r);return e})),gt:ae((function(e,t,n){for(var r=n<0?n+t:n;++r<t;)e.push(r);return e}))}},t.pseudos.nth=t.pseudos.eq,{radio:!0,checkbox:!0,file:!0,password:!0,image:!0})t.pseudos[e]=re(e);for(e in{submit:!0,reset:!0})t.pseudos[e]=ie(e);function ue(){}function ce(e,n){var r,i,o,a,s,l,u,c=_[e+" "];if(c)return n?0:c.slice(0);for(s=e,l=[],u=t.preFilter;s;){for(a in r&&!(i=B.exec(s))||(i&&(s=s.slice(i[0].length)||s),l.push(o=[])),r=!1,(i=z.exec(s))&&(r=i.shift(),o.push({value:r,type:i[0].replace(R," ")}),s=s.slice(r.length)),t.filter)!(i=W[a].exec(s))||u[a]&&!(i=u[a](i))||(r=i.shift(),o.push({value:r,type:a,matches:i}),s=s.slice(r.length));if(!r)break}return n?s.length:s?J.error(e):_(e,l).slice(0)}function de(e){for(var t=0,n=e.length,r="";t<n;t++)r+=e[t].value;return r}function pe(e,t,n){var r=t.dir,i=t.next,o=i||r,a=n&&"parentNode"===o,s=b++;return t.first?function(t,n,i){for(;t=t[r];)if(1===t.nodeType||a)return e(t,n,i);return!1}:function(t,n,l){var u,c,d=[y,s];if(l){for(;t=t[r];)if((1===t.nodeType||a)&&e(t,n,l))return!0}else for(;t=t[r];)if(1===t.nodeType||a)if(c=t[v]||(t[v]={}),i&&A(t,i))t=t[r]||t;else{if((u=c[o])&&u[0]===y&&u[1]===s)return d[2]=u[2];if(c[o]=d,d[2]=e(t,n,l))return!0}return!1}}function fe(e){return e.length>1?function(t,n,r){for(var i=e.length;i--;)if(!e[i](t,n,r))return!1;return!0}:e[0]}function he(e,t,n,r,i){for(var o,a=[],s=0,l=e.length,u=null!=t;s<l;s++)(o=e[s])&&(n&&!n(o,r,i)||(a.push(o),u&&t.push(s)));return a}function me(e,t,n,r,i,o){return r&&!r[v]&&(r=me(r)),i&&!i[v]&&(i=me(i,o)),te((function(o,a,s,l){var u,d,p,f,h=[],g=[],v=a.length,y=o||function(e,t,n){for(var r=0,i=t.length;r<i;r++)J(e,t[r],n);return n}(t||"*",s.nodeType?[s]:s,[]),b=!e||!o&&t?y:he(y,h,e,s,l);if(n?n(b,f=i||(o?e:v||r)?[]:a,s,l):f=b,r)for(u=he(f,g),r(u,[],s,l),d=u.length;d--;)(p=u[d])&&(f[g[d]]=!(b[g[d]]=p));if(o){if(i||e){if(i){for(u=[],d=f.length;d--;)(p=f[d])&&u.push(b[d]=p);i(null,f=[],u,l)}for(d=f.length;d--;)(p=f[d])&&(u=i?c.call(o,p):h[d])>-1&&(o[u]=!(a[u]=p))}}else f=he(f===a?f.splice(v,f.length):f),i?i(null,a,f,l):m.apply(a,f)}))}function ge(e){for(var r,i,o,a=e.length,s=t.relative[e[0].type],l=s||t.relative[" "],u=s?1:0,d=pe((function(e){return e===r}),l,!0),p=pe((function(e){return c.call(r,e)>-1}),l,!0),f=[function(e,t,i){var o=!s&&(i||t!=n)||((r=t).nodeType?d(e,t,i):p(e,t,i));return r=null,o}];u<a;u++)if(i=t.relative[e[u].type])f=[pe(fe(f),i)];else{if((i=t.filter[e[u].type].apply(null,e[u].matches))[v]){for(o=++u;o<a&&!t.relative[e[o].type];o++);return me(u>1&&fe(f),u>1&&de(e.slice(0,u-1).concat({value:" "===e[u-2].type?"*":""})).replace(R,"$1"),i,u<o&&ge(e.slice(u,o)),o<a&&ge(e=e.slice(o)),o<a&&de(e))}f.push(i)}return fe(f)}function ve(e,r){var i,o=[],a=[],s=x[e+" "];if(!s){for(r||(r=ce(e)),i=r.length;i--;)(s=ge(r[i]))[v]?o.push(s):a.push(s);s=x(e,function(e,r){var i=r.length>0,o=e.length>0,a=function(a,s,u,c,p){var f,h,g,v=0,b="0",w=a&&[],_=[],x=n,k=a||o&&t.find.TAG("*",p),E=y+=null==x?1:Math.random()||.1,S=k.length;for(p&&(n=s==l||s||p);b!==S&&null!=(f=k[b]);b++){if(o&&f){for(h=0,s||f.ownerDocument==l||(le(f),u=!d);g=e[h++];)if(g(f,s||l,u)){m.call(c,f);break}p&&(y=E)}i&&((f=!g&&f)&&v--,a&&w.push(f))}if(v+=b,i&&b!==v){for(h=0;g=r[h++];)g(w,_,s,u);if(a){if(v>0)for(;b--;)w[b]||_[b]||(_[b]=T.call(c));_=he(_)}m.apply(c,_),p&&!a&&_.length>0&&v+r.length>1&&C.uniqueSort(c)}return p&&(y=E,n=x),w};return i?te(a):a}(a,o)),s.selector=e}return s}function ye(e,n,r,i){var o,a,s,l,u,c="function"==typeof e&&e,p=!i&&ce(e=c.selector||e);if(r=r||[],1===p.length){if((a=p[0]=p[0].slice(0)).length>2&&"ID"===(s=a[0]).type&&9===n.nodeType&&d&&t.relative[a[1].type]){if(!(n=(t.find.ID(s.matches[0].replace(Y,Z),n)||[])[0]))return r;c&&(n=n.parentNode),e=e.slice(a.shift().value.length)}for(o=W.needsContext.test(e)?0:a.length;o--&&(s=a[o],!t.relative[l=s.type]);)if((u=t.find[l])&&(i=u(s.matches[0].replace(Y,Z),K.test(a[0].type)&&se(n.parentNode)||n))){if(a.splice(o,1),!(e=i.length&&de(a)))return m.apply(r,i),r;break}}return(c||ve(e,p))(i,n,!d,r,!n||K.test(e)&&se(n.parentNode)||n),r}ue.prototype=t.filters=t.pseudos,t.setFilters=new ue,g.sortStable=v.split("").sort(E).join("")===v,le(),g.sortDetached=ne((function(e){return 1&e.compareDocumentPosition(l.createElement("fieldset"))})),C.find=J,C.expr[":"]=C.expr.pseudos,C.unique=C.uniqueSort,J.compile=ve,J.select=ye,J.setDocument=le,J.tokenize=ce,J.escape=C.escapeSelector,J.getText=C.text,J.isXML=C.isXMLDoc,J.selectors=C.expr,J.support=C.support,J.uniqueSort=C.uniqueSort}();var j=function(e,t,n){for(var r=[],i=void 0!==n;(e=e[t])&&9!==e.nodeType;)if(1===e.nodeType){if(i&&C(e).is(n))break;r.push(e)}return r},F=function(e,t){for(var n=[];e;e=e.nextSibling)1===e.nodeType&&e!==t&&n.push(e);return n},B=C.expr.match.needsContext,z=/^<([a-z][^\/\0>:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i;function U(e,t,n){return v(t)?C.grep(e,(function(e,r){return!!t.call(e,r,e)!==n})):t.nodeType?C.grep(e,(function(e){return e===t!==n})):"string"!=typeof t?C.grep(e,(function(e){return c.call(t,e)>-1!==n})):C.filter(t,e,n)}C.filter=function(e,t,n){var r=t[0];return n&&(e=":not("+e+")"),1===t.length&&1===r.nodeType?C.find.matchesSelector(r,e)?[r]:[]:C.find.matches(e,C.grep(t,(function(e){return 1===e.nodeType})))},C.fn.extend({find:function(e){var t,n,r=this.length,i=this;if("string"!=typeof e)return this.pushStack(C(e).filter((function(){for(t=0;t<r;t++)if(C.contains(i[t],this))return!0})));for(n=this.pushStack([]),t=0;t<r;t++)C.find(e,i[t],n);return r>1?C.uniqueSort(n):n},filter:function(e){return this.pushStack(U(this,e||[],!1))},not:function(e){return this.pushStack(U(this,e||[],!0))},is:function(e){return!!U(this,"string"==typeof e&&B.test(e)?C(e):e||[],!1).length}});var q,$=/^(?:\s*(<[\w\W]+>)[^>]*|#([\w-]+))$/;(C.fn.init=function(e,t,n){var r,i;if(!e)return this;if(n=n||q,"string"==typeof e){if(!(r="<"===e[0]&&">"===e[e.length-1]&&e.length>=3?[null,e,null]:$.exec(e))||!r[1]&&t)return!t||t.jquery?(t||n).find(e):this.constructor(t).find(e);if(r[1]){if(t=t instanceof C?t[0]:t,C.merge(this,C.parseHTML(r[1],t&&t.nodeType?t.ownerDocument||t:b,!0)),z.test(r[1])&&C.isPlainObject(t))for(r in t)v(this[r])?this[r](t[r]):this.attr(r,t[r]);return this}return(i=b.getElementById(r[2]))&&(this[0]=i,this.length=1),this}return e.nodeType?(this[0]=e,this.length=1,this):v(e)?void 0!==n.ready?n.ready(e):e(C):C.makeArray(e,this)}).prototype=C.fn,q=C(b);var W=/^(?:parents|prev(?:Until|All))/,H={children:!0,contents:!0,next:!0,prev:!0};function V(e,t){for(;(e=e[t])&&1!==e.nodeType;);return e}C.fn.extend({has:function(e){var t=C(e,this),n=t.length;return this.filter((function(){for(var e=0;e<n;e++)if(C.contains(this,t[e]))return!0}))},closest:function(e,t){var n,r=0,i=this.length,o=[],a="string"!=typeof e&&C(e);if(!B.test(e))for(;r<i;r++)for(n=this[r];n&&n!==t;n=n.parentNode)if(n.nodeType<11&&(a?a.index(n)>-1:1===n.nodeType&&C.find.matchesSelector(n,e))){o.push(n);break}return this.pushStack(o.length>1?C.uniqueSort(o):o)},index:function(e){return e?"string"==typeof e?c.call(C(e),this[0]):c.call(this,e.jquery?e[0]:e):this[0]&&this[0].parentNode?this.first().prevAll().length:-1},add:function(e,t){return this.pushStack(C.uniqueSort(C.merge(this.get(),C(e,t))))},addBack:function(e){return this.add(null==e?this.prevObject:this.prevObject.filter(e))}}),C.each({parent:function(e){var t=e.parentNode;return t&&11!==t.nodeType?t:null},parents:function(e){return j(e,"parentNode")},parentsUntil:function(e,t,n){return j(e,"parentNode",n)},next:function(e){return V(e,"nextSibling")},prev:function(e){return V(e,"previousSibling")},nextAll:function(e){return j(e,"nextSibling")},prevAll:function(e){return j(e,"previousSibling")},nextUntil:function(e,t,n){return j(e,"nextSibling",n)},prevUntil:function(e,t,n){return j(e,"previousSibling",n)},siblings:function(e){return F((e.parentNode||{}).firstChild,e)},children:function(e){return F(e.firstChild)},contents:function(e){return null!=e.contentDocument&&a(e.contentDocument)?e.contentDocument:(A(e,"template")&&(e=e.content||e),C.merge([],e.childNodes))}},(function(e,t){C.fn[e]=function(n,r){var i=C.map(this,t,n);return"Until"!==e.slice(-5)&&(r=n),r&&"string"==typeof r&&(i=C.filter(r,i)),this.length>1&&(H[e]||C.uniqueSort(i),W.test(e)&&i.reverse()),this.pushStack(i)}}));var G=/[^\x20\t\r\n\f]+/g;function K(e){return e}function Y(e){throw e}function Z(e,t,n,r){var i;try{e&&v(i=e.promise)?i.call(e).done(t).fail(n):e&&v(i=e.then)?i.call(e,t,n):t.apply(void 0,[e].slice(r))}catch(e){n.apply(void 0,[e])}}C.Callbacks=function(e){e="string"==typeof e?function(e){var t={};return C.each(e.match(G)||[],(function(e,n){t[n]=!0})),t}(e):C.extend({},e);var t,n,r,i,o=[],a=[],s=-1,l=function(){for(i=i||e.once,r=t=!0;a.length;s=-1)for(n=a.shift();++s<o.length;)!1===o[s].apply(n[0],n[1])&&e.stopOnFalse&&(s=o.length,n=!1);e.memory||(n=!1),t=!1,i&&(o=n?[]:"")},u={add:function(){return o&&(n&&!t&&(s=o.length-1,a.push(n)),function t(n){C.each(n,(function(n,r){v(r)?e.unique&&u.has(r)||o.push(r):r&&r.length&&"string"!==x(r)&&t(r)}))}(arguments),n&&!t&&l()),this},remove:function(){return C.each(arguments,(function(e,t){for(var n;(n=C.inArray(t,o,n))>-1;)o.splice(n,1),n<=s&&s--})),this},has:function(e){return e?C.inArray(e,o)>-1:o.length>0},empty:function(){return o&&(o=[]),this},disable:function(){return i=a=[],o=n="",this},disabled:function(){return!o},lock:function(){return i=a=[],n||t||(o=n=""),this},locked:function(){return!!i},fireWith:function(e,n){return i||(n=[e,(n=n||[]).slice?n.slice():n],a.push(n),t||l()),this},fire:function(){return u.fireWith(this,arguments),this},fired:function(){return!!r}};return u},C.extend({Deferred:function(e){var t=[["notify","progress",C.Callbacks("memory"),C.Callbacks("memory"),2],["resolve","done",C.Callbacks("once memory"),C.Callbacks("once memory"),0,"resolved"],["reject","fail",C.Callbacks("once memory"),C.Callbacks("once memory"),1,"rejected"]],n="pending",i={state:function(){return n},always:function(){return o.done(arguments).fail(arguments),this},catch:function(e){return i.then(null,e)},pipe:function(){var e=arguments;return C.Deferred((function(n){C.each(t,(function(t,r){var i=v(e[r[4]])&&e[r[4]];o[r[1]]((function(){var e=i&&i.apply(this,arguments);e&&v(e.promise)?e.promise().progress(n.notify).done(n.resolve).fail(n.reject):n[r[0]+"With"](this,i?[e]:arguments)}))})),e=null})).promise()},then:function(e,n,i){var o=0;function a(e,t,n,i){return function(){var s=this,l=arguments,u=function(){var r,u;if(!(e<o)){if((r=n.apply(s,l))===t.promise())throw new TypeError("Thenable self-resolution");u=r&&("object"==typeof r||"function"==typeof r)&&r.then,v(u)?i?u.call(r,a(o,t,K,i),a(o,t,Y,i)):(o++,u.call(r,a(o,t,K,i),a(o,t,Y,i),a(o,t,K,t.notifyWith))):(n!==K&&(s=void 0,l=[r]),(i||t.resolveWith)(s,l))}},c=i?u:function(){try{u()}catch(r){C.Deferred.exceptionHook&&C.Deferred.exceptionHook(r,c.error),e+1>=o&&(n!==Y&&(s=void 0,l=[r]),t.rejectWith(s,l))}};e?c():(C.Deferred.getErrorHook?c.error=C.Deferred.getErrorHook():C.Deferred.getStackHook&&(c.error=C.Deferred.getStackHook()),r.setTimeout(c))}}return C.Deferred((function(r){t[0][3].add(a(0,r,v(i)?i:K,r.notifyWith)),t[1][3].add(a(0,r,v(e)?e:K)),t[2][3].add(a(0,r,v(n)?n:Y))})).promise()},promise:function(e){return null!=e?C.extend(e,i):i}},o={};return C.each(t,(function(e,r){var a=r[2],s=r[5];i[r[1]]=a.add,s&&a.add((function(){n=s}),t[3-e][2].disable,t[3-e][3].disable,t[0][2].lock,t[0][3].lock),a.add(r[3].fire),o[r[0]]=function(){return o[r[0]+"With"](this===o?void 0:this,arguments),this},o[r[0]+"With"]=a.fireWith})),i.promise(o),e&&e.call(o,o),o},when:function(e){var t=arguments.length,n=t,r=Array(n),i=s.call(arguments),o=C.Deferred(),a=function(e){return function(n){r[e]=this,i[e]=arguments.length>1?s.call(arguments):n,--t||o.resolveWith(r,i)}};if(t<=1&&(Z(e,o.done(a(n)).resolve,o.reject,!t),"pending"===o.state()||v(i[n]&&i[n].then)))return o.then();for(;n--;)Z(i[n],a(n),o.reject);return o.promise()}});var X=/^(Eval|Internal|Range|Reference|Syntax|Type|URI)Error$/;C.Deferred.exceptionHook=function(e,t){r.console&&r.console.warn&&e&&X.test(e.name)&&r.console.warn("jQuery.Deferred exception: "+e.message,e.stack,t)},C.readyException=function(e){r.setTimeout((function(){throw e}))};var Q=C.Deferred();function J(){b.removeEventListener("DOMContentLoaded",J),r.removeEventListener("load",J),C.ready()}C.fn.ready=function(e){return Q.then(e).catch((function(e){C.readyException(e)})),this},C.extend({isReady:!1,readyWait:1,ready:function(e){(!0===e?--C.readyWait:C.isReady)||(C.isReady=!0,!0!==e&&--C.readyWait>0||Q.resolveWith(b,[C]))}}),C.ready.then=Q.then,"complete"===b.readyState||"loading"!==b.readyState&&!b.documentElement.doScroll?r.setTimeout(C.ready):(b.addEventListener("DOMContentLoaded",J),r.addEventListener("load",J));var ee=function(e,t,n,r,i,o,a){var s=0,l=e.length,u=null==n;if("object"===x(n))for(s in i=!0,n)ee(e,t,s,n[s],!0,o,a);else if(void 0!==r&&(i=!0,v(r)||(a=!0),u&&(a?(t.call(e,r),t=null):(u=t,t=function(e,t,n){return u.call(C(e),n)})),t))for(;s<l;s++)t(e[s],n,a?r:r.call(e[s],s,t(e[s],n)));return i?e:u?t.call(e):l?t(e[0],n):o},te=/^-ms-/,ne=/-([a-z])/g;function re(e,t){return t.toUpperCase()}function ie(e){return e.replace(te,"ms-").replace(ne,re)}var oe=function(e){return 1===e.nodeType||9===e.nodeType||!+e.nodeType};function ae(){this.expando=C.expando+ae.uid++}ae.uid=1,ae.prototype={cache:function(e){var t=e[this.expando];return t||(t={},oe(e)&&(e.nodeType?e[this.expando]=t:Object.defineProperty(e,this.expando,{value:t,configurable:!0}))),t},set:function(e,t,n){var r,i=this.cache(e);if("string"==typeof t)i[ie(t)]=n;else for(r in t)i[ie(r)]=t[r];return i},get:function(e,t){return void 0===t?this.cache(e):e[this.expando]&&e[this.expando][ie(t)]},access:function(e,t,n){return void 0===t||t&&"string"==typeof t&&void 0===n?this.get(e,t):(this.set(e,t,n),void 0!==n?n:t)},remove:function(e,t){var n,r=e[this.expando];if(void 0!==r){if(void 0!==t){n=(t=Array.isArray(t)?t.map(ie):(t=ie(t))in r?[t]:t.match(G)||[]).length;for(;n--;)delete r[t[n]]}(void 0===t||C.isEmptyObject(r))&&(e.nodeType?e[this.expando]=void 0:delete e[this.expando])}},hasData:function(e){var t=e[this.expando];return void 0!==t&&!C.isEmptyObject(t)}};var se=new ae,le=new ae,ue=/^(?:\{[\w\W]*\}|\[[\w\W]*\])$/,ce=/[A-Z]/g;function de(e,t,n){var r;if(void 0===n&&1===e.nodeType)if(r="data-"+t.replace(ce,"-$&").toLowerCase(),"string"==typeof(n=e.getAttribute(r))){try{n=function(e){return"true"===e||"false"!==e&&("null"===e?null:e===+e+""?+e:ue.test(e)?JSON.parse(e):e)}(n)}catch(e){}le.set(e,t,n)}else n=void 0;return n}C.extend({hasData:function(e){return le.hasData(e)||se.hasData(e)},data:function(e,t,n){return le.access(e,t,n)},removeData:function(e,t){le.remove(e,t)},_data:function(e,t,n){return se.access(e,t,n)},_removeData:function(e,t){se.remove(e,t)}}),C.fn.extend({data:function(e,t){var n,r,i,o=this[0],a=o&&o.attributes;if(void 0===e){if(this.length&&(i=le.get(o),1===o.nodeType&&!se.get(o,"hasDataAttrs"))){for(n=a.length;n--;)a[n]&&0===(r=a[n].name).indexOf("data-")&&(r=ie(r.slice(5)),de(o,r,i[r]));se.set(o,"hasDataAttrs",!0)}return i}return"object"==typeof e?this.each((function(){le.set(this,e)})):ee(this,(function(t){var n;if(o&&void 0===t)return void 0!==(n=le.get(o,e))||void 0!==(n=de(o,e))?n:void 0;this.each((function(){le.set(this,e,t)}))}),null,t,arguments.length>1,null,!0)},removeData:function(e){return this.each((function(){le.remove(this,e)}))}}),C.extend({queue:function(e,t,n){var r;if(e)return t=(t||"fx")+"queue",r=se.get(e,t),n&&(!r||Array.isArray(n)?r=se.access(e,t,C.makeArray(n)):r.push(n)),r||[]},dequeue:function(e,t){t=t||"fx";var n=C.queue(e,t),r=n.length,i=n.shift(),o=C._queueHooks(e,t);"inprogress"===i&&(i=n.shift(),r--),i&&("fx"===t&&n.unshift("inprogress"),delete o.stop,i.call(e,(function(){C.dequeue(e,t)}),o)),!r&&o&&o.empty.fire()},_queueHooks:function(e,t){var n=t+"queueHooks";return se.get(e,n)||se.access(e,n,{empty:C.Callbacks("once memory").add((function(){se.remove(e,[t+"queue",n])}))})}}),C.fn.extend({queue:function(e,t){var n=2;return"string"!=typeof e&&(t=e,e="fx",n--),arguments.length<n?C.queue(this[0],e):void 0===t?this:this.each((function(){var n=C.queue(this,e,t);C._queueHooks(this,e),"fx"===e&&"inprogress"!==n[0]&&C.dequeue(this,e)}))},dequeue:function(e){return this.each((function(){C.dequeue(this,e)}))},clearQueue:function(e){return this.queue(e||"fx",[])},promise:function(e,t){var n,r=1,i=C.Deferred(),o=this,a=this.length,s=function(){--r||i.resolveWith(o,[o])};for("string"!=typeof e&&(t=e,e=void 0),e=e||"fx";a--;)(n=se.get(o[a],e+"queueHooks"))&&n.empty&&(r++,n.empty.add(s));return s(),i.promise(t)}});var pe=/[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/.source,fe=new RegExp("^(?:([+-])=|)("+pe+")([a-z%]*)$","i"),he=["Top","Right","Bottom","Left"],me=b.documentElement,ge=function(e){return C.contains(e.ownerDocument,e)},ve={composed:!0};me.getRootNode&&(ge=function(e){return C.contains(e.ownerDocument,e)||e.getRootNode(ve)===e.ownerDocument});var ye=function(e,t){return"none"===(e=t||e).style.display||""===e.style.display&&ge(e)&&"none"===C.css(e,"display")};function be(e,t,n,r){var i,o,a=20,s=r?function(){return r.cur()}:function(){return C.css(e,t,"")},l=s(),u=n&&n[3]||(C.cssNumber[t]?"":"px"),c=e.nodeType&&(C.cssNumber[t]||"px"!==u&&+l)&&fe.exec(C.css(e,t));if(c&&c[3]!==u){for(l/=2,u=u||c[3],c=+l||1;a--;)C.style(e,t,c+u),(1-o)*(1-(o=s()/l||.5))<=0&&(a=0),c/=o;c*=2,C.style(e,t,c+u),n=n||[]}return n&&(c=+c||+l||0,i=n[1]?c+(n[1]+1)*n[2]:+n[2],r&&(r.unit=u,r.start=c,r.end=i)),i}var we={};function _e(e){var t,n=e.ownerDocument,r=e.nodeName,i=we[r];return i||(t=n.body.appendChild(n.createElement(r)),i=C.css(t,"display"),t.parentNode.removeChild(t),"none"===i&&(i="block"),we[r]=i,i)}function xe(e,t){for(var n,r,i=[],o=0,a=e.length;o<a;o++)(r=e[o]).style&&(n=r.style.display,t?("none"===n&&(i[o]=se.get(r,"display")||null,i[o]||(r.style.display="")),""===r.style.display&&ye(r)&&(i[o]=_e(r))):"none"!==n&&(i[o]="none",se.set(r,"display",n)));for(o=0;o<a;o++)null!=i[o]&&(e[o].style.display=i[o]);return e}C.fn.extend({show:function(){return xe(this,!0)},hide:function(){return xe(this)},toggle:function(e){return"boolean"==typeof e?e?this.show():this.hide():this.each((function(){ye(this)?C(this).show():C(this).hide()}))}});var ke,Ee,Ce=/^(?:checkbox|radio)$/i,Se=/<([a-z][^\/\0>\x20\t\r\n\f]*)/i,Ae=/^$|^module$|\/(?:java|ecma)script/i;ke=b.createDocumentFragment().appendChild(b.createElement("div")),(Ee=b.createElement("input")).setAttribute("type","radio"),Ee.setAttribute("checked","checked"),Ee.setAttribute("name","t"),ke.appendChild(Ee),g.checkClone=ke.cloneNode(!0).cloneNode(!0).lastChild.checked,ke.innerHTML="<textarea>x</textarea>",g.noCloneChecked=!!ke.cloneNode(!0).lastChild.defaultValue,ke.innerHTML="<option></option>",g.option=!!ke.lastChild;var Te={thead:[1,"<table>","</table>"],col:[2,"<table><colgroup>","</colgroup></table>"],tr:[2,"<table><tbody>","</tbody></table>"],td:[3,"<table><tbody><tr>","</tr></tbody></table>"],_default:[0,"",""]};function Oe(e,t){var n;return n=void 0!==e.getElementsByTagName?e.getElementsByTagName(t||"*"):void 0!==e.querySelectorAll?e.querySelectorAll(t||"*"):[],void 0===t||t&&A(e,t)?C.merge([e],n):n}function Pe(e,t){for(var n=0,r=e.length;n<r;n++)se.set(e[n],"globalEval",!t||se.get(t[n],"globalEval"))}Te.tbody=Te.tfoot=Te.colgroup=Te.caption=Te.thead,Te.th=Te.td,g.option||(Te.optgroup=Te.option=[1,"<select multiple='multiple'>","</select>"]);var De=/<|&#?\w+;/;function Re(e,t,n,r,i){for(var o,a,s,l,u,c,d=t.createDocumentFragment(),p=[],f=0,h=e.length;f<h;f++)if((o=e[f])||0===o)if("object"===x(o))C.merge(p,o.nodeType?[o]:o);else if(De.test(o)){for(a=a||d.appendChild(t.createElement("div")),s=(Se.exec(o)||["",""])[1].toLowerCase(),l=Te[s]||Te._default,a.innerHTML=l[1]+C.htmlPrefilter(o)+l[2],c=l[0];c--;)a=a.lastChild;C.merge(p,a.childNodes),(a=d.firstChild).textContent=""}else p.push(t.createTextNode(o));for(d.textContent="",f=0;o=p[f++];)if(r&&C.inArray(o,r)>-1)i&&i.push(o);else if(u=ge(o),a=Oe(d.appendChild(o),"script"),u&&Pe(a),n)for(c=0;o=a[c++];)Ae.test(o.type||"")&&n.push(o);return d}var Ie=/^([^.]*)(?:\.(.+)|)/;function Me(){return!0}function Le(){return!1}function Ne(e,t,n,r,i,o){var a,s;if("object"==typeof t){for(s in"string"!=typeof n&&(r=r||n,n=void 0),t)Ne(e,s,n,r,t[s],o);return e}if(null==r&&null==i?(i=n,r=n=void 0):null==i&&("string"==typeof n?(i=r,r=void 0):(i=r,r=n,n=void 0)),!1===i)i=Le;else if(!i)return e;return 1===o&&(a=i,i=function(e){return C().off(e),a.apply(this,arguments)},i.guid=a.guid||(a.guid=C.guid++)),e.each((function(){C.event.add(this,t,i,r,n)}))}function je(e,t,n){n?(se.set(e,t,!1),C.event.add(e,t,{namespace:!1,handler:function(e){var n,r=se.get(this,t);if(1&e.isTrigger&&this[t]){if(r)(C.event.special[t]||{}).delegateType&&e.stopPropagation();else if(r=s.call(arguments),se.set(this,t,r),this[t](),n=se.get(this,t),se.set(this,t,!1),r!==n)return e.stopImmediatePropagation(),e.preventDefault(),n}else r&&(se.set(this,t,C.event.trigger(r[0],r.slice(1),this)),e.stopPropagation(),e.isImmediatePropagationStopped=Me)}})):void 0===se.get(e,t)&&C.event.add(e,t,Me)}C.event={global:{},add:function(e,t,n,r,i){var o,a,s,l,u,c,d,p,f,h,m,g=se.get(e);if(oe(e))for(n.handler&&(n=(o=n).handler,i=o.selector),i&&C.find.matchesSelector(me,i),n.guid||(n.guid=C.guid++),(l=g.events)||(l=g.events=Object.create(null)),(a=g.handle)||(a=g.handle=function(t){return void 0!==C&&C.event.triggered!==t.type?C.event.dispatch.apply(e,arguments):void 0}),u=(t=(t||"").match(G)||[""]).length;u--;)f=m=(s=Ie.exec(t[u])||[])[1],h=(s[2]||"").split(".").sort(),f&&(d=C.event.special[f]||{},f=(i?d.delegateType:d.bindType)||f,d=C.event.special[f]||{},c=C.extend({type:f,origType:m,data:r,handler:n,guid:n.guid,selector:i,needsContext:i&&C.expr.match.needsContext.test(i),namespace:h.join(".")},o),(p=l[f])||((p=l[f]=[]).delegateCount=0,d.setup&&!1!==d.setup.call(e,r,h,a)||e.addEventListener&&e.addEventListener(f,a)),d.add&&(d.add.call(e,c),c.handler.guid||(c.handler.guid=n.guid)),i?p.splice(p.delegateCount++,0,c):p.push(c),C.event.global[f]=!0)},remove:function(e,t,n,r,i){var o,a,s,l,u,c,d,p,f,h,m,g=se.hasData(e)&&se.get(e);if(g&&(l=g.events)){for(u=(t=(t||"").match(G)||[""]).length;u--;)if(f=m=(s=Ie.exec(t[u])||[])[1],h=(s[2]||"").split(".").sort(),f){for(d=C.event.special[f]||{},p=l[f=(r?d.delegateType:d.bindType)||f]||[],s=s[2]&&new RegExp("(^|\\.)"+h.join("\\.(?:.*\\.|)")+"(\\.|$)"),a=o=p.length;o--;)c=p[o],!i&&m!==c.origType||n&&n.guid!==c.guid||s&&!s.test(c.namespace)||r&&r!==c.selector&&("**"!==r||!c.selector)||(p.splice(o,1),c.selector&&p.delegateCount--,d.remove&&d.remove.call(e,c));a&&!p.length&&(d.teardown&&!1!==d.teardown.call(e,h,g.handle)||C.removeEvent(e,f,g.handle),delete l[f])}else for(f in l)C.event.remove(e,f+t[u],n,r,!0);C.isEmptyObject(l)&&se.remove(e,"handle events")}},dispatch:function(e){var t,n,r,i,o,a,s=new Array(arguments.length),l=C.event.fix(e),u=(se.get(this,"events")||Object.create(null))[l.type]||[],c=C.event.special[l.type]||{};for(s[0]=l,t=1;t<arguments.length;t++)s[t]=arguments[t];if(l.delegateTarget=this,!c.preDispatch||!1!==c.preDispatch.call(this,l)){for(a=C.event.handlers.call(this,l,u),t=0;(i=a[t++])&&!l.isPropagationStopped();)for(l.currentTarget=i.elem,n=0;(o=i.handlers[n++])&&!l.isImmediatePropagationStopped();)l.rnamespace&&!1!==o.namespace&&!l.rnamespace.test(o.namespace)||(l.handleObj=o,l.data=o.data,void 0!==(r=((C.event.special[o.origType]||{}).handle||o.handler).apply(i.elem,s))&&!1===(l.result=r)&&(l.preventDefault(),l.stopPropagation()));return c.postDispatch&&c.postDispatch.call(this,l),l.result}},handlers:function(e,t){var n,r,i,o,a,s=[],l=t.delegateCount,u=e.target;if(l&&u.nodeType&&!("click"===e.type&&e.button>=1))for(;u!==this;u=u.parentNode||this)if(1===u.nodeType&&("click"!==e.type||!0!==u.disabled)){for(o=[],a={},n=0;n<l;n++)void 0===a[i=(r=t[n]).selector+" "]&&(a[i]=r.needsContext?C(i,this).index(u)>-1:C.find(i,this,null,[u]).length),a[i]&&o.push(r);o.length&&s.push({elem:u,handlers:o})}return u=this,l<t.length&&s.push({elem:u,handlers:t.slice(l)}),s},addProp:function(e,t){Object.defineProperty(C.Event.prototype,e,{enumerable:!0,configurable:!0,get:v(t)?function(){if(this.originalEvent)return t(this.originalEvent)}:function(){if(this.originalEvent)return this.originalEvent[e]},set:function(t){Object.defineProperty(this,e,{enumerable:!0,configurable:!0,writable:!0,value:t})}})},fix:function(e){return e[C.expando]?e:new C.Event(e)},special:{load:{noBubble:!0},click:{setup:function(e){var t=this||e;return Ce.test(t.type)&&t.click&&A(t,"input")&&je(t,"click",!0),!1},trigger:function(e){var t=this||e;return Ce.test(t.type)&&t.click&&A(t,"input")&&je(t,"click"),!0},_default:function(e){var t=e.target;return Ce.test(t.type)&&t.click&&A(t,"input")&&se.get(t,"click")||A(t,"a")}},beforeunload:{postDispatch:function(e){void 0!==e.result&&e.originalEvent&&(e.originalEvent.returnValue=e.result)}}}},C.removeEvent=function(e,t,n){e.removeEventListener&&e.removeEventListener(t,n)},C.Event=function(e,t){if(!(this instanceof C.Event))return new C.Event(e,t);e&&e.type?(this.originalEvent=e,this.type=e.type,this.isDefaultPrevented=e.defaultPrevented||void 0===e.defaultPrevented&&!1===e.returnValue?Me:Le,this.target=e.target&&3===e.target.nodeType?e.target.parentNode:e.target,this.currentTarget=e.currentTarget,this.relatedTarget=e.relatedTarget):this.type=e,t&&C.extend(this,t),this.timeStamp=e&&e.timeStamp||Date.now(),this[C.expando]=!0},C.Event.prototype={constructor:C.Event,isDefaultPrevented:Le,isPropagationStopped:Le,isImmediatePropagationStopped:Le,isSimulated:!1,preventDefault:function(){var e=this.originalEvent;this.isDefaultPrevented=Me,e&&!this.isSimulated&&e.preventDefault()},stopPropagation:function(){var e=this.originalEvent;this.isPropagationStopped=Me,e&&!this.isSimulated&&e.stopPropagation()},stopImmediatePropagation:function(){var e=this.originalEvent;this.isImmediatePropagationStopped=Me,e&&!this.isSimulated&&e.stopImmediatePropagation(),this.stopPropagation()}},C.each({altKey:!0,bubbles:!0,cancelable:!0,changedTouches:!0,ctrlKey:!0,detail:!0,eventPhase:!0,metaKey:!0,pageX:!0,pageY:!0,shiftKey:!0,view:!0,char:!0,code:!0,charCode:!0,key:!0,keyCode:!0,button:!0,buttons:!0,clientX:!0,clientY:!0,offsetX:!0,offsetY:!0,pointerId:!0,pointerType:!0,screenX:!0,screenY:!0,targetTouches:!0,toElement:!0,touches:!0,which:!0},C.event.addProp),C.each({focus:"focusin",blur:"focusout"},(function(e,t){function n(e){if(b.documentMode){var n=se.get(this,"handle"),r=C.event.fix(e);r.type="focusin"===e.type?"focus":"blur",r.isSimulated=!0,n(e),r.target===r.currentTarget&&n(r)}else C.event.simulate(t,e.target,C.event.fix(e))}C.event.special[e]={setup:function(){var r;if(je(this,e,!0),!b.documentMode)return!1;(r=se.get(this,t))||this.addEventListener(t,n),se.set(this,t,(r||0)+1)},trigger:function(){return je(this,e),!0},teardown:function(){var e;if(!b.documentMode)return!1;(e=se.get(this,t)-1)?se.set(this,t,e):(this.removeEventListener(t,n),se.remove(this,t))},_default:function(t){return se.get(t.target,e)},delegateType:t},C.event.special[t]={setup:function(){var r=this.ownerDocument||this.document||this,i=b.documentMode?this:r,o=se.get(i,t);o||(b.documentMode?this.addEventListener(t,n):r.addEventListener(e,n,!0)),se.set(i,t,(o||0)+1)},teardown:function(){var r=this.ownerDocument||this.document||this,i=b.documentMode?this:r,o=se.get(i,t)-1;o?se.set(i,t,o):(b.documentMode?this.removeEventListener(t,n):r.removeEventListener(e,n,!0),se.remove(i,t))}}})),C.each({mouseenter:"mouseover",mouseleave:"mouseout",pointerenter:"pointerover",pointerleave:"pointerout"},(function(e,t){C.event.special[e]={delegateType:t,bindType:t,handle:function(e){var n,r=e.relatedTarget,i=e.handleObj;return r&&(r===this||C.contains(this,r))||(e.type=i.origType,n=i.handler.apply(this,arguments),e.type=t),n}}})),C.fn.extend({on:function(e,t,n,r){return Ne(this,e,t,n,r)},one:function(e,t,n,r){return Ne(this,e,t,n,r,1)},off:function(e,t,n){var r,i;if(e&&e.preventDefault&&e.handleObj)return r=e.handleObj,C(e.delegateTarget).off(r.namespace?r.origType+"."+r.namespace:r.origType,r.selector,r.handler),this;if("object"==typeof e){for(i in e)this.off(i,t,e[i]);return this}return!1!==t&&"function"!=typeof t||(n=t,t=void 0),!1===n&&(n=Le),this.each((function(){C.event.remove(this,e,n,t)}))}});var Fe=/<script|<style|<link/i,Be=/checked\s*(?:[^=]|=\s*.checked.)/i,ze=/^\s*<!\[CDATA\[|\]\]>\s*$/g;function Ue(e,t){return A(e,"table")&&A(11!==t.nodeType?t:t.firstChild,"tr")&&C(e).children("tbody")[0]||e}function qe(e){return e.type=(null!==e.getAttribute("type"))+"/"+e.type,e}function $e(e){return"true/"===(e.type||"").slice(0,5)?e.type=e.type.slice(5):e.removeAttribute("type"),e}function We(e,t){var n,r,i,o,a,s;if(1===t.nodeType){if(se.hasData(e)&&(s=se.get(e).events))for(i in se.remove(t,"handle events"),s)for(n=0,r=s[i].length;n<r;n++)C.event.add(t,i,s[i][n]);le.hasData(e)&&(o=le.access(e),a=C.extend({},o),le.set(t,a))}}function He(e,t){var n=t.nodeName.toLowerCase();"input"===n&&Ce.test(e.type)?t.checked=e.checked:"input"!==n&&"textarea"!==n||(t.defaultValue=e.defaultValue)}function Ve(e,t,n,r){t=l(t);var i,o,a,s,u,c,d=0,p=e.length,f=p-1,h=t[0],m=v(h);if(m||p>1&&"string"==typeof h&&!g.checkClone&&Be.test(h))return e.each((function(i){var o=e.eq(i);m&&(t[0]=h.call(this,i,o.html())),Ve(o,t,n,r)}));if(p&&(o=(i=Re(t,e[0].ownerDocument,!1,e,r)).firstChild,1===i.childNodes.length&&(i=o),o||r)){for(s=(a=C.map(Oe(i,"script"),qe)).length;d<p;d++)u=i,d!==f&&(u=C.clone(u,!0,!0),s&&C.merge(a,Oe(u,"script"))),n.call(e[d],u,d);if(s)for(c=a[a.length-1].ownerDocument,C.map(a,$e),d=0;d<s;d++)u=a[d],Ae.test(u.type||"")&&!se.access(u,"globalEval")&&C.contains(c,u)&&(u.src&&"module"!==(u.type||"").toLowerCase()?C._evalUrl&&!u.noModule&&C._evalUrl(u.src,{nonce:u.nonce||u.getAttribute("nonce")},c):_(u.textContent.replace(ze,""),u,c))}return e}function Ge(e,t,n){for(var r,i=t?C.filter(t,e):e,o=0;null!=(r=i[o]);o++)n||1!==r.nodeType||C.cleanData(Oe(r)),r.parentNode&&(n&&ge(r)&&Pe(Oe(r,"script")),r.parentNode.removeChild(r));return e}C.extend({htmlPrefilter:function(e){return e},clone:function(e,t,n){var r,i,o,a,s=e.cloneNode(!0),l=ge(e);if(!(g.noCloneChecked||1!==e.nodeType&&11!==e.nodeType||C.isXMLDoc(e)))for(a=Oe(s),r=0,i=(o=Oe(e)).length;r<i;r++)He(o[r],a[r]);if(t)if(n)for(o=o||Oe(e),a=a||Oe(s),r=0,i=o.length;r<i;r++)We(o[r],a[r]);else We(e,s);return(a=Oe(s,"script")).length>0&&Pe(a,!l&&Oe(e,"script")),s},cleanData:function(e){for(var t,n,r,i=C.event.special,o=0;void 0!==(n=e[o]);o++)if(oe(n)){if(t=n[se.expando]){if(t.events)for(r in t.events)i[r]?C.event.remove(n,r):C.removeEvent(n,r,t.handle);n[se.expando]=void 0}n[le.expando]&&(n[le.expando]=void 0)}}}),C.fn.extend({detach:function(e){return Ge(this,e,!0)},remove:function(e){return Ge(this,e)},text:function(e){return ee(this,(function(e){return void 0===e?C.text(this):this.empty().each((function(){1!==this.nodeType&&11!==this.nodeType&&9!==this.nodeType||(this.textContent=e)}))}),null,e,arguments.length)},append:function(){return Ve(this,arguments,(function(e){1!==this.nodeType&&11!==this.nodeType&&9!==this.nodeType||Ue(this,e).appendChild(e)}))},prepend:function(){return Ve(this,arguments,(function(e){if(1===this.nodeType||11===this.nodeType||9===this.nodeType){var t=Ue(this,e);t.insertBefore(e,t.firstChild)}}))},before:function(){return Ve(this,arguments,(function(e){this.parentNode&&this.parentNode.insertBefore(e,this)}))},after:function(){return Ve(this,arguments,(function(e){this.parentNode&&this.parentNode.insertBefore(e,this.nextSibling)}))},empty:function(){for(var e,t=0;null!=(e=this[t]);t++)1===e.nodeType&&(C.cleanData(Oe(e,!1)),e.textContent="");return this},clone:function(e,t){return e=null!=e&&e,t=null==t?e:t,this.map((function(){return C.clone(this,e,t)}))},html:function(e){return ee(this,(function(e){var t=this[0]||{},n=0,r=this.length;if(void 0===e&&1===t.nodeType)return t.innerHTML;if("string"==typeof e&&!Fe.test(e)&&!Te[(Se.exec(e)||["",""])[1].toLowerCase()]){e=C.htmlPrefilter(e);try{for(;n<r;n++)1===(t=this[n]||{}).nodeType&&(C.cleanData(Oe(t,!1)),t.innerHTML=e);t=0}catch(e){}}t&&this.empty().append(e)}),null,e,arguments.length)},replaceWith:function(){var e=[];return Ve(this,arguments,(function(t){var n=this.parentNode;C.inArray(this,e)<0&&(C.cleanData(Oe(this)),n&&n.replaceChild(t,this))}),e)}}),C.each({appendTo:"append",prependTo:"prepend",insertBefore:"before",insertAfter:"after",replaceAll:"replaceWith"},(function(e,t){C.fn[e]=function(e){for(var n,r=[],i=C(e),o=i.length-1,a=0;a<=o;a++)n=a===o?this:this.clone(!0),C(i[a])[t](n),u.apply(r,n.get());return this.pushStack(r)}}));var Ke=new RegExp("^("+pe+")(?!px)[a-z%]+$","i"),Ye=/^--/,Ze=function(e){var t=e.ownerDocument.defaultView;return t&&t.opener||(t=r),t.getComputedStyle(e)},Xe=function(e,t,n){var r,i,o={};for(i in t)o[i]=e.style[i],e.style[i]=t[i];for(i in r=n.call(e),t)e.style[i]=o[i];return r},Qe=new RegExp(he.join("|"),"i");function Je(e,t,n){var r,i,o,a,s=Ye.test(t),l=e.style;return(n=n||Ze(e))&&(a=n.getPropertyValue(t)||n[t],s&&a&&(a=a.replace(R,"$1")||void 0),""!==a||ge(e)||(a=C.style(e,t)),!g.pixelBoxStyles()&&Ke.test(a)&&Qe.test(t)&&(r=l.width,i=l.minWidth,o=l.maxWidth,l.minWidth=l.maxWidth=l.width=a,a=n.width,l.width=r,l.minWidth=i,l.maxWidth=o)),void 0!==a?a+"":a}function et(e,t){return{get:function(){if(!e())return(this.get=t).apply(this,arguments);delete this.get}}}!function(){function e(){if(c){u.style.cssText="position:absolute;left:-11111px;width:60px;margin-top:1px;padding:0;border:0",c.style.cssText="position:relative;display:block;box-sizing:border-box;overflow:scroll;margin:auto;border:1px;padding:1px;width:60%;top:1%",me.appendChild(u).appendChild(c);var e=r.getComputedStyle(c);n="1%"!==e.top,l=12===t(e.marginLeft),c.style.right="60%",a=36===t(e.right),i=36===t(e.width),c.style.position="absolute",o=12===t(c.offsetWidth/3),me.removeChild(u),c=null}}function t(e){return Math.round(parseFloat(e))}var n,i,o,a,s,l,u=b.createElement("div"),c=b.createElement("div");c.style&&(c.style.backgroundClip="content-box",c.cloneNode(!0).style.backgroundClip="",g.clearCloneStyle="content-box"===c.style.backgroundClip,C.extend(g,{boxSizingReliable:function(){return e(),i},pixelBoxStyles:function(){return e(),a},pixelPosition:function(){return e(),n},reliableMarginLeft:function(){return e(),l},scrollboxSize:function(){return e(),o},reliableTrDimensions:function(){var e,t,n,i;return null==s&&(e=b.createElement("table"),t=b.createElement("tr"),n=b.createElement("div"),e.style.cssText="position:absolute;left:-11111px;border-collapse:separate",t.style.cssText="box-sizing:content-box;border:1px solid",t.style.height="1px",n.style.height="9px",n.style.display="block",me.appendChild(e).appendChild(t).appendChild(n),i=r.getComputedStyle(t),s=parseInt(i.height,10)+parseInt(i.borderTopWidth,10)+parseInt(i.borderBottomWidth,10)===t.offsetHeight,me.removeChild(e)),s}}))}();var tt=["Webkit","Moz","ms"],nt=b.createElement("div").style,rt={};function it(e){return C.cssProps[e]||rt[e]||(e in nt?e:rt[e]=function(e){for(var t=e[0].toUpperCase()+e.slice(1),n=tt.length;n--;)if((e=tt[n]+t)in nt)return e}(e)||e)}var ot=/^(none|table(?!-c[ea]).+)/,at={position:"absolute",visibility:"hidden",display:"block"},st={letterSpacing:"0",fontWeight:"400"};function lt(e,t,n){var r=fe.exec(t);return r?Math.max(0,r[2]-(n||0))+(r[3]||"px"):t}function ut(e,t,n,r,i,o){var a="width"===t?1:0,s=0,l=0,u=0;if(n===(r?"border":"content"))return 0;for(;a<4;a+=2)"margin"===n&&(u+=C.css(e,n+he[a],!0,i)),r?("content"===n&&(l-=C.css(e,"padding"+he[a],!0,i)),"margin"!==n&&(l-=C.css(e,"border"+he[a]+"Width",!0,i))):(l+=C.css(e,"padding"+he[a],!0,i),"padding"!==n?l+=C.css(e,"border"+he[a]+"Width",!0,i):s+=C.css(e,"border"+he[a]+"Width",!0,i));return!r&&o>=0&&(l+=Math.max(0,Math.ceil(e["offset"+t[0].toUpperCase()+t.slice(1)]-o-l-s-.5))||0),l+u}function ct(e,t,n){var r=Ze(e),i=(!g.boxSizingReliable()||n)&&"border-box"===C.css(e,"boxSizing",!1,r),o=i,a=Je(e,t,r),s="offset"+t[0].toUpperCase()+t.slice(1);if(Ke.test(a)){if(!n)return a;a="auto"}return(!g.boxSizingReliable()&&i||!g.reliableTrDimensions()&&A(e,"tr")||"auto"===a||!parseFloat(a)&&"inline"===C.css(e,"display",!1,r))&&e.getClientRects().length&&(i="border-box"===C.css(e,"boxSizing",!1,r),(o=s in e)&&(a=e[s])),(a=parseFloat(a)||0)+ut(e,t,n||(i?"border":"content"),o,r,a)+"px"}function dt(e,t,n,r,i){return new dt.prototype.init(e,t,n,r,i)}C.extend({cssHooks:{opacity:{get:function(e,t){if(t){var n=Je(e,"opacity");return""===n?"1":n}}}},cssNumber:{animationIterationCount:!0,aspectRatio:!0,borderImageSlice:!0,columnCount:!0,flexGrow:!0,flexShrink:!0,fontWeight:!0,gridArea:!0,gridColumn:!0,gridColumnEnd:!0,gridColumnStart:!0,gridRow:!0,gridRowEnd:!0,gridRowStart:!0,lineHeight:!0,opacity:!0,order:!0,orphans:!0,scale:!0,widows:!0,zIndex:!0,zoom:!0,fillOpacity:!0,floodOpacity:!0,stopOpacity:!0,strokeMiterlimit:!0,strokeOpacity:!0},cssProps:{},style:function(e,t,n,r){if(e&&3!==e.nodeType&&8!==e.nodeType&&e.style){var i,o,a,s=ie(t),l=Ye.test(t),u=e.style;if(l||(t=it(s)),a=C.cssHooks[t]||C.cssHooks[s],void 0===n)return a&&"get"in a&&void 0!==(i=a.get(e,!1,r))?i:u[t];"string"==(o=typeof n)&&(i=fe.exec(n))&&i[1]&&(n=be(e,t,i),o="number"),null!=n&&n==n&&("number"!==o||l||(n+=i&&i[3]||(C.cssNumber[s]?"":"px")),g.clearCloneStyle||""!==n||0!==t.indexOf("background")||(u[t]="inherit"),a&&"set"in a&&void 0===(n=a.set(e,n,r))||(l?u.setProperty(t,n):u[t]=n))}},css:function(e,t,n,r){var i,o,a,s=ie(t);return Ye.test(t)||(t=it(s)),(a=C.cssHooks[t]||C.cssHooks[s])&&"get"in a&&(i=a.get(e,!0,n)),void 0===i&&(i=Je(e,t,r)),"normal"===i&&t in st&&(i=st[t]),""===n||n?(o=parseFloat(i),!0===n||isFinite(o)?o||0:i):i}}),C.each(["height","width"],(function(e,t){C.cssHooks[t]={get:function(e,n,r){if(n)return!ot.test(C.css(e,"display"))||e.getClientRects().length&&e.getBoundingClientRect().width?ct(e,t,r):Xe(e,at,(function(){return ct(e,t,r)}))},set:function(e,n,r){var i,o=Ze(e),a=!g.scrollboxSize()&&"absolute"===o.position,s=(a||r)&&"border-box"===C.css(e,"boxSizing",!1,o),l=r?ut(e,t,r,s,o):0;return s&&a&&(l-=Math.ceil(e["offset"+t[0].toUpperCase()+t.slice(1)]-parseFloat(o[t])-ut(e,t,"border",!1,o)-.5)),l&&(i=fe.exec(n))&&"px"!==(i[3]||"px")&&(e.style[t]=n,n=C.css(e,t)),lt(0,n,l)}}})),C.cssHooks.marginLeft=et(g.reliableMarginLeft,(function(e,t){if(t)return(parseFloat(Je(e,"marginLeft"))||e.getBoundingClientRect().left-Xe(e,{marginLeft:0},(function(){return e.getBoundingClientRect().left})))+"px"})),C.each({margin:"",padding:"",border:"Width"},(function(e,t){C.cssHooks[e+t]={expand:function(n){for(var r=0,i={},o="string"==typeof n?n.split(" "):[n];r<4;r++)i[e+he[r]+t]=o[r]||o[r-2]||o[0];return i}},"margin"!==e&&(C.cssHooks[e+t].set=lt)})),C.fn.extend({css:function(e,t){return ee(this,(function(e,t,n){var r,i,o={},a=0;if(Array.isArray(t)){for(r=Ze(e),i=t.length;a<i;a++)o[t[a]]=C.css(e,t[a],!1,r);return o}return void 0!==n?C.style(e,t,n):C.css(e,t)}),e,t,arguments.length>1)}}),C.Tween=dt,dt.prototype={constructor:dt,init:function(e,t,n,r,i,o){this.elem=e,this.prop=n,this.easing=i||C.easing._default,this.options=t,this.start=this.now=this.cur(),this.end=r,this.unit=o||(C.cssNumber[n]?"":"px")},cur:function(){var e=dt.propHooks[this.prop];return e&&e.get?e.get(this):dt.propHooks._default.get(this)},run:function(e){var t,n=dt.propHooks[this.prop];return this.options.duration?this.pos=t=C.easing[this.easing](e,this.options.duration*e,0,1,this.options.duration):this.pos=t=e,this.now=(this.end-this.start)*t+this.start,this.options.step&&this.options.step.call(this.elem,this.now,this),n&&n.set?n.set(this):dt.propHooks._default.set(this),this}},dt.prototype.init.prototype=dt.prototype,dt.propHooks={_default:{get:function(e){var t;return 1!==e.elem.nodeType||null!=e.elem[e.prop]&&null==e.elem.style[e.prop]?e.elem[e.prop]:(t=C.css(e.elem,e.prop,""))&&"auto"!==t?t:0},set:function(e){C.fx.step[e.prop]?C.fx.step[e.prop](e):1!==e.elem.nodeType||!C.cssHooks[e.prop]&&null==e.elem.style[it(e.prop)]?e.elem[e.prop]=e.now:C.style(e.elem,e.prop,e.now+e.unit)}}},dt.propHooks.scrollTop=dt.propHooks.scrollLeft={set:function(e){e.elem.nodeType&&e.elem.parentNode&&(e.elem[e.prop]=e.now)}},C.easing={linear:function(e){return e},swing:function(e){return.5-Math.cos(e*Math.PI)/2},_default:"swing"},C.fx=dt.prototype.init,C.fx.step={};var pt,ft,ht=/^(?:toggle|show|hide)$/,mt=/queueHooks$/;function gt(){ft&&(!1===b.hidden&&r.requestAnimationFrame?r.requestAnimationFrame(gt):r.setTimeout(gt,C.fx.interval),C.fx.tick())}function vt(){return r.setTimeout((function(){pt=void 0})),pt=Date.now()}function yt(e,t){var n,r=0,i={height:e};for(t=t?1:0;r<4;r+=2-t)i["margin"+(n=he[r])]=i["padding"+n]=e;return t&&(i.opacity=i.width=e),i}function bt(e,t,n){for(var r,i=(wt.tweeners[t]||[]).concat(wt.tweeners["*"]),o=0,a=i.length;o<a;o++)if(r=i[o].call(n,t,e))return r}function wt(e,t,n){var r,i,o=0,a=wt.prefilters.length,s=C.Deferred().always((function(){delete l.elem})),l=function(){if(i)return!1;for(var t=pt||vt(),n=Math.max(0,u.startTime+u.duration-t),r=1-(n/u.duration||0),o=0,a=u.tweens.length;o<a;o++)u.tweens[o].run(r);return s.notifyWith(e,[u,r,n]),r<1&&a?n:(a||s.notifyWith(e,[u,1,0]),s.resolveWith(e,[u]),!1)},u=s.promise({elem:e,props:C.extend({},t),opts:C.extend(!0,{specialEasing:{},easing:C.easing._default},n),originalProperties:t,originalOptions:n,startTime:pt||vt(),duration:n.duration,tweens:[],createTween:function(t,n){var r=C.Tween(e,u.opts,t,n,u.opts.specialEasing[t]||u.opts.easing);return u.tweens.push(r),r},stop:function(t){var n=0,r=t?u.tweens.length:0;if(i)return this;for(i=!0;n<r;n++)u.tweens[n].run(1);return t?(s.notifyWith(e,[u,1,0]),s.resolveWith(e,[u,t])):s.rejectWith(e,[u,t]),this}}),c=u.props;for(function(e,t){var n,r,i,o,a;for(n in e)if(i=t[r=ie(n)],o=e[n],Array.isArray(o)&&(i=o[1],o=e[n]=o[0]),n!==r&&(e[r]=o,delete e[n]),(a=C.cssHooks[r])&&"expand"in a)for(n in o=a.expand(o),delete e[r],o)n in e||(e[n]=o[n],t[n]=i);else t[r]=i}(c,u.opts.specialEasing);o<a;o++)if(r=wt.prefilters[o].call(u,e,c,u.opts))return v(r.stop)&&(C._queueHooks(u.elem,u.opts.queue).stop=r.stop.bind(r)),r;return C.map(c,bt,u),v(u.opts.start)&&u.opts.start.call(e,u),u.progress(u.opts.progress).done(u.opts.done,u.opts.complete).fail(u.opts.fail).always(u.opts.always),C.fx.timer(C.extend(l,{elem:e,anim:u,queue:u.opts.queue})),u}C.Animation=C.extend(wt,{tweeners:{"*":[function(e,t){var n=this.createTween(e,t);return be(n.elem,e,fe.exec(t),n),n}]},tweener:function(e,t){v(e)?(t=e,e=["*"]):e=e.match(G);for(var n,r=0,i=e.length;r<i;r++)n=e[r],wt.tweeners[n]=wt.tweeners[n]||[],wt.tweeners[n].unshift(t)},prefilters:[function(e,t,n){var r,i,o,a,s,l,u,c,d="width"in t||"height"in t,p=this,f={},h=e.style,m=e.nodeType&&ye(e),g=se.get(e,"fxshow");for(r in n.queue||(null==(a=C._queueHooks(e,"fx")).unqueued&&(a.unqueued=0,s=a.empty.fire,a.empty.fire=function(){a.unqueued||s()}),a.unqueued++,p.always((function(){p.always((function(){a.unqueued--,C.queue(e,"fx").length||a.empty.fire()}))}))),t)if(i=t[r],ht.test(i)){if(delete t[r],o=o||"toggle"===i,i===(m?"hide":"show")){if("show"!==i||!g||void 0===g[r])continue;m=!0}f[r]=g&&g[r]||C.style(e,r)}if((l=!C.isEmptyObject(t))||!C.isEmptyObject(f))for(r in d&&1===e.nodeType&&(n.overflow=[h.overflow,h.overflowX,h.overflowY],null==(u=g&&g.display)&&(u=se.get(e,"display")),"none"===(c=C.css(e,"display"))&&(u?c=u:(xe([e],!0),u=e.style.display||u,c=C.css(e,"display"),xe([e]))),("inline"===c||"inline-block"===c&&null!=u)&&"none"===C.css(e,"float")&&(l||(p.done((function(){h.display=u})),null==u&&(c=h.display,u="none"===c?"":c)),h.display="inline-block")),n.overflow&&(h.overflow="hidden",p.always((function(){h.overflow=n.overflow[0],h.overflowX=n.overflow[1],h.overflowY=n.overflow[2]}))),l=!1,f)l||(g?"hidden"in g&&(m=g.hidden):g=se.access(e,"fxshow",{display:u}),o&&(g.hidden=!m),m&&xe([e],!0),p.done((function(){for(r in m||xe([e]),se.remove(e,"fxshow"),f)C.style(e,r,f[r])}))),l=bt(m?g[r]:0,r,p),r in g||(g[r]=l.start,m&&(l.end=l.start,l.start=0))}],prefilter:function(e,t){t?wt.prefilters.unshift(e):wt.prefilters.push(e)}}),C.speed=function(e,t,n){var r=e&&"object"==typeof e?C.extend({},e):{complete:n||!n&&t||v(e)&&e,duration:e,easing:n&&t||t&&!v(t)&&t};return C.fx.off?r.duration=0:"number"!=typeof r.duration&&(r.duration in C.fx.speeds?r.duration=C.fx.speeds[r.duration]:r.duration=C.fx.speeds._default),null!=r.queue&&!0!==r.queue||(r.queue="fx"),r.old=r.complete,r.complete=function(){v(r.old)&&r.old.call(this),r.queue&&C.dequeue(this,r.queue)},r},C.fn.extend({fadeTo:function(e,t,n,r){return this.filter(ye).css("opacity",0).show().end().animate({opacity:t},e,n,r)},animate:function(e,t,n,r){var i=C.isEmptyObject(e),o=C.speed(t,n,r),a=function(){var t=wt(this,C.extend({},e),o);(i||se.get(this,"finish"))&&t.stop(!0)};return a.finish=a,i||!1===o.queue?this.each(a):this.queue(o.queue,a)},stop:function(e,t,n){var r=function(e){var t=e.stop;delete e.stop,t(n)};return"string"!=typeof e&&(n=t,t=e,e=void 0),t&&this.queue(e||"fx",[]),this.each((function(){var t=!0,i=null!=e&&e+"queueHooks",o=C.timers,a=se.get(this);if(i)a[i]&&a[i].stop&&r(a[i]);else for(i in a)a[i]&&a[i].stop&&mt.test(i)&&r(a[i]);for(i=o.length;i--;)o[i].elem!==this||null!=e&&o[i].queue!==e||(o[i].anim.stop(n),t=!1,o.splice(i,1));!t&&n||C.dequeue(this,e)}))},finish:function(e){return!1!==e&&(e=e||"fx"),this.each((function(){var t,n=se.get(this),r=n[e+"queue"],i=n[e+"queueHooks"],o=C.timers,a=r?r.length:0;for(n.finish=!0,C.queue(this,e,[]),i&&i.stop&&i.stop.call(this,!0),t=o.length;t--;)o[t].elem===this&&o[t].queue===e&&(o[t].anim.stop(!0),o.splice(t,1));for(t=0;t<a;t++)r[t]&&r[t].finish&&r[t].finish.call(this);delete n.finish}))}}),C.each(["toggle","show","hide"],(function(e,t){var n=C.fn[t];C.fn[t]=function(e,r,i){return null==e||"boolean"==typeof e?n.apply(this,arguments):this.animate(yt(t,!0),e,r,i)}})),C.each({slideDown:yt("show"),slideUp:yt("hide"),slideToggle:yt("toggle"),fadeIn:{opacity:"show"},fadeOut:{opacity:"hide"},fadeToggle:{opacity:"toggle"}},(function(e,t){C.fn[e]=function(e,n,r){return this.animate(t,e,n,r)}})),C.timers=[],C.fx.tick=function(){var e,t=0,n=C.timers;for(pt=Date.now();t<n.length;t++)(e=n[t])()||n[t]!==e||n.splice(t--,1);n.length||C.fx.stop(),pt=void 0},C.fx.timer=function(e){C.timers.push(e),C.fx.start()},C.fx.interval=13,C.fx.start=function(){ft||(ft=!0,gt())},C.fx.stop=function(){ft=null},C.fx.speeds={slow:600,fast:200,_default:400},C.fn.delay=function(e,t){return e=C.fx&&C.fx.speeds[e]||e,t=t||"fx",this.queue(t,(function(t,n){var i=r.setTimeout(t,e);n.stop=function(){r.clearTimeout(i)}}))},function(){var e=b.createElement("input"),t=b.createElement("select").appendChild(b.createElement("option"));e.type="checkbox",g.checkOn=""!==e.value,g.optSelected=t.selected,(e=b.createElement("input")).value="t",e.type="radio",g.radioValue="t"===e.value}();var _t,xt=C.expr.attrHandle;C.fn.extend({attr:function(e,t){return ee(this,C.attr,e,t,arguments.length>1)},removeAttr:function(e){return this.each((function(){C.removeAttr(this,e)}))}}),C.extend({attr:function(e,t,n){var r,i,o=e.nodeType;if(3!==o&&8!==o&&2!==o)return void 0===e.getAttribute?C.prop(e,t,n):(1===o&&C.isXMLDoc(e)||(i=C.attrHooks[t.toLowerCase()]||(C.expr.match.bool.test(t)?_t:void 0)),void 0!==n?null===n?void C.removeAttr(e,t):i&&"set"in i&&void 0!==(r=i.set(e,n,t))?r:(e.setAttribute(t,n+""),n):i&&"get"in i&&null!==(r=i.get(e,t))?r:null==(r=C.find.attr(e,t))?void 0:r)},attrHooks:{type:{set:function(e,t){if(!g.radioValue&&"radio"===t&&A(e,"input")){var n=e.value;return e.setAttribute("type",t),n&&(e.value=n),t}}}},removeAttr:function(e,t){var n,r=0,i=t&&t.match(G);if(i&&1===e.nodeType)for(;n=i[r++];)e.removeAttribute(n)}}),_t={set:function(e,t,n){return!1===t?C.removeAttr(e,n):e.setAttribute(n,n),n}},C.each(C.expr.match.bool.source.match(/\w+/g),(function(e,t){var n=xt[t]||C.find.attr;xt[t]=function(e,t,r){var i,o,a=t.toLowerCase();return r||(o=xt[a],xt[a]=i,i=null!=n(e,t,r)?a:null,xt[a]=o),i}}));var kt=/^(?:input|select|textarea|button)$/i,Et=/^(?:a|area)$/i;function Ct(e){return(e.match(G)||[]).join(" ")}function St(e){return e.getAttribute&&e.getAttribute("class")||""}function At(e){return Array.isArray(e)?e:"string"==typeof e&&e.match(G)||[]}C.fn.extend({prop:function(e,t){return ee(this,C.prop,e,t,arguments.length>1)},removeProp:function(e){return this.each((function(){delete this[C.propFix[e]||e]}))}}),C.extend({prop:function(e,t,n){var r,i,o=e.nodeType;if(3!==o&&8!==o&&2!==o)return 1===o&&C.isXMLDoc(e)||(t=C.propFix[t]||t,i=C.propHooks[t]),void 0!==n?i&&"set"in i&&void 0!==(r=i.set(e,n,t))?r:e[t]=n:i&&"get"in i&&null!==(r=i.get(e,t))?r:e[t]},propHooks:{tabIndex:{get:function(e){var t=C.find.attr(e,"tabindex");return t?parseInt(t,10):kt.test(e.nodeName)||Et.test(e.nodeName)&&e.href?0:-1}}},propFix:{for:"htmlFor",class:"className"}}),g.optSelected||(C.propHooks.selected={get:function(e){var t=e.parentNode;return t&&t.parentNode&&t.parentNode.selectedIndex,null},set:function(e){var t=e.parentNode;t&&(t.selectedIndex,t.parentNode&&t.parentNode.selectedIndex)}}),C.each(["tabIndex","readOnly","maxLength","cellSpacing","cellPadding","rowSpan","colSpan","useMap","frameBorder","contentEditable"],(function(){C.propFix[this.toLowerCase()]=this})),C.fn.extend({addClass:function(e){var t,n,r,i,o,a;return v(e)?this.each((function(t){C(this).addClass(e.call(this,t,St(this)))})):(t=At(e)).length?this.each((function(){if(r=St(this),n=1===this.nodeType&&" "+Ct(r)+" "){for(o=0;o<t.length;o++)i=t[o],n.indexOf(" "+i+" ")<0&&(n+=i+" ");a=Ct(n),r!==a&&this.setAttribute("class",a)}})):this},removeClass:function(e){var t,n,r,i,o,a;return v(e)?this.each((function(t){C(this).removeClass(e.call(this,t,St(this)))})):arguments.length?(t=At(e)).length?this.each((function(){if(r=St(this),n=1===this.nodeType&&" "+Ct(r)+" "){for(o=0;o<t.length;o++)for(i=t[o];n.indexOf(" "+i+" ")>-1;)n=n.replace(" "+i+" "," ");a=Ct(n),r!==a&&this.setAttribute("class",a)}})):this:this.attr("class","")},toggleClass:function(e,t){var n,r,i,o,a=typeof e,s="string"===a||Array.isArray(e);return v(e)?this.each((function(n){C(this).toggleClass(e.call(this,n,St(this),t),t)})):"boolean"==typeof t&&s?t?this.addClass(e):this.removeClass(e):(n=At(e),this.each((function(){if(s)for(o=C(this),i=0;i<n.length;i++)r=n[i],o.hasClass(r)?o.removeClass(r):o.addClass(r);else void 0!==e&&"boolean"!==a||((r=St(this))&&se.set(this,"__className__",r),this.setAttribute&&this.setAttribute("class",r||!1===e?"":se.get(this,"__className__")||""))})))},hasClass:function(e){var t,n,r=0;for(t=" "+e+" ";n=this[r++];)if(1===n.nodeType&&(" "+Ct(St(n))+" ").indexOf(t)>-1)return!0;return!1}});var Tt=/\r/g;C.fn.extend({val:function(e){var t,n,r,i=this[0];return arguments.length?(r=v(e),this.each((function(n){var i;1===this.nodeType&&(null==(i=r?e.call(this,n,C(this).val()):e)?i="":"number"==typeof i?i+="":Array.isArray(i)&&(i=C.map(i,(function(e){return null==e?"":e+""}))),(t=C.valHooks[this.type]||C.valHooks[this.nodeName.toLowerCase()])&&"set"in t&&void 0!==t.set(this,i,"value")||(this.value=i))}))):i?(t=C.valHooks[i.type]||C.valHooks[i.nodeName.toLowerCase()])&&"get"in t&&void 0!==(n=t.get(i,"value"))?n:"string"==typeof(n=i.value)?n.replace(Tt,""):null==n?"":n:void 0}}),C.extend({valHooks:{option:{get:function(e){var t=C.find.attr(e,"value");return null!=t?t:Ct(C.text(e))}},select:{get:function(e){var t,n,r,i=e.options,o=e.selectedIndex,a="select-one"===e.type,s=a?null:[],l=a?o+1:i.length;for(r=o<0?l:a?o:0;r<l;r++)if(((n=i[r]).selected||r===o)&&!n.disabled&&(!n.parentNode.disabled||!A(n.parentNode,"optgroup"))){if(t=C(n).val(),a)return t;s.push(t)}return s},set:function(e,t){for(var n,r,i=e.options,o=C.makeArray(t),a=i.length;a--;)((r=i[a]).selected=C.inArray(C.valHooks.option.get(r),o)>-1)&&(n=!0);return n||(e.selectedIndex=-1),o}}}}),C.each(["radio","checkbox"],(function(){C.valHooks[this]={set:function(e,t){if(Array.isArray(t))return e.checked=C.inArray(C(e).val(),t)>-1}},g.checkOn||(C.valHooks[this].get=function(e){return null===e.getAttribute("value")?"on":e.value})}));var Ot=r.location,Pt={guid:Date.now()},Dt=/\?/;C.parseXML=function(e){var t,n;if(!e||"string"!=typeof e)return null;try{t=(new r.DOMParser).parseFromString(e,"text/xml")}catch(e){}return n=t&&t.getElementsByTagName("parsererror")[0],t&&!n||C.error("Invalid XML: "+(n?C.map(n.childNodes,(function(e){return e.textContent})).join("\n"):e)),t};var Rt=/^(?:focusinfocus|focusoutblur)$/,It=function(e){e.stopPropagation()};C.extend(C.event,{trigger:function(e,t,n,i){var o,a,s,l,u,c,d,p,h=[n||b],m=f.call(e,"type")?e.type:e,g=f.call(e,"namespace")?e.namespace.split("."):[];if(a=p=s=n=n||b,3!==n.nodeType&&8!==n.nodeType&&!Rt.test(m+C.event.triggered)&&(m.indexOf(".")>-1&&(g=m.split("."),m=g.shift(),g.sort()),u=m.indexOf(":")<0&&"on"+m,(e=e[C.expando]?e:new C.Event(m,"object"==typeof e&&e)).isTrigger=i?2:3,e.namespace=g.join("."),e.rnamespace=e.namespace?new RegExp("(^|\\.)"+g.join("\\.(?:.*\\.|)")+"(\\.|$)"):null,e.result=void 0,e.target||(e.target=n),t=null==t?[e]:C.makeArray(t,[e]),d=C.event.special[m]||{},i||!d.trigger||!1!==d.trigger.apply(n,t))){if(!i&&!d.noBubble&&!y(n)){for(l=d.delegateType||m,Rt.test(l+m)||(a=a.parentNode);a;a=a.parentNode)h.push(a),s=a;s===(n.ownerDocument||b)&&h.push(s.defaultView||s.parentWindow||r)}for(o=0;(a=h[o++])&&!e.isPropagationStopped();)p=a,e.type=o>1?l:d.bindType||m,(c=(se.get(a,"events")||Object.create(null))[e.type]&&se.get(a,"handle"))&&c.apply(a,t),(c=u&&a[u])&&c.apply&&oe(a)&&(e.result=c.apply(a,t),!1===e.result&&e.preventDefault());return e.type=m,i||e.isDefaultPrevented()||d._default&&!1!==d._default.apply(h.pop(),t)||!oe(n)||u&&v(n[m])&&!y(n)&&((s=n[u])&&(n[u]=null),C.event.triggered=m,e.isPropagationStopped()&&p.addEventListener(m,It),n[m](),e.isPropagationStopped()&&p.removeEventListener(m,It),C.event.triggered=void 0,s&&(n[u]=s)),e.result}},simulate:function(e,t,n){var r=C.extend(new C.Event,n,{type:e,isSimulated:!0});C.event.trigger(r,null,t)}}),C.fn.extend({trigger:function(e,t){return this.each((function(){C.event.trigger(e,t,this)}))},triggerHandler:function(e,t){var n=this[0];if(n)return C.event.trigger(e,t,n,!0)}});var Mt=/\[\]$/,Lt=/\r?\n/g,Nt=/^(?:submit|button|image|reset|file)$/i,jt=/^(?:input|select|textarea|keygen)/i;function Ft(e,t,n,r){var i;if(Array.isArray(t))C.each(t,(function(t,i){n||Mt.test(e)?r(e,i):Ft(e+"["+("object"==typeof i&&null!=i?t:"")+"]",i,n,r)}));else if(n||"object"!==x(t))r(e,t);else for(i in t)Ft(e+"["+i+"]",t[i],n,r)}C.param=function(e,t){var n,r=[],i=function(e,t){var n=v(t)?t():t;r[r.length]=encodeURIComponent(e)+"="+encodeURIComponent(null==n?"":n)};if(null==e)return"";if(Array.isArray(e)||e.jquery&&!C.isPlainObject(e))C.each(e,(function(){i(this.name,this.value)}));else for(n in e)Ft(n,e[n],t,i);return r.join("&")},C.fn.extend({serialize:function(){return C.param(this.serializeArray())},serializeArray:function(){return this.map((function(){var e=C.prop(this,"elements");return e?C.makeArray(e):this})).filter((function(){var e=this.type;return this.name&&!C(this).is(":disabled")&&jt.test(this.nodeName)&&!Nt.test(e)&&(this.checked||!Ce.test(e))})).map((function(e,t){var n=C(this).val();return null==n?null:Array.isArray(n)?C.map(n,(function(e){return{name:t.name,value:e.replace(Lt,"\r\n")}})):{name:t.name,value:n.replace(Lt,"\r\n")}})).get()}});var Bt=/%20/g,zt=/#.*$/,Ut=/([?&])_=[^&]*/,qt=/^(.*?):[ \t]*([^\r\n]*)$/gm,$t=/^(?:GET|HEAD)$/,Wt=/^\/\//,Ht={},Vt={},Gt="*/".concat("*"),Kt=b.createElement("a");function Yt(e){return function(t,n){"string"!=typeof t&&(n=t,t="*");var r,i=0,o=t.toLowerCase().match(G)||[];if(v(n))for(;r=o[i++];)"+"===r[0]?(r=r.slice(1)||"*",(e[r]=e[r]||[]).unshift(n)):(e[r]=e[r]||[]).push(n)}}function Zt(e,t,n,r){var i={},o=e===Vt;function a(s){var l;return i[s]=!0,C.each(e[s]||[],(function(e,s){var u=s(t,n,r);return"string"!=typeof u||o||i[u]?o?!(l=u):void 0:(t.dataTypes.unshift(u),a(u),!1)})),l}return a(t.dataTypes[0])||!i["*"]&&a("*")}function Xt(e,t){var n,r,i=C.ajaxSettings.flatOptions||{};for(n in t)void 0!==t[n]&&((i[n]?e:r||(r={}))[n]=t[n]);return r&&C.extend(!0,e,r),e}Kt.href=Ot.href,C.extend({active:0,lastModified:{},etag:{},ajaxSettings:{url:Ot.href,type:"GET",isLocal:/^(?:about|app|app-storage|.+-extension|file|res|widget):$/.test(Ot.protocol),global:!0,processData:!0,async:!0,contentType:"application/x-www-form-urlencoded; charset=UTF-8",accepts:{"*":Gt,text:"text/plain",html:"text/html",xml:"application/xml, text/xml",json:"application/json, text/javascript"},contents:{xml:/\bxml\b/,html:/\bhtml/,json:/\bjson\b/},responseFields:{xml:"responseXML",text:"responseText",json:"responseJSON"},converters:{"* text":String,"text html":!0,"text json":JSON.parse,"text xml":C.parseXML},flatOptions:{url:!0,context:!0}},ajaxSetup:function(e,t){return t?Xt(Xt(e,C.ajaxSettings),t):Xt(C.ajaxSettings,e)},ajaxPrefilter:Yt(Ht),ajaxTransport:Yt(Vt),ajax:function(e,t){"object"==typeof e&&(t=e,e=void 0),t=t||{};var n,i,o,a,s,l,u,c,d,p,f=C.ajaxSetup({},t),h=f.context||f,m=f.context&&(h.nodeType||h.jquery)?C(h):C.event,g=C.Deferred(),v=C.Callbacks("once memory"),y=f.statusCode||{},w={},_={},x="canceled",k={readyState:0,getResponseHeader:function(e){var t;if(u){if(!a)for(a={};t=qt.exec(o);)a[t[1].toLowerCase()+" "]=(a[t[1].toLowerCase()+" "]||[]).concat(t[2]);t=a[e.toLowerCase()+" "]}return null==t?null:t.join(", ")},getAllResponseHeaders:function(){return u?o:null},setRequestHeader:function(e,t){return null==u&&(e=_[e.toLowerCase()]=_[e.toLowerCase()]||e,w[e]=t),this},overrideMimeType:function(e){return null==u&&(f.mimeType=e),this},statusCode:function(e){var t;if(e)if(u)k.always(e[k.status]);else for(t in e)y[t]=[y[t],e[t]];return this},abort:function(e){var t=e||x;return n&&n.abort(t),E(0,t),this}};if(g.promise(k),f.url=((e||f.url||Ot.href)+"").replace(Wt,Ot.protocol+"//"),f.type=t.method||t.type||f.method||f.type,f.dataTypes=(f.dataType||"*").toLowerCase().match(G)||[""],null==f.crossDomain){l=b.createElement("a");try{l.href=f.url,l.href=l.href,f.crossDomain=Kt.protocol+"//"+Kt.host!=l.protocol+"//"+l.host}catch(e){f.crossDomain=!0}}if(f.data&&f.processData&&"string"!=typeof f.data&&(f.data=C.param(f.data,f.traditional)),Zt(Ht,f,t,k),u)return k;for(d in(c=C.event&&f.global)&&0==C.active++&&C.event.trigger("ajaxStart"),f.type=f.type.toUpperCase(),f.hasContent=!$t.test(f.type),i=f.url.replace(zt,""),f.hasContent?f.data&&f.processData&&0===(f.contentType||"").indexOf("application/x-www-form-urlencoded")&&(f.data=f.data.replace(Bt,"+")):(p=f.url.slice(i.length),f.data&&(f.processData||"string"==typeof f.data)&&(i+=(Dt.test(i)?"&":"?")+f.data,delete f.data),!1===f.cache&&(i=i.replace(Ut,"$1"),p=(Dt.test(i)?"&":"?")+"_="+Pt.guid+++p),f.url=i+p),f.ifModified&&(C.lastModified[i]&&k.setRequestHeader("If-Modified-Since",C.lastModified[i]),C.etag[i]&&k.setRequestHeader("If-None-Match",C.etag[i])),(f.data&&f.hasContent&&!1!==f.contentType||t.contentType)&&k.setRequestHeader("Content-Type",f.contentType),k.setRequestHeader("Accept",f.dataTypes[0]&&f.accepts[f.dataTypes[0]]?f.accepts[f.dataTypes[0]]+("*"!==f.dataTypes[0]?", "+Gt+"; q=0.01":""):f.accepts["*"]),f.headers)k.setRequestHeader(d,f.headers[d]);if(f.beforeSend&&(!1===f.beforeSend.call(h,k,f)||u))return k.abort();if(x="abort",v.add(f.complete),k.done(f.success),k.fail(f.error),n=Zt(Vt,f,t,k)){if(k.readyState=1,c&&m.trigger("ajaxSend",[k,f]),u)return k;f.async&&f.timeout>0&&(s=r.setTimeout((function(){k.abort("timeout")}),f.timeout));try{u=!1,n.send(w,E)}catch(e){if(u)throw e;E(-1,e)}}else E(-1,"No Transport");function E(e,t,a,l){var d,p,b,w,_,x=t;u||(u=!0,s&&r.clearTimeout(s),n=void 0,o=l||"",k.readyState=e>0?4:0,d=e>=200&&e<300||304===e,a&&(w=function(e,t,n){for(var r,i,o,a,s=e.contents,l=e.dataTypes;"*"===l[0];)l.shift(),void 0===r&&(r=e.mimeType||t.getResponseHeader("Content-Type"));if(r)for(i in s)if(s[i]&&s[i].test(r)){l.unshift(i);break}if(l[0]in n)o=l[0];else{for(i in n){if(!l[0]||e.converters[i+" "+l[0]]){o=i;break}a||(a=i)}o=o||a}if(o)return o!==l[0]&&l.unshift(o),n[o]}(f,k,a)),!d&&C.inArray("script",f.dataTypes)>-1&&C.inArray("json",f.dataTypes)<0&&(f.converters["text script"]=function(){}),w=function(e,t,n,r){var i,o,a,s,l,u={},c=e.dataTypes.slice();if(c[1])for(a in e.converters)u[a.toLowerCase()]=e.converters[a];for(o=c.shift();o;)if(e.responseFields[o]&&(n[e.responseFields[o]]=t),!l&&r&&e.dataFilter&&(t=e.dataFilter(t,e.dataType)),l=o,o=c.shift())if("*"===o)o=l;else if("*"!==l&&l!==o){if(!(a=u[l+" "+o]||u["* "+o]))for(i in u)if((s=i.split(" "))[1]===o&&(a=u[l+" "+s[0]]||u["* "+s[0]])){!0===a?a=u[i]:!0!==u[i]&&(o=s[0],c.unshift(s[1]));break}if(!0!==a)if(a&&e.throws)t=a(t);else try{t=a(t)}catch(e){return{state:"parsererror",error:a?e:"No conversion from "+l+" to "+o}}}return{state:"success",data:t}}(f,w,k,d),d?(f.ifModified&&((_=k.getResponseHeader("Last-Modified"))&&(C.lastModified[i]=_),(_=k.getResponseHeader("etag"))&&(C.etag[i]=_)),204===e||"HEAD"===f.type?x="nocontent":304===e?x="notmodified":(x=w.state,p=w.data,d=!(b=w.error))):(b=x,!e&&x||(x="error",e<0&&(e=0))),k.status=e,k.statusText=(t||x)+"",d?g.resolveWith(h,[p,x,k]):g.rejectWith(h,[k,x,b]),k.statusCode(y),y=void 0,c&&m.trigger(d?"ajaxSuccess":"ajaxError",[k,f,d?p:b]),v.fireWith(h,[k,x]),c&&(m.trigger("ajaxComplete",[k,f]),--C.active||C.event.trigger("ajaxStop")))}return k},getJSON:function(e,t,n){return C.get(e,t,n,"json")},getScript:function(e,t){return C.get(e,void 0,t,"script")}}),C.each(["get","post"],(function(e,t){C[t]=function(e,n,r,i){return v(n)&&(i=i||r,r=n,n=void 0),C.ajax(C.extend({url:e,type:t,dataType:i,data:n,success:r},C.isPlainObject(e)&&e))}})),C.ajaxPrefilter((function(e){var t;for(t in e.headers)"content-type"===t.toLowerCase()&&(e.contentType=e.headers[t]||"")})),C._evalUrl=function(e,t,n){return C.ajax({url:e,type:"GET",dataType:"script",cache:!0,async:!1,global:!1,converters:{"text script":function(){}},dataFilter:function(e){C.globalEval(e,t,n)}})},C.fn.extend({wrapAll:function(e){var t;return this[0]&&(v(e)&&(e=e.call(this[0])),t=C(e,this[0].ownerDocument).eq(0).clone(!0),this[0].parentNode&&t.insertBefore(this[0]),t.map((function(){for(var e=this;e.firstElementChild;)e=e.firstElementChild;return e})).append(this)),this},wrapInner:function(e){return v(e)?this.each((function(t){C(this).wrapInner(e.call(this,t))})):this.each((function(){var t=C(this),n=t.contents();n.length?n.wrapAll(e):t.append(e)}))},wrap:function(e){var t=v(e);return this.each((function(n){C(this).wrapAll(t?e.call(this,n):e)}))},unwrap:function(e){return this.parent(e).not("body").each((function(){C(this).replaceWith(this.childNodes)})),this}}),C.expr.pseudos.hidden=function(e){return!C.expr.pseudos.visible(e)},C.expr.pseudos.visible=function(e){return!!(e.offsetWidth||e.offsetHeight||e.getClientRects().length)},C.ajaxSettings.xhr=function(){try{return new r.XMLHttpRequest}catch(e){}};var Qt={0:200,1223:204},Jt=C.ajaxSettings.xhr();g.cors=!!Jt&&"withCredentials"in Jt,g.ajax=Jt=!!Jt,C.ajaxTransport((function(e){var t,n;if(g.cors||Jt&&!e.crossDomain)return{send:function(i,o){var a,s=e.xhr();if(s.open(e.type,e.url,e.async,e.username,e.password),e.xhrFields)for(a in e.xhrFields)s[a]=e.xhrFields[a];for(a in e.mimeType&&s.overrideMimeType&&s.overrideMimeType(e.mimeType),e.crossDomain||i["X-Requested-With"]||(i["X-Requested-With"]="XMLHttpRequest"),i)s.setRequestHeader(a,i[a]);t=function(e){return function(){t&&(t=n=s.onload=s.onerror=s.onabort=s.ontimeout=s.onreadystatechange=null,"abort"===e?s.abort():"error"===e?"number"!=typeof s.status?o(0,"error"):o(s.status,s.statusText):o(Qt[s.status]||s.status,s.statusText,"text"!==(s.responseType||"text")||"string"!=typeof s.responseText?{binary:s.response}:{text:s.responseText},s.getAllResponseHeaders()))}},s.onload=t(),n=s.onerror=s.ontimeout=t("error"),void 0!==s.onabort?s.onabort=n:s.onreadystatechange=function(){4===s.readyState&&r.setTimeout((function(){t&&n()}))},t=t("abort");try{s.send(e.hasContent&&e.data||null)}catch(e){if(t)throw e}},abort:function(){t&&t()}}})),C.ajaxPrefilter((function(e){e.crossDomain&&(e.contents.script=!1)})),C.ajaxSetup({accepts:{script:"text/javascript, application/javascript, application/ecmascript, application/x-ecmascript"},contents:{script:/\b(?:java|ecma)script\b/},converters:{"text script":function(e){return C.globalEval(e),e}}}),C.ajaxPrefilter("script",(function(e){void 0===e.cache&&(e.cache=!1),e.crossDomain&&(e.type="GET")})),C.ajaxTransport("script",(function(e){var t,n;if(e.crossDomain||e.scriptAttrs)return{send:function(r,i){t=C("<script>").attr(e.scriptAttrs||{}).prop({charset:e.scriptCharset,src:e.url}).on("load error",n=function(e){t.remove(),n=null,e&&i("error"===e.type?404:200,e.type)}),b.head.appendChild(t[0])},abort:function(){n&&n()}}}));var en,tn=[],nn=/(=)\?(?=&|$)|\?\?/;C.ajaxSetup({jsonp:"callback",jsonpCallback:function(){var e=tn.pop()||C.expando+"_"+Pt.guid++;return this[e]=!0,e}}),C.ajaxPrefilter("json jsonp",(function(e,t,n){var i,o,a,s=!1!==e.jsonp&&(nn.test(e.url)?"url":"string"==typeof e.data&&0===(e.contentType||"").indexOf("application/x-www-form-urlencoded")&&nn.test(e.data)&&"data");if(s||"jsonp"===e.dataTypes[0])return i=e.jsonpCallback=v(e.jsonpCallback)?e.jsonpCallback():e.jsonpCallback,s?e[s]=e[s].replace(nn,"$1"+i):!1!==e.jsonp&&(e.url+=(Dt.test(e.url)?"&":"?")+e.jsonp+"="+i),e.converters["script json"]=function(){return a||C.error(i+" was not called"),a[0]},e.dataTypes[0]="json",o=r[i],r[i]=function(){a=arguments},n.always((function(){void 0===o?C(r).removeProp(i):r[i]=o,e[i]&&(e.jsonpCallback=t.jsonpCallback,tn.push(i)),a&&v(o)&&o(a[0]),a=o=void 0})),"script"})),g.createHTMLDocument=((en=b.implementation.createHTMLDocument("").body).innerHTML="<form></form><form></form>",2===en.childNodes.length),C.parseHTML=function(e,t,n){return"string"!=typeof e?[]:("boolean"==typeof t&&(n=t,t=!1),t||(g.createHTMLDocument?((r=(t=b.implementation.createHTMLDocument("")).createElement("base")).href=b.location.href,t.head.appendChild(r)):t=b),o=!n&&[],(i=z.exec(e))?[t.createElement(i[1])]:(i=Re([e],t,o),o&&o.length&&C(o).remove(),C.merge([],i.childNodes)));var r,i,o},C.fn.load=function(e,t,n){var r,i,o,a=this,s=e.indexOf(" ");return s>-1&&(r=Ct(e.slice(s)),e=e.slice(0,s)),v(t)?(n=t,t=void 0):t&&"object"==typeof t&&(i="POST"),a.length>0&&C.ajax({url:e,type:i||"GET",dataType:"html",data:t}).done((function(e){o=arguments,a.html(r?C("<div>").append(C.parseHTML(e)).find(r):e)})).always(n&&function(e,t){a.each((function(){n.apply(this,o||[e.responseText,t,e])}))}),this},C.expr.pseudos.animated=function(e){return C.grep(C.timers,(function(t){return e===t.elem})).length},C.offset={setOffset:function(e,t,n){var r,i,o,a,s,l,u=C.css(e,"position"),c=C(e),d={};"static"===u&&(e.style.position="relative"),s=c.offset(),o=C.css(e,"top"),l=C.css(e,"left"),("absolute"===u||"fixed"===u)&&(o+l).indexOf("auto")>-1?(a=(r=c.position()).top,i=r.left):(a=parseFloat(o)||0,i=parseFloat(l)||0),v(t)&&(t=t.call(e,n,C.extend({},s))),null!=t.top&&(d.top=t.top-s.top+a),null!=t.left&&(d.left=t.left-s.left+i),"using"in t?t.using.call(e,d):c.css(d)}},C.fn.extend({offset:function(e){if(arguments.length)return void 0===e?this:this.each((function(t){C.offset.setOffset(this,e,t)}));var t,n,r=this[0];return r?r.getClientRects().length?(t=r.getBoundingClientRect(),n=r.ownerDocument.defaultView,{top:t.top+n.pageYOffset,left:t.left+n.pageXOffset}):{top:0,left:0}:void 0},position:function(){if(this[0]){var e,t,n,r=this[0],i={top:0,left:0};if("fixed"===C.css(r,"position"))t=r.getBoundingClientRect();else{for(t=this.offset(),n=r.ownerDocument,e=r.offsetParent||n.documentElement;e&&(e===n.body||e===n.documentElement)&&"static"===C.css(e,"position");)e=e.parentNode;e&&e!==r&&1===e.nodeType&&((i=C(e).offset()).top+=C.css(e,"borderTopWidth",!0),i.left+=C.css(e,"borderLeftWidth",!0))}return{top:t.top-i.top-C.css(r,"marginTop",!0),left:t.left-i.left-C.css(r,"marginLeft",!0)}}},offsetParent:function(){return this.map((function(){for(var e=this.offsetParent;e&&"static"===C.css(e,"position");)e=e.offsetParent;return e||me}))}}),C.each({scrollLeft:"pageXOffset",scrollTop:"pageYOffset"},(function(e,t){var n="pageYOffset"===t;C.fn[e]=function(r){return ee(this,(function(e,r,i){var o;if(y(e)?o=e:9===e.nodeType&&(o=e.defaultView),void 0===i)return o?o[t]:e[r];o?o.scrollTo(n?o.pageXOffset:i,n?i:o.pageYOffset):e[r]=i}),e,r,arguments.length)}})),C.each(["top","left"],(function(e,t){C.cssHooks[t]=et(g.pixelPosition,(function(e,n){if(n)return n=Je(e,t),Ke.test(n)?C(e).position()[t]+"px":n}))})),C.each({Height:"height",Width:"width"},(function(e,t){C.each({padding:"inner"+e,content:t,"":"outer"+e},(function(n,r){C.fn[r]=function(i,o){var a=arguments.length&&(n||"boolean"!=typeof i),s=n||(!0===i||!0===o?"margin":"border");return ee(this,(function(t,n,i){var o;return y(t)?0===r.indexOf("outer")?t["inner"+e]:t.document.documentElement["client"+e]:9===t.nodeType?(o=t.documentElement,Math.max(t.body["scroll"+e],o["scroll"+e],t.body["offset"+e],o["offset"+e],o["client"+e])):void 0===i?C.css(t,n,s):C.style(t,n,i,s)}),t,a?i:void 0,a)}}))})),C.each(["ajaxStart","ajaxStop","ajaxComplete","ajaxError","ajaxSuccess","ajaxSend"],(function(e,t){C.fn[t]=function(e){return this.on(t,e)}})),C.fn.extend({bind:function(e,t,n){return this.on(e,null,t,n)},unbind:function(e,t){return this.off(e,null,t)},delegate:function(e,t,n,r){return this.on(t,e,n,r)},undelegate:function(e,t,n){return 1===arguments.length?this.off(e,"**"):this.off(t,e||"**",n)},hover:function(e,t){return this.on("mouseenter",e).on("mouseleave",t||e)}}),C.each("blur focus focusin focusout resize scroll click dblclick mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave change select submit keydown keypress keyup contextmenu".split(" "),(function(e,t){C.fn[t]=function(e,n){return arguments.length>0?this.on(t,null,e,n):this.trigger(t)}}));var rn=/^[\s\uFEFF\xA0]+|([^\s\uFEFF\xA0])[\s\uFEFF\xA0]+$/g;C.proxy=function(e,t){var n,r,i;if("string"==typeof t&&(n=e[t],t=e,e=n),v(e))return r=s.call(arguments,2),i=function(){return e.apply(t||this,r.concat(s.call(arguments)))},i.guid=e.guid=e.guid||C.guid++,i},C.holdReady=function(e){e?C.readyWait++:C.ready(!0)},C.isArray=Array.isArray,C.parseJSON=JSON.parse,C.nodeName=A,C.isFunction=v,C.isWindow=y,C.camelCase=ie,C.type=x,C.now=Date.now,C.isNumeric=function(e){var t=C.type(e);return("number"===t||"string"===t)&&!isNaN(e-parseFloat(e))},C.trim=function(e){return null==e?"":(e+"").replace(rn,"$1")},void 0===(n=function(){return C}.apply(t,[]))||(e.exports=n);var on=r.jQuery,an=r.$;return C.noConflict=function(e){return r.$===C&&(r.$=an),e&&r.jQuery===C&&(r.jQuery=on),C},void 0===i&&(r.jQuery=r.$=C),C}))},91965:(module,exports,__webpack_require__)=>{var __WEBPACK_AMD_DEFINE_RESULT__;(function(){"use strict";var ERROR="input is invalid type",WINDOW="object"==typeof window,root=WINDOW?window:{};root.JS_SHA256_NO_WINDOW&&(WINDOW=!1);var WEB_WORKER=!WINDOW&&"object"==typeof self,NODE_JS=!root.JS_SHA256_NO_NODE_JS&&"object"==typeof process&&process.versions&&process.versions.node;NODE_JS?root=__webpack_require__.g:WEB_WORKER&&(root=self);var COMMON_JS=!root.JS_SHA256_NO_COMMON_JS&&module.exports,AMD=__webpack_require__.amdO,ARRAY_BUFFER=!root.JS_SHA256_NO_ARRAY_BUFFER&&"undefined"!=typeof ArrayBuffer,HEX_CHARS="0123456789abcdef".split(""),EXTRA=[-2147483648,8388608,32768,128],SHIFT=[24,16,8,0],K=[1116352408,1899447441,3049323471,3921009573,961987163,1508970993,2453635748,2870763221,3624381080,310598401,607225278,1426881987,1925078388,2162078206,2614888103,3248222580,3835390401,4022224774,264347078,604807628,770255983,1249150122,1555081692,1996064986,2554220882,2821834349,2952996808,3210313671,3336571891,3584528711,113926993,338241895,666307205,773529912,1294757372,1396182291,1695183700,1986661051,2177026350,2456956037,2730485921,2820302411,3259730800,3345764771,3516065817,3600352804,4094571909,275423344,430227734,506948616,659060556,883997877,958139571,1322822218,1537002063,1747873779,1955562222,2024104815,2227730452,2361852424,2428436474,2756734187,3204031479,3329325298],OUTPUT_TYPES=["hex","array","digest","arrayBuffer"],blocks=[];!root.JS_SHA256_NO_NODE_JS&&Array.isArray||(Array.isArray=function(e){return"[object Array]"===Object.prototype.toString.call(e)}),!ARRAY_BUFFER||!root.JS_SHA256_NO_ARRAY_BUFFER_IS_VIEW&&ArrayBuffer.isView||(ArrayBuffer.isView=function(e){return"object"==typeof e&&e.buffer&&e.buffer.constructor===ArrayBuffer});var createOutputMethod=function(e,t){return function(n){return new Sha256(t,!0).update(n)[e]()}},createMethod=function(e){var t=createOutputMethod("hex",e);NODE_JS&&(t=nodeWrap(t,e)),t.create=function(){return new Sha256(e)},t.update=function(e){return t.create().update(e)};for(var n=0;n<OUTPUT_TYPES.length;++n){var r=OUTPUT_TYPES[n];t[r]=createOutputMethod(r,e)}return t},nodeWrap=function(method,is224){var crypto=eval("require('crypto')"),Buffer=eval("require('buffer').Buffer"),algorithm=is224?"sha224":"sha256",nodeMethod=function(e){if("string"==typeof e)return crypto.createHash(algorithm).update(e,"utf8").digest("hex");if(null==e)throw new Error(ERROR);return e.constructor===ArrayBuffer&&(e=new Uint8Array(e)),Array.isArray(e)||ArrayBuffer.isView(e)||e.constructor===Buffer?crypto.createHash(algorithm).update(new Buffer(e)).digest("hex"):method(e)};return nodeMethod},createHmacOutputMethod=function(e,t){return function(n,r){return new HmacSha256(n,t,!0).update(r)[e]()}},createHmacMethod=function(e){var t=createHmacOutputMethod("hex",e);t.create=function(t){return new HmacSha256(t,e)},t.update=function(e,n){return t.create(e).update(n)};for(var n=0;n<OUTPUT_TYPES.length;++n){var r=OUTPUT_TYPES[n];t[r]=createHmacOutputMethod(r,e)}return t};function Sha256(e,t){t?(blocks[0]=blocks[16]=blocks[1]=blocks[2]=blocks[3]=blocks[4]=blocks[5]=blocks[6]=blocks[7]=blocks[8]=blocks[9]=blocks[10]=blocks[11]=blocks[12]=blocks[13]=blocks[14]=blocks[15]=0,this.blocks=blocks):this.blocks=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],e?(this.h0=3238371032,this.h1=914150663,this.h2=812702999,this.h3=4144912697,this.h4=4290775857,this.h5=1750603025,this.h6=1694076839,this.h7=3204075428):(this.h0=1779033703,this.h1=3144134277,this.h2=1013904242,this.h3=2773480762,this.h4=1359893119,this.h5=2600822924,this.h6=528734635,this.h7=1541459225),this.block=this.start=this.bytes=this.hBytes=0,this.finalized=this.hashed=!1,this.first=!0,this.is224=e}function HmacSha256(e,t,n){var r,i=typeof e;if("string"===i){var o,a=[],s=e.length,l=0;for(r=0;r<s;++r)(o=e.charCodeAt(r))<128?a[l++]=o:o<2048?(a[l++]=192|o>>6,a[l++]=128|63&o):o<55296||o>=57344?(a[l++]=224|o>>12,a[l++]=128|o>>6&63,a[l++]=128|63&o):(o=65536+((1023&o)<<10|1023&e.charCodeAt(++r)),a[l++]=240|o>>18,a[l++]=128|o>>12&63,a[l++]=128|o>>6&63,a[l++]=128|63&o);e=a}else{if("object"!==i)throw new Error(ERROR);if(null===e)throw new Error(ERROR);if(ARRAY_BUFFER&&e.constructor===ArrayBuffer)e=new Uint8Array(e);else if(!(Array.isArray(e)||ARRAY_BUFFER&&ArrayBuffer.isView(e)))throw new Error(ERROR)}e.length>64&&(e=new Sha256(t,!0).update(e).array());var u=[],c=[];for(r=0;r<64;++r){var d=e[r]||0;u[r]=92^d,c[r]=54^d}Sha256.call(this,t,n),this.update(c),this.oKeyPad=u,this.inner=!0,this.sharedMemory=n}Sha256.prototype.update=function(e){if(!this.finalized){var t,n=typeof e;if("string"!==n){if("object"!==n)throw new Error(ERROR);if(null===e)throw new Error(ERROR);if(ARRAY_BUFFER&&e.constructor===ArrayBuffer)e=new Uint8Array(e);else if(!(Array.isArray(e)||ARRAY_BUFFER&&ArrayBuffer.isView(e)))throw new Error(ERROR);t=!0}for(var r,i,o=0,a=e.length,s=this.blocks;o<a;){if(this.hashed&&(this.hashed=!1,s[0]=this.block,s[16]=s[1]=s[2]=s[3]=s[4]=s[5]=s[6]=s[7]=s[8]=s[9]=s[10]=s[11]=s[12]=s[13]=s[14]=s[15]=0),t)for(i=this.start;o<a&&i<64;++o)s[i>>2]|=e[o]<<SHIFT[3&i++];else for(i=this.start;o<a&&i<64;++o)(r=e.charCodeAt(o))<128?s[i>>2]|=r<<SHIFT[3&i++]:r<2048?(s[i>>2]|=(192|r>>6)<<SHIFT[3&i++],s[i>>2]|=(128|63&r)<<SHIFT[3&i++]):r<55296||r>=57344?(s[i>>2]|=(224|r>>12)<<SHIFT[3&i++],s[i>>2]|=(128|r>>6&63)<<SHIFT[3&i++],s[i>>2]|=(128|63&r)<<SHIFT[3&i++]):(r=65536+((1023&r)<<10|1023&e.charCodeAt(++o)),s[i>>2]|=(240|r>>18)<<SHIFT[3&i++],s[i>>2]|=(128|r>>12&63)<<SHIFT[3&i++],s[i>>2]|=(128|r>>6&63)<<SHIFT[3&i++],s[i>>2]|=(128|63&r)<<SHIFT[3&i++]);this.lastByteIndex=i,this.bytes+=i-this.start,i>=64?(this.block=s[16],this.start=i-64,this.hash(),this.hashed=!0):this.start=i}return this.bytes>4294967295&&(this.hBytes+=this.bytes/4294967296<<0,this.bytes=this.bytes%4294967296),this}},Sha256.prototype.finalize=function(){if(!this.finalized){this.finalized=!0;var e=this.blocks,t=this.lastByteIndex;e[16]=this.block,e[t>>2]|=EXTRA[3&t],this.block=e[16],t>=56&&(this.hashed||this.hash(),e[0]=this.block,e[16]=e[1]=e[2]=e[3]=e[4]=e[5]=e[6]=e[7]=e[8]=e[9]=e[10]=e[11]=e[12]=e[13]=e[14]=e[15]=0),e[14]=this.hBytes<<3|this.bytes>>>29,e[15]=this.bytes<<3,this.hash()}},Sha256.prototype.hash=function(){var e,t,n,r,i,o,a,s,l,u=this.h0,c=this.h1,d=this.h2,p=this.h3,f=this.h4,h=this.h5,m=this.h6,g=this.h7,v=this.blocks;for(e=16;e<64;++e)t=((i=v[e-15])>>>7|i<<25)^(i>>>18|i<<14)^i>>>3,n=((i=v[e-2])>>>17|i<<15)^(i>>>19|i<<13)^i>>>10,v[e]=v[e-16]+t+v[e-7]+n<<0;for(l=c&d,e=0;e<64;e+=4)this.first?(this.is224?(o=300032,g=(i=v[0]-1413257819)-150054599<<0,p=i+24177077<<0):(o=704751109,g=(i=v[0]-210244248)-1521486534<<0,p=i+143694565<<0),this.first=!1):(t=(u>>>2|u<<30)^(u>>>13|u<<19)^(u>>>22|u<<10),r=(o=u&c)^u&d^l,g=p+(i=g+(n=(f>>>6|f<<26)^(f>>>11|f<<21)^(f>>>25|f<<7))+(f&h^~f&m)+K[e]+v[e])<<0,p=i+(t+r)<<0),t=(p>>>2|p<<30)^(p>>>13|p<<19)^(p>>>22|p<<10),r=(a=p&u)^p&c^o,m=d+(i=m+(n=(g>>>6|g<<26)^(g>>>11|g<<21)^(g>>>25|g<<7))+(g&f^~g&h)+K[e+1]+v[e+1])<<0,t=((d=i+(t+r)<<0)>>>2|d<<30)^(d>>>13|d<<19)^(d>>>22|d<<10),r=(s=d&p)^d&u^a,h=c+(i=h+(n=(m>>>6|m<<26)^(m>>>11|m<<21)^(m>>>25|m<<7))+(m&g^~m&f)+K[e+2]+v[e+2])<<0,t=((c=i+(t+r)<<0)>>>2|c<<30)^(c>>>13|c<<19)^(c>>>22|c<<10),r=(l=c&d)^c&p^s,f=u+(i=f+(n=(h>>>6|h<<26)^(h>>>11|h<<21)^(h>>>25|h<<7))+(h&m^~h&g)+K[e+3]+v[e+3])<<0,u=i+(t+r)<<0;this.h0=this.h0+u<<0,this.h1=this.h1+c<<0,this.h2=this.h2+d<<0,this.h3=this.h3+p<<0,this.h4=this.h4+f<<0,this.h5=this.h5+h<<0,this.h6=this.h6+m<<0,this.h7=this.h7+g<<0},Sha256.prototype.hex=function(){this.finalize();var e=this.h0,t=this.h1,n=this.h2,r=this.h3,i=this.h4,o=this.h5,a=this.h6,s=this.h7,l=HEX_CHARS[e>>28&15]+HEX_CHARS[e>>24&15]+HEX_CHARS[e>>20&15]+HEX_CHARS[e>>16&15]+HEX_CHARS[e>>12&15]+HEX_CHARS[e>>8&15]+HEX_CHARS[e>>4&15]+HEX_CHARS[15&e]+HEX_CHARS[t>>28&15]+HEX_CHARS[t>>24&15]+HEX_CHARS[t>>20&15]+HEX_CHARS[t>>16&15]+HEX_CHARS[t>>12&15]+HEX_CHARS[t>>8&15]+HEX_CHARS[t>>4&15]+HEX_CHARS[15&t]+HEX_CHARS[n>>28&15]+HEX_CHARS[n>>24&15]+HEX_CHARS[n>>20&15]+HEX_CHARS[n>>16&15]+HEX_CHARS[n>>12&15]+HEX_CHARS[n>>8&15]+HEX_CHARS[n>>4&15]+HEX_CHARS[15&n]+HEX_CHARS[r>>28&15]+HEX_CHARS[r>>24&15]+HEX_CHARS[r>>20&15]+HEX_CHARS[r>>16&15]+HEX_CHARS[r>>12&15]+HEX_CHARS[r>>8&15]+HEX_CHARS[r>>4&15]+HEX_CHARS[15&r]+HEX_CHARS[i>>28&15]+HEX_CHARS[i>>24&15]+HEX_CHARS[i>>20&15]+HEX_CHARS[i>>16&15]+HEX_CHARS[i>>12&15]+HEX_CHARS[i>>8&15]+HEX_CHARS[i>>4&15]+HEX_CHARS[15&i]+HEX_CHARS[o>>28&15]+HEX_CHARS[o>>24&15]+HEX_CHARS[o>>20&15]+HEX_CHARS[o>>16&15]+HEX_CHARS[o>>12&15]+HEX_CHARS[o>>8&15]+HEX_CHARS[o>>4&15]+HEX_CHARS[15&o]+HEX_CHARS[a>>28&15]+HEX_CHARS[a>>24&15]+HEX_CHARS[a>>20&15]+HEX_CHARS[a>>16&15]+HEX_CHARS[a>>12&15]+HEX_CHARS[a>>8&15]+HEX_CHARS[a>>4&15]+HEX_CHARS[15&a];return this.is224||(l+=HEX_CHARS[s>>28&15]+HEX_CHARS[s>>24&15]+HEX_CHARS[s>>20&15]+HEX_CHARS[s>>16&15]+HEX_CHARS[s>>12&15]+HEX_CHARS[s>>8&15]+HEX_CHARS[s>>4&15]+HEX_CHARS[15&s]),l},Sha256.prototype.toString=Sha256.prototype.hex,Sha256.prototype.digest=function(){this.finalize();var e=this.h0,t=this.h1,n=this.h2,r=this.h3,i=this.h4,o=this.h5,a=this.h6,s=this.h7,l=[e>>24&255,e>>16&255,e>>8&255,255&e,t>>24&255,t>>16&255,t>>8&255,255&t,n>>24&255,n>>16&255,n>>8&255,255&n,r>>24&255,r>>16&255,r>>8&255,255&r,i>>24&255,i>>16&255,i>>8&255,255&i,o>>24&255,o>>16&255,o>>8&255,255&o,a>>24&255,a>>16&255,a>>8&255,255&a];return this.is224||l.push(s>>24&255,s>>16&255,s>>8&255,255&s),l},Sha256.prototype.array=Sha256.prototype.digest,Sha256.prototype.arrayBuffer=function(){this.finalize();var e=new ArrayBuffer(this.is224?28:32),t=new DataView(e);return t.setUint32(0,this.h0),t.setUint32(4,this.h1),t.setUint32(8,this.h2),t.setUint32(12,this.h3),t.setUint32(16,this.h4),t.setUint32(20,this.h5),t.setUint32(24,this.h6),this.is224||t.setUint32(28,this.h7),e},HmacSha256.prototype=new Sha256,HmacSha256.prototype.finalize=function(){if(Sha256.prototype.finalize.call(this),this.inner){this.inner=!1;var e=this.array();Sha256.call(this,this.is224,this.sharedMemory),this.update(this.oKeyPad),this.update(e),Sha256.prototype.finalize.call(this)}};var exports=createMethod();exports.sha256=exports,exports.sha224=createMethod(!0),exports.sha256.hmac=createHmacMethod(),exports.sha224.hmac=createHmacMethod(!0),COMMON_JS?module.exports=exports:(root.sha256=exports.sha256,root.sha224=exports.sha224,AMD&&(__WEBPACK_AMD_DEFINE_RESULT__=function(){return exports}.call(exports,__webpack_require__,exports,module),void 0===__WEBPACK_AMD_DEFINE_RESULT__||(module.exports=__WEBPACK_AMD_DEFINE_RESULT__)))})()},91366:e=>{var t=Object.prototype.toString;function n(e){return"function"==typeof e.constructor?e.constructor.name:null}e.exports=function(e){if(void 0===e)return"undefined";if(null===e)return"null";var r=typeof e;if("boolean"===r)return"boolean";if("string"===r)return"string";if("number"===r)return"number";if("symbol"===r)return"symbol";if("function"===r)return"GeneratorFunction"===n(e)?"generatorfunction":"function";if(function(e){return Array.isArray?Array.isArray(e):e instanceof Array}(e))return"array";if(function(e){return!(!e.constructor||"function"!=typeof e.constructor.isBuffer)&&e.constructor.isBuffer(e)}(e))return"buffer";if(function(e){try{if("number"==typeof e.length&&"function"==typeof e.callee)return!0}catch(e){if(-1!==e.message.indexOf("callee"))return!0}return!1}(e))return"arguments";if(function(e){return e instanceof Date||"function"==typeof e.toDateString&&"function"==typeof e.getDate&&"function"==typeof e.setDate}(e))return"date";if(function(e){return e instanceof Error||"string"==typeof e.message&&e.constructor&&"number"==typeof e.constructor.stackTraceLimit}(e))return"error";if(function(e){return e instanceof RegExp||"string"==typeof e.flags&&"boolean"==typeof e.ignoreCase&&"boolean"==typeof e.multiline&&"boolean"==typeof e.global}(e))return"regexp";switch(n(e)){case"Symbol":return"symbol";case"Promise":return"promise";case"WeakMap":return"weakmap";case"WeakSet":return"weakset";case"Map":return"map";case"Set":return"set";case"Int8Array":return"int8array";case"Uint8Array":return"uint8array";case"Uint8ClampedArray":return"uint8clampedarray";case"Int16Array":return"int16array";case"Uint16Array":return"uint16array";case"Int32Array":return"int32array";case"Uint32Array":return"uint32array";case"Float32Array":return"float32array";case"Float64Array":return"float64array"}if(function(e){return"function"==typeof e.throw&&"function"==typeof e.return&&"function"==typeof e.next}(e))return"generator";switch(r=t.call(e)){case"[object Object]":return"object";case"[object Map Iterator]":return"mapiterator";case"[object Set Iterator]":return"setiterator";case"[object String Iterator]":return"stringiterator";case"[object Array Iterator]":return"arrayiterator"}return r.slice(8,-1).toLowerCase().replace(/\s/g,"")}},75486:(e,t,n)=>{e.exports=function e(t,n,r){function i(a,s){if(!n[a]){if(!t[a]){if(o)return o(a,!0);var l=new Error("Cannot find module '"+a+"'");throw l.code="MODULE_NOT_FOUND",l}var u=n[a]={exports:{}};t[a][0].call(u.exports,(function(e){return i(t[a][1][e]||e)}),u,u.exports,e,t,n,r)}return n[a].exports}for(var o=void 0,a=0;a<r.length;a++)i(r[a]);return i}({1:[function(e,t,r){(function(e){"use strict";var n,r,i=e.MutationObserver||e.WebKitMutationObserver;if(i){var o=0,a=new i(c),s=e.document.createTextNode("");a.observe(s,{characterData:!0}),n=function(){s.data=o=++o%2}}else if(e.setImmediate||void 0===e.MessageChannel)n="document"in e&&"onreadystatechange"in e.document.createElement("script")?function(){var t=e.document.createElement("script");t.onreadystatechange=function(){c(),t.onreadystatechange=null,t.parentNode.removeChild(t),t=null},e.document.documentElement.appendChild(t)}:function(){setTimeout(c,0)};else{var l=new e.MessageChannel;l.port1.onmessage=c,n=function(){l.port2.postMessage(0)}}var u=[];function c(){var e,t;r=!0;for(var n=u.length;n;){for(t=u,u=[],e=-1;++e<n;)t[e]();n=u.length}r=!1}t.exports=function(e){1!==u.push(e)||r||n()}}).call(this,void 0!==n.g?n.g:"undefined"!=typeof self?self:"undefined"!=typeof window?window:{})},{}],2:[function(e,t,n){"use strict";var r=e(1);function i(){}var o={},a=["REJECTED"],s=["FULFILLED"],l=["PENDING"];function u(e){if("function"!=typeof e)throw new TypeError("resolver must be a function");this.state=l,this.queue=[],this.outcome=void 0,e!==i&&f(this,e)}function c(e,t,n){this.promise=e,"function"==typeof t&&(this.onFulfilled=t,this.callFulfilled=this.otherCallFulfilled),"function"==typeof n&&(this.onRejected=n,this.callRejected=this.otherCallRejected)}function d(e,t,n){r((function(){var r;try{r=t(n)}catch(t){return o.reject(e,t)}r===e?o.reject(e,new TypeError("Cannot resolve promise with itself")):o.resolve(e,r)}))}function p(e){var t=e&&e.then;if(e&&("object"==typeof e||"function"==typeof e)&&"function"==typeof t)return function(){t.apply(e,arguments)}}function f(e,t){var n=!1;function r(t){n||(n=!0,o.reject(e,t))}function i(t){n||(n=!0,o.resolve(e,t))}var a=h((function(){t(i,r)}));"error"===a.status&&r(a.value)}function h(e,t){var n={};try{n.value=e(t),n.status="success"}catch(e){n.status="error",n.value=e}return n}t.exports=u,u.prototype.catch=function(e){return this.then(null,e)},u.prototype.then=function(e,t){if("function"!=typeof e&&this.state===s||"function"!=typeof t&&this.state===a)return this;var n=new this.constructor(i);return this.state!==l?d(n,this.state===s?e:t,this.outcome):this.queue.push(new c(n,e,t)),n},c.prototype.callFulfilled=function(e){o.resolve(this.promise,e)},c.prototype.otherCallFulfilled=function(e){d(this.promise,this.onFulfilled,e)},c.prototype.callRejected=function(e){o.reject(this.promise,e)},c.prototype.otherCallRejected=function(e){d(this.promise,this.onRejected,e)},o.resolve=function(e,t){var n=h(p,t);if("error"===n.status)return o.reject(e,n.value);var r=n.value;if(r)f(e,r);else{e.state=s,e.outcome=t;for(var i=-1,a=e.queue.length;++i<a;)e.queue[i].callFulfilled(t)}return e},o.reject=function(e,t){e.state=a,e.outcome=t;for(var n=-1,r=e.queue.length;++n<r;)e.queue[n].callRejected(t);return e},u.resolve=function(e){return e instanceof this?e:o.resolve(new this(i),e)},u.reject=function(e){var t=new this(i);return o.reject(t,e)},u.all=function(e){var t=this;if("[object Array]"!==Object.prototype.toString.call(e))return this.reject(new TypeError("must be an array"));var n=e.length,r=!1;if(!n)return this.resolve([]);for(var a=new Array(n),s=0,l=-1,u=new this(i);++l<n;)c(e[l],l);return u;function c(e,i){t.resolve(e).then((function(e){a[i]=e,++s!==n||r||(r=!0,o.resolve(u,a))}),(function(e){r||(r=!0,o.reject(u,e))}))}},u.race=function(e){var t=this;if("[object Array]"!==Object.prototype.toString.call(e))return this.reject(new TypeError("must be an array"));var n,r=e.length,a=!1;if(!r)return this.resolve([]);for(var s=-1,l=new this(i);++s<r;)n=e[s],t.resolve(n).then((function(e){a||(a=!0,o.resolve(l,e))}),(function(e){a||(a=!0,o.reject(l,e))}));return l}},{1:1}],3:[function(e,t,r){(function(t){"use strict";"function"!=typeof t.Promise&&(t.Promise=e(2))}).call(this,void 0!==n.g?n.g:"undefined"!=typeof self?self:"undefined"!=typeof window?window:{})},{2:2}],4:[function(e,t,n){"use strict";var r="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e};var i=function(){try{if("undefined"!=typeof indexedDB)return indexedDB;if("undefined"!=typeof webkitIndexedDB)return webkitIndexedDB;if("undefined"!=typeof mozIndexedDB)return mozIndexedDB;if("undefined"!=typeof OIndexedDB)return OIndexedDB;if("undefined"!=typeof msIndexedDB)return msIndexedDB}catch(e){return}}();function o(e,t){e=e||[],t=t||{};try{return new Blob(e,t)}catch(i){if("TypeError"!==i.name)throw i;for(var n=new("undefined"!=typeof BlobBuilder?BlobBuilder:"undefined"!=typeof MSBlobBuilder?MSBlobBuilder:"undefined"!=typeof MozBlobBuilder?MozBlobBuilder:WebKitBlobBuilder),r=0;r<e.length;r+=1)n.append(e[r]);return n.getBlob(t.type)}}"undefined"==typeof Promise&&e(3);var a=Promise;function s(e,t){t&&e.then((function(e){t(null,e)}),(function(e){t(e)}))}function l(e,t,n){"function"==typeof t&&e.then(t),"function"==typeof n&&e.catch(n)}function u(e){return"string"!=typeof e&&(console.warn(e+" used as a key, but it is not a string."),e=String(e)),e}function c(){if(arguments.length&&"function"==typeof arguments[arguments.length-1])return arguments[arguments.length-1]}var d="local-forage-detect-blob-support",p=void 0,f={},h=Object.prototype.toString,m="readonly",g="readwrite";function v(e){for(var t=e.length,n=new ArrayBuffer(t),r=new Uint8Array(n),i=0;i<t;i++)r[i]=e.charCodeAt(i);return n}function y(e){return"boolean"==typeof p?a.resolve(p):function(e){return new a((function(t){var n=e.transaction(d,g),r=o([""]);n.objectStore(d).put(r,"key"),n.onabort=function(e){e.preventDefault(),e.stopPropagation(),t(!1)},n.oncomplete=function(){var e=navigator.userAgent.match(/Chrome\/(\d+)/),n=navigator.userAgent.match(/Edge\//);t(n||!e||parseInt(e[1],10)>=43)}})).catch((function(){return!1}))}(e).then((function(e){return p=e}))}function b(e){var t=f[e.name],n={};n.promise=new a((function(e,t){n.resolve=e,n.reject=t})),t.deferredOperations.push(n),t.dbReady?t.dbReady=t.dbReady.then((function(){return n.promise})):t.dbReady=n.promise}function w(e){var t=f[e.name].deferredOperations.pop();if(t)return t.resolve(),t.promise}function _(e,t){var n=f[e.name].deferredOperations.pop();if(n)return n.reject(t),n.promise}function x(e,t){return new a((function(n,r){if(f[e.name]=f[e.name]||{forages:[],db:null,dbReady:null,deferredOperations:[]},e.db){if(!t)return n(e.db);b(e),e.db.close()}var o=[e.name];t&&o.push(e.version);var a=i.open.apply(i,o);t&&(a.onupgradeneeded=function(t){var n=a.result;try{n.createObjectStore(e.storeName),t.oldVersion<=1&&n.createObjectStore(d)}catch(n){if("ConstraintError"!==n.name)throw n;console.warn('The database "'+e.name+'" has been upgraded from version '+t.oldVersion+" to version "+t.newVersion+', but the storage "'+e.storeName+'" already exists.')}}),a.onerror=function(e){e.preventDefault(),r(a.error)},a.onsuccess=function(){var t=a.result;t.onversionchange=function(e){e.target.close()},n(t),w(e)}}))}function k(e){return x(e,!1)}function E(e){return x(e,!0)}function C(e,t){if(!e.db)return!0;var n=!e.db.objectStoreNames.contains(e.storeName),r=e.version<e.db.version,i=e.version>e.db.version;if(r&&(e.version!==t&&console.warn('The database "'+e.name+"\" can't be downgraded from version "+e.db.version+" to version "+e.version+"."),e.version=e.db.version),i||n){if(n){var o=e.db.version+1;o>e.version&&(e.version=o)}return!0}return!1}function S(e){return o([v(atob(e.data))],{type:e.type})}function A(e){return e&&e.__local_forage_encoded_blob}function T(e){var t=this,n=t._initReady().then((function(){var e=f[t._dbInfo.name];if(e&&e.dbReady)return e.dbReady}));return l(n,e,e),n}function O(e,t,n,r){void 0===r&&(r=1);try{var i=e.db.transaction(e.storeName,t);n(null,i)}catch(i){if(r>0&&(!e.db||"InvalidStateError"===i.name||"NotFoundError"===i.name))return a.resolve().then((function(){if(!e.db||"NotFoundError"===i.name&&!e.db.objectStoreNames.contains(e.storeName)&&e.version<=e.db.version)return e.db&&(e.version=e.db.version+1),E(e)})).then((function(){return function(e){b(e);for(var t=f[e.name],n=t.forages,r=0;r<n.length;r++){var i=n[r];i._dbInfo.db&&(i._dbInfo.db.close(),i._dbInfo.db=null)}return e.db=null,k(e).then((function(t){return e.db=t,C(e)?E(e):t})).then((function(r){e.db=t.db=r;for(var i=0;i<n.length;i++)n[i]._dbInfo.db=r})).catch((function(t){throw _(e,t),t}))}(e).then((function(){O(e,t,n,r-1)}))})).catch(n);n(i)}}var P={_driver:"asyncStorage",_initStorage:function(e){var t=this,n={db:null};if(e)for(var r in e)n[r]=e[r];var i=f[n.name];i||(i={forages:[],db:null,dbReady:null,deferredOperations:[]},f[n.name]=i),i.forages.push(t),t._initReady||(t._initReady=t.ready,t.ready=T);var o=[];function s(){return a.resolve()}for(var l=0;l<i.forages.length;l++){var u=i.forages[l];u!==t&&o.push(u._initReady().catch(s))}var c=i.forages.slice(0);return a.all(o).then((function(){return n.db=i.db,k(n)})).then((function(e){return n.db=e,C(n,t._defaultConfig.version)?E(n):e})).then((function(e){n.db=i.db=e,t._dbInfo=n;for(var r=0;r<c.length;r++){var o=c[r];o!==t&&(o._dbInfo.db=n.db,o._dbInfo.version=n.version)}}))},_support:function(){try{if(!i||!i.open)return!1;var e="undefined"!=typeof openDatabase&&/(Safari|iPhone|iPad|iPod)/.test(navigator.userAgent)&&!/Chrome/.test(navigator.userAgent)&&!/BlackBerry/.test(navigator.platform),t="function"==typeof fetch&&-1!==fetch.toString().indexOf("[native code");return(!e||t)&&"undefined"!=typeof indexedDB&&"undefined"!=typeof IDBKeyRange}catch(e){return!1}}(),iterate:function(e,t){var n=this,r=new a((function(t,r){n.ready().then((function(){O(n._dbInfo,m,(function(i,o){if(i)return r(i);try{var a=o.objectStore(n._dbInfo.storeName).openCursor(),s=1;a.onsuccess=function(){var n=a.result;if(n){var r=n.value;A(r)&&(r=S(r));var i=e(r,n.key,s++);void 0!==i?t(i):n.continue()}else t()},a.onerror=function(){r(a.error)}}catch(e){r(e)}}))})).catch(r)}));return s(r,t),r},getItem:function(e,t){var n=this;e=u(e);var r=new a((function(t,r){n.ready().then((function(){O(n._dbInfo,m,(function(i,o){if(i)return r(i);try{var a=o.objectStore(n._dbInfo.storeName).get(e);a.onsuccess=function(){var e=a.result;void 0===e&&(e=null),A(e)&&(e=S(e)),t(e)},a.onerror=function(){r(a.error)}}catch(e){r(e)}}))})).catch(r)}));return s(r,t),r},setItem:function(e,t,n){var r=this;e=u(e);var i=new a((function(n,i){var o;r.ready().then((function(){return o=r._dbInfo,"[object Blob]"===h.call(t)?y(o.db).then((function(e){return e?t:(n=t,new a((function(e,t){var r=new FileReader;r.onerror=t,r.onloadend=function(t){var r=btoa(t.target.result||"");e({__local_forage_encoded_blob:!0,data:r,type:n.type})},r.readAsBinaryString(n)})));var n})):t})).then((function(t){O(r._dbInfo,g,(function(o,a){if(o)return i(o);try{var s=a.objectStore(r._dbInfo.storeName);null===t&&(t=void 0);var l=s.put(t,e);a.oncomplete=function(){void 0===t&&(t=null),n(t)},a.onabort=a.onerror=function(){var e=l.error?l.error:l.transaction.error;i(e)}}catch(e){i(e)}}))})).catch(i)}));return s(i,n),i},removeItem:function(e,t){var n=this;e=u(e);var r=new a((function(t,r){n.ready().then((function(){O(n._dbInfo,g,(function(i,o){if(i)return r(i);try{var a=o.objectStore(n._dbInfo.storeName).delete(e);o.oncomplete=function(){t()},o.onerror=function(){r(a.error)},o.onabort=function(){var e=a.error?a.error:a.transaction.error;r(e)}}catch(e){r(e)}}))})).catch(r)}));return s(r,t),r},clear:function(e){var t=this,n=new a((function(e,n){t.ready().then((function(){O(t._dbInfo,g,(function(r,i){if(r)return n(r);try{var o=i.objectStore(t._dbInfo.storeName).clear();i.oncomplete=function(){e()},i.onabort=i.onerror=function(){var e=o.error?o.error:o.transaction.error;n(e)}}catch(e){n(e)}}))})).catch(n)}));return s(n,e),n},length:function(e){var t=this,n=new a((function(e,n){t.ready().then((function(){O(t._dbInfo,m,(function(r,i){if(r)return n(r);try{var o=i.objectStore(t._dbInfo.storeName).count();o.onsuccess=function(){e(o.result)},o.onerror=function(){n(o.error)}}catch(e){n(e)}}))})).catch(n)}));return s(n,e),n},key:function(e,t){var n=this,r=new a((function(t,r){e<0?t(null):n.ready().then((function(){O(n._dbInfo,m,(function(i,o){if(i)return r(i);try{var a=o.objectStore(n._dbInfo.storeName),s=!1,l=a.openKeyCursor();l.onsuccess=function(){var n=l.result;n?0===e||s?t(n.key):(s=!0,n.advance(e)):t(null)},l.onerror=function(){r(l.error)}}catch(e){r(e)}}))})).catch(r)}));return s(r,t),r},keys:function(e){var t=this,n=new a((function(e,n){t.ready().then((function(){O(t._dbInfo,m,(function(r,i){if(r)return n(r);try{var o=i.objectStore(t._dbInfo.storeName).openKeyCursor(),a=[];o.onsuccess=function(){var t=o.result;t?(a.push(t.key),t.continue()):e(a)},o.onerror=function(){n(o.error)}}catch(e){n(e)}}))})).catch(n)}));return s(n,e),n},dropInstance:function(e,t){t=c.apply(this,arguments);var n,r=this.config();if((e="function"!=typeof e&&e||{}).name||(e.name=e.name||r.name,e.storeName=e.storeName||r.storeName),e.name){var o=e.name===r.name&&this._dbInfo.db?a.resolve(this._dbInfo.db):k(e).then((function(t){var n=f[e.name],r=n.forages;n.db=t;for(var i=0;i<r.length;i++)r[i]._dbInfo.db=t;return t}));n=e.storeName?o.then((function(t){if(t.objectStoreNames.contains(e.storeName)){var n=t.version+1;b(e);var r=f[e.name],o=r.forages;t.close();for(var s=0;s<o.length;s++){var l=o[s];l._dbInfo.db=null,l._dbInfo.version=n}var u=new a((function(t,r){var o=i.open(e.name,n);o.onerror=function(e){o.result.close(),r(e)},o.onupgradeneeded=function(){o.result.deleteObjectStore(e.storeName)},o.onsuccess=function(){var e=o.result;e.close(),t(e)}}));return u.then((function(e){r.db=e;for(var t=0;t<o.length;t++){var n=o[t];n._dbInfo.db=e,w(n._dbInfo)}})).catch((function(t){throw(_(e,t)||a.resolve()).catch((function(){})),t}))}})):o.then((function(t){b(e);var n=f[e.name],r=n.forages;t.close();for(var o=0;o<r.length;o++)r[o]._dbInfo.db=null;var s=new a((function(t,n){var r=i.deleteDatabase(e.name);r.onerror=function(){var e=r.result;e&&e.close(),n(r.error)},r.onblocked=function(){console.warn('dropInstance blocked for database "'+e.name+'" until all open connections are closed')},r.onsuccess=function(){var e=r.result;e&&e.close(),t(e)}}));return s.then((function(e){n.db=e;for(var t=0;t<r.length;t++)w(r[t]._dbInfo)})).catch((function(t){throw(_(e,t)||a.resolve()).catch((function(){})),t}))}))}else n=a.reject("Invalid arguments");return s(n,t),n}};var D="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",R=/^~~local_forage_type~([^~]+)~/,I="__lfsc__:",M="arbf",L="blob",N="si08",j="ui08",F="uic8",B="si16",z="si32",U="ur16",q="ui32",$="fl32",W="fl64",H=Object.prototype.toString;function V(e){var t,n,r,i,o,a=.75*e.length,s=e.length,l=0;"="===e[e.length-1]&&(a--,"="===e[e.length-2]&&a--);var u=new ArrayBuffer(a),c=new Uint8Array(u);for(t=0;t<s;t+=4)n=D.indexOf(e[t]),r=D.indexOf(e[t+1]),i=D.indexOf(e[t+2]),o=D.indexOf(e[t+3]),c[l++]=n<<2|r>>4,c[l++]=(15&r)<<4|i>>2,c[l++]=(3&i)<<6|63&o;return u}function G(e){var t,n=new Uint8Array(e),r="";for(t=0;t<n.length;t+=3)r+=D[n[t]>>2],r+=D[(3&n[t])<<4|n[t+1]>>4],r+=D[(15&n[t+1])<<2|n[t+2]>>6],r+=D[63&n[t+2]];return n.length%3==2?r=r.substring(0,r.length-1)+"=":n.length%3==1&&(r=r.substring(0,r.length-2)+"=="),r}var K={serialize:function(e,t){var n="";if(e&&(n=H.call(e)),e&&("[object ArrayBuffer]"===n||e.buffer&&"[object ArrayBuffer]"===H.call(e.buffer))){var r,i=I;e instanceof ArrayBuffer?(r=e,i+=M):(r=e.buffer,"[object Int8Array]"===n?i+=N:"[object Uint8Array]"===n?i+=j:"[object Uint8ClampedArray]"===n?i+=F:"[object Int16Array]"===n?i+=B:"[object Uint16Array]"===n?i+=U:"[object Int32Array]"===n?i+=z:"[object Uint32Array]"===n?i+=q:"[object Float32Array]"===n?i+=$:"[object Float64Array]"===n?i+=W:t(new Error("Failed to get type for BinaryArray"))),t(i+G(r))}else if("[object Blob]"===n){var o=new FileReader;o.onload=function(){var n="~~local_forage_type~"+e.type+"~"+G(this.result);t(I+L+n)},o.readAsArrayBuffer(e)}else try{t(JSON.stringify(e))}catch(n){console.error("Couldn't convert value into a JSON string: ",e),t(null,n)}},deserialize:function(e){if(e.substring(0,9)!==I)return JSON.parse(e);var t,n=e.substring(13),r=e.substring(9,13);if(r===L&&R.test(n)){var i=n.match(R);t=i[1],n=n.substring(i[0].length)}var a=V(n);switch(r){case M:return a;case L:return o([a],{type:t});case N:return new Int8Array(a);case j:return new Uint8Array(a);case F:return new Uint8ClampedArray(a);case B:return new Int16Array(a);case U:return new Uint16Array(a);case z:return new Int32Array(a);case q:return new Uint32Array(a);case $:return new Float32Array(a);case W:return new Float64Array(a);default:throw new Error("Unkown type: "+r)}},stringToBuffer:V,bufferToString:G};function Y(e,t,n,r){e.executeSql("CREATE TABLE IF NOT EXISTS "+t.storeName+" (id INTEGER PRIMARY KEY, key unique, value)",[],n,r)}function Z(e,t,n,r,i,o){e.executeSql(n,r,i,(function(e,a){a.code===a.SYNTAX_ERR?e.executeSql("SELECT name FROM sqlite_master WHERE type='table' AND name = ?",[t.storeName],(function(e,s){s.rows.length?o(e,a):Y(e,t,(function(){e.executeSql(n,r,i,o)}),o)}),o):o(e,a)}),o)}function X(e,t,n,r){var i=this;e=u(e);var o=new a((function(o,a){i.ready().then((function(){void 0===t&&(t=null);var s=t,l=i._dbInfo;l.serializer.serialize(t,(function(t,u){u?a(u):l.db.transaction((function(n){Z(n,l,"INSERT OR REPLACE INTO "+l.storeName+" (key, value) VALUES (?, ?)",[e,t],(function(){o(s)}),(function(e,t){a(t)}))}),(function(t){if(t.code===t.QUOTA_ERR){if(r>0)return void o(X.apply(i,[e,s,n,r-1]));a(t)}}))}))})).catch(a)}));return s(o,n),o}var Q={_driver:"webSQLStorage",_initStorage:function(e){var t=this,n={db:null};if(e)for(var r in e)n[r]="string"!=typeof e[r]?e[r].toString():e[r];var i=new a((function(e,r){try{n.db=openDatabase(n.name,String(n.version),n.description,n.size)}catch(e){return r(e)}n.db.transaction((function(i){Y(i,n,(function(){t._dbInfo=n,e()}),(function(e,t){r(t)}))}),r)}));return n.serializer=K,i},_support:"function"==typeof openDatabase,iterate:function(e,t){var n=this,r=new a((function(t,r){n.ready().then((function(){var i=n._dbInfo;i.db.transaction((function(n){Z(n,i,"SELECT * FROM "+i.storeName,[],(function(n,r){for(var o=r.rows,a=o.length,s=0;s<a;s++){var l=o.item(s),u=l.value;if(u&&(u=i.serializer.deserialize(u)),void 0!==(u=e(u,l.key,s+1)))return void t(u)}t()}),(function(e,t){r(t)}))}))})).catch(r)}));return s(r,t),r},getItem:function(e,t){var n=this;e=u(e);var r=new a((function(t,r){n.ready().then((function(){var i=n._dbInfo;i.db.transaction((function(n){Z(n,i,"SELECT * FROM "+i.storeName+" WHERE key = ? LIMIT 1",[e],(function(e,n){var r=n.rows.length?n.rows.item(0).value:null;r&&(r=i.serializer.deserialize(r)),t(r)}),(function(e,t){r(t)}))}))})).catch(r)}));return s(r,t),r},setItem:function(e,t,n){return X.apply(this,[e,t,n,1])},removeItem:function(e,t){var n=this;e=u(e);var r=new a((function(t,r){n.ready().then((function(){var i=n._dbInfo;i.db.transaction((function(n){Z(n,i,"DELETE FROM "+i.storeName+" WHERE key = ?",[e],(function(){t()}),(function(e,t){r(t)}))}))})).catch(r)}));return s(r,t),r},clear:function(e){var t=this,n=new a((function(e,n){t.ready().then((function(){var r=t._dbInfo;r.db.transaction((function(t){Z(t,r,"DELETE FROM "+r.storeName,[],(function(){e()}),(function(e,t){n(t)}))}))})).catch(n)}));return s(n,e),n},length:function(e){var t=this,n=new a((function(e,n){t.ready().then((function(){var r=t._dbInfo;r.db.transaction((function(t){Z(t,r,"SELECT COUNT(key) as c FROM "+r.storeName,[],(function(t,n){var r=n.rows.item(0).c;e(r)}),(function(e,t){n(t)}))}))})).catch(n)}));return s(n,e),n},key:function(e,t){var n=this,r=new a((function(t,r){n.ready().then((function(){var i=n._dbInfo;i.db.transaction((function(n){Z(n,i,"SELECT key FROM "+i.storeName+" WHERE id = ? LIMIT 1",[e+1],(function(e,n){var r=n.rows.length?n.rows.item(0).key:null;t(r)}),(function(e,t){r(t)}))}))})).catch(r)}));return s(r,t),r},keys:function(e){var t=this,n=new a((function(e,n){t.ready().then((function(){var r=t._dbInfo;r.db.transaction((function(t){Z(t,r,"SELECT key FROM "+r.storeName,[],(function(t,n){for(var r=[],i=0;i<n.rows.length;i++)r.push(n.rows.item(i).key);e(r)}),(function(e,t){n(t)}))}))})).catch(n)}));return s(n,e),n},dropInstance:function(e,t){t=c.apply(this,arguments);var n=this.config();(e="function"!=typeof e&&e||{}).name||(e.name=e.name||n.name,e.storeName=e.storeName||n.storeName);var r,i=this;return s(r=e.name?new a((function(t){var r;r=e.name===n.name?i._dbInfo.db:openDatabase(e.name,"","",0),e.storeName?t({db:r,storeNames:[e.storeName]}):t(function(e){return new a((function(t,n){e.transaction((function(r){r.executeSql("SELECT name FROM sqlite_master WHERE type='table' AND name <> '__WebKitDatabaseInfoTable__'",[],(function(n,r){for(var i=[],o=0;o<r.rows.length;o++)i.push(r.rows.item(o).name);t({db:e,storeNames:i})}),(function(e,t){n(t)}))}),(function(e){n(e)}))}))}(r))})).then((function(e){return new a((function(t,n){e.db.transaction((function(r){function i(e){return new a((function(t,n){r.executeSql("DROP TABLE IF EXISTS "+e,[],(function(){t()}),(function(e,t){n(t)}))}))}for(var o=[],s=0,l=e.storeNames.length;s<l;s++)o.push(i(e.storeNames[s]));a.all(o).then((function(){t()})).catch((function(e){n(e)}))}),(function(e){n(e)}))}))})):a.reject("Invalid arguments"),t),r}};function J(e,t){var n=e.name+"/";return e.storeName!==t.storeName&&(n+=e.storeName+"/"),n}function ee(){return!function(){var e="_localforage_support_test";try{return localStorage.setItem(e,!0),localStorage.removeItem(e),!1}catch(e){return!0}}()||localStorage.length>0}var te={_driver:"localStorageWrapper",_initStorage:function(e){var t={};if(e)for(var n in e)t[n]=e[n];return t.keyPrefix=J(e,this._defaultConfig),ee()?(this._dbInfo=t,t.serializer=K,a.resolve()):a.reject()},_support:function(){try{return"undefined"!=typeof localStorage&&"setItem"in localStorage&&!!localStorage.setItem}catch(e){return!1}}(),iterate:function(e,t){var n=this,r=n.ready().then((function(){for(var t=n._dbInfo,r=t.keyPrefix,i=r.length,o=localStorage.length,a=1,s=0;s<o;s++){var l=localStorage.key(s);if(0===l.indexOf(r)){var u=localStorage.getItem(l);if(u&&(u=t.serializer.deserialize(u)),void 0!==(u=e(u,l.substring(i),a++)))return u}}}));return s(r,t),r},getItem:function(e,t){var n=this;e=u(e);var r=n.ready().then((function(){var t=n._dbInfo,r=localStorage.getItem(t.keyPrefix+e);return r&&(r=t.serializer.deserialize(r)),r}));return s(r,t),r},setItem:function(e,t,n){var r=this;e=u(e);var i=r.ready().then((function(){void 0===t&&(t=null);var n=t;return new a((function(i,o){var a=r._dbInfo;a.serializer.serialize(t,(function(t,r){if(r)o(r);else try{localStorage.setItem(a.keyPrefix+e,t),i(n)}catch(e){"QuotaExceededError"!==e.name&&"NS_ERROR_DOM_QUOTA_REACHED"!==e.name||o(e),o(e)}}))}))}));return s(i,n),i},removeItem:function(e,t){var n=this;e=u(e);var r=n.ready().then((function(){var t=n._dbInfo;localStorage.removeItem(t.keyPrefix+e)}));return s(r,t),r},clear:function(e){var t=this,n=t.ready().then((function(){for(var e=t._dbInfo.keyPrefix,n=localStorage.length-1;n>=0;n--){var r=localStorage.key(n);0===r.indexOf(e)&&localStorage.removeItem(r)}}));return s(n,e),n},length:function(e){var t=this.keys().then((function(e){return e.length}));return s(t,e),t},key:function(e,t){var n=this,r=n.ready().then((function(){var t,r=n._dbInfo;try{t=localStorage.key(e)}catch(e){t=null}return t&&(t=t.substring(r.keyPrefix.length)),t}));return s(r,t),r},keys:function(e){var t=this,n=t.ready().then((function(){for(var e=t._dbInfo,n=localStorage.length,r=[],i=0;i<n;i++){var o=localStorage.key(i);0===o.indexOf(e.keyPrefix)&&r.push(o.substring(e.keyPrefix.length))}return r}));return s(n,e),n},dropInstance:function(e,t){if(t=c.apply(this,arguments),!(e="function"!=typeof e&&e||{}).name){var n=this.config();e.name=e.name||n.name,e.storeName=e.storeName||n.storeName}var r,i=this;return r=e.name?new a((function(t){e.storeName?t(J(e,i._defaultConfig)):t(e.name+"/")})).then((function(e){for(var t=localStorage.length-1;t>=0;t--){var n=localStorage.key(t);0===n.indexOf(e)&&localStorage.removeItem(n)}})):a.reject("Invalid arguments"),s(r,t),r}},ne=function(e,t){for(var n=e.length,r=0;r<n;){if((i=e[r])===(o=t)||"number"==typeof i&&"number"==typeof o&&isNaN(i)&&isNaN(o))return!0;r++}var i,o;return!1},re=Array.isArray||function(e){return"[object Array]"===Object.prototype.toString.call(e)},ie={},oe={},ae={INDEXEDDB:P,WEBSQL:Q,LOCALSTORAGE:te},se=[ae.INDEXEDDB._driver,ae.WEBSQL._driver,ae.LOCALSTORAGE._driver],le=["dropInstance"],ue=["clear","getItem","iterate","key","keys","length","removeItem","setItem"].concat(le),ce={description:"",driver:se.slice(),name:"localforage",size:4980736,storeName:"keyvaluepairs",version:1};function de(e,t){e[t]=function(){var n=arguments;return e.ready().then((function(){return e[t].apply(e,n)}))}}function pe(){for(var e=1;e<arguments.length;e++){var t=arguments[e];if(t)for(var n in t)t.hasOwnProperty(n)&&(re(t[n])?arguments[0][n]=t[n].slice():arguments[0][n]=t[n])}return arguments[0]}var fe=function(){function e(t){for(var n in function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),ae)if(ae.hasOwnProperty(n)){var r=ae[n],i=r._driver;this[n]=i,ie[i]||this.defineDriver(r)}this._defaultConfig=pe({},ce),this._config=pe({},this._defaultConfig,t),this._driverSet=null,this._initDriver=null,this._ready=!1,this._dbInfo=null,this._wrapLibraryMethodsWithReady(),this.setDriver(this._config.driver).catch((function(){}))}return e.prototype.config=function(e){if("object"===(void 0===e?"undefined":r(e))){if(this._ready)return new Error("Can't call config() after localforage has been used.");for(var t in e){if("storeName"===t&&(e[t]=e[t].replace(/\W/g,"_")),"version"===t&&"number"!=typeof e[t])return new Error("Database version must be a number.");this._config[t]=e[t]}return!("driver"in e)||!e.driver||this.setDriver(this._config.driver)}return"string"==typeof e?this._config[e]:this._config},e.prototype.defineDriver=function(e,t,n){var r=new a((function(t,n){try{var r=e._driver,i=new Error("Custom driver not compliant; see https://mozilla.github.io/localForage/#definedriver");if(!e._driver)return void n(i);for(var o=ue.concat("_initStorage"),l=0,u=o.length;l<u;l++){var c=o[l];if((!ne(le,c)||e[c])&&"function"!=typeof e[c])return void n(i)}!function(){for(var t=function(e){return function(){var t=new Error("Method "+e+" is not implemented by the current driver"),n=a.reject(t);return s(n,arguments[arguments.length-1]),n}},n=0,r=le.length;n<r;n++){var i=le[n];e[i]||(e[i]=t(i))}}();var d=function(n){ie[r]&&console.info("Redefining LocalForage driver: "+r),ie[r]=e,oe[r]=n,t()};"_support"in e?e._support&&"function"==typeof e._support?e._support().then(d,n):d(!!e._support):d(!0)}catch(e){n(e)}}));return l(r,t,n),r},e.prototype.driver=function(){return this._driver||null},e.prototype.getDriver=function(e,t,n){var r=ie[e]?a.resolve(ie[e]):a.reject(new Error("Driver not found."));return l(r,t,n),r},e.prototype.getSerializer=function(e){var t=a.resolve(K);return l(t,e),t},e.prototype.ready=function(e){var t=this,n=t._driverSet.then((function(){return null===t._ready&&(t._ready=t._initDriver()),t._ready}));return l(n,e,e),n},e.prototype.setDriver=function(e,t,n){var r=this;re(e)||(e=[e]);var i=this._getSupportedDrivers(e);function o(){r._config.driver=r.driver()}function s(e){return r._extend(e),o(),r._ready=r._initStorage(r._config),r._ready}var u=null!==this._driverSet?this._driverSet.catch((function(){return a.resolve()})):a.resolve();return this._driverSet=u.then((function(){var e=i[0];return r._dbInfo=null,r._ready=null,r.getDriver(e).then((function(e){r._driver=e._driver,o(),r._wrapLibraryMethodsWithReady(),r._initDriver=function(e){return function(){var t=0;return function n(){for(;t<e.length;){var i=e[t];return t++,r._dbInfo=null,r._ready=null,r.getDriver(i).then(s).catch(n)}o();var l=new Error("No available storage method found.");return r._driverSet=a.reject(l),r._driverSet}()}}(i)}))})).catch((function(){o();var e=new Error("No available storage method found.");return r._driverSet=a.reject(e),r._driverSet})),l(this._driverSet,t,n),this._driverSet},e.prototype.supports=function(e){return!!oe[e]},e.prototype._extend=function(e){pe(this,e)},e.prototype._getSupportedDrivers=function(e){for(var t=[],n=0,r=e.length;n<r;n++){var i=e[n];this.supports(i)&&t.push(i)}return t},e.prototype._wrapLibraryMethodsWithReady=function(){for(var e=0,t=ue.length;e<t;e++)de(this,ue[e])},e.prototype.createInstance=function(t){return new e(t)},e}(),he=new fe;t.exports=he},{3:3}]},{},[4])(4)},39515:(e,t,n)=>{var r=n(38761)(n(37772),"DataView");e.exports=r},89612:(e,t,n)=>{var r=n(52118),i=n(96909),o=n(98138),a=n(4174),s=n(7942);function l(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}l.prototype.clear=r,l.prototype.delete=i,l.prototype.get=o,l.prototype.has=a,l.prototype.set=s,e.exports=l},66504:(e,t,n)=>{var r=n(39413),i=n(73620);function o(e){this.__wrapped__=e,this.__actions__=[],this.__dir__=1,this.__filtered__=!1,this.__iteratees__=[],this.__takeCount__=4294967295,this.__views__=[]}o.prototype=r(i.prototype),o.prototype.constructor=o,e.exports=o},80235:(e,t,n)=>{var r=n(3945),i=n(21846),o=n(88028),a=n(72344),s=n(94769);function l(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}l.prototype.clear=r,l.prototype.delete=i,l.prototype.get=o,l.prototype.has=a,l.prototype.set=s,e.exports=l},45859:(e,t,n)=>{var r=n(39413),i=n(73620);function o(e,t){this.__wrapped__=e,this.__actions__=[],this.__chain__=!!t,this.__index__=0,this.__values__=void 0}o.prototype=r(i.prototype),o.prototype.constructor=o,e.exports=o},10326:(e,t,n)=>{var r=n(38761)(n(37772),"Map");e.exports=r},96738:(e,t,n)=>{var r=n(92411),i=n(36417),o=n(86928),a=n(79493),s=n(24150);function l(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}l.prototype.clear=r,l.prototype.delete=i,l.prototype.get=o,l.prototype.has=a,l.prototype.set=s,e.exports=l},52760:(e,t,n)=>{var r=n(38761)(n(37772),"Promise");e.exports=r},2143:(e,t,n)=>{var r=n(38761)(n(37772),"Set");e.exports=r},45386:(e,t,n)=>{var r=n(96738),i=n(52842),o=n(52482);function a(e){var t=-1,n=null==e?0:e.length;for(this.__data__=new r;++t<n;)this.add(e[t])}a.prototype.add=a.prototype.push=i,a.prototype.has=o,e.exports=a},86571:(e,t,n)=>{var r=n(80235),i=n(15243),o=n(72858),a=n(4417),s=n(8605),l=n(71418);function u(e){var t=this.__data__=new r(e);this.size=t.size}u.prototype.clear=i,u.prototype.delete=o,u.prototype.get=a,u.prototype.has=s,u.prototype.set=l,e.exports=u},50857:(e,t,n)=>{var r=n(37772).Symbol;e.exports=r},79162:(e,t,n)=>{var r=n(37772).Uint8Array;e.exports=r},93215:(e,t,n)=>{var r=n(38761)(n(37772),"WeakMap");e.exports=r},49432:e=>{e.exports=function(e,t,n){switch(n.length){case 0:return e.call(t);case 1:return e.call(t,n[0]);case 2:return e.call(t,n[0],n[1]);case 3:return e.call(t,n[0],n[1],n[2])}return e.apply(t,n)}},65338:e=>{e.exports=function(e,t,n,r){for(var i=-1,o=null==e?0:e.length;++i<o;){var a=e[i];t(r,a,n(a),e)}return r}},72517:e=>{e.exports=function(e,t){for(var n=-1,r=null==e?0:e.length;++n<r&&!1!==t(e[n],n,e););return e}},67552:e=>{e.exports=function(e,t){for(var n=-1,r=null==e?0:e.length,i=0,o=[];++n<r;){var a=e[n];t(a,n,e)&&(o[i++]=a)}return o}},38333:(e,t,n)=>{var r=n(77832);e.exports=function(e,t){return!(null==e||!e.length)&&r(e,t,0)>-1}},34893:e=>{e.exports=function(e,t,n){for(var r=-1,i=null==e?0:e.length;++r<i;)if(n(t,e[r]))return!0;return!1}},1634:(e,t,n)=>{var r=n(36473),i=n(79631),o=n(86152),a=n(73226),s=n(39045),l=n(77598),u=Object.prototype.hasOwnProperty;e.exports=function(e,t){var n=o(e),c=!n&&i(e),d=!n&&!c&&a(e),p=!n&&!c&&!d&&l(e),f=n||c||d||p,h=f?r(e.length,String):[],m=h.length;for(var g in e)!t&&!u.call(e,g)||f&&("length"==g||d&&("offset"==g||"parent"==g)||p&&("buffer"==g||"byteLength"==g||"byteOffset"==g)||s(g,m))||h.push(g);return h}},50343:e=>{e.exports=function(e,t){for(var n=-1,r=null==e?0:e.length,i=Array(r);++n<r;)i[n]=t(e[n],n,e);return i}},65067:e=>{e.exports=function(e,t){for(var n=-1,r=t.length,i=e.length;++n<r;)e[i+n]=t[n];return e}},87064:e=>{e.exports=function(e,t){for(var n=-1,r=null==e?0:e.length;++n<r;)if(t(e[n],n,e))return!0;return!1}},8589:(e,t,n)=>{var r=n(20256)("length");e.exports=r},50217:e=>{e.exports=function(e){return e.split("")}},28582:(e,t,n)=>{var r=n(13940),i=n(41225);e.exports=function(e,t,n){(void 0!==n&&!i(e[t],n)||void 0===n&&!(t in e))&&r(e,t,n)}},60091:(e,t,n)=>{var r=n(13940),i=n(41225),o=Object.prototype.hasOwnProperty;e.exports=function(e,t,n){var a=e[t];o.call(e,t)&&i(a,n)&&(void 0!==n||t in e)||r(e,t,n)}},22218:(e,t,n)=>{var r=n(41225);e.exports=function(e,t){for(var n=e.length;n--;)if(r(e[n][0],t))return n;return-1}},12825:(e,t,n)=>{var r=n(24303);e.exports=function(e,t,n,i){return r(e,(function(e,r,o){t(i,e,n(e),o)})),i}},67993:(e,t,n)=>{var r=n(752),i=n(90249);e.exports=function(e,t){return e&&r(t,i(t),e)}},55906:(e,t,n)=>{var r=n(752),i=n(18582);e.exports=function(e,t){return e&&r(t,i(t),e)}},13940:(e,t,n)=>{var r=n(83043);e.exports=function(e,t,n){"__proto__"==t&&r?r(e,t,{configurable:!0,enumerable:!0,value:n,writable:!0}):e[t]=n}},14034:e=>{e.exports=function(e,t,n){return e==e&&(void 0!==n&&(e=e<=n?e:n),void 0!==t&&(e=e>=t?e:t)),e}},18874:(e,t,n)=>{var r=n(86571),i=n(72517),o=n(60091),a=n(67993),s=n(55906),l=n(92175),u=n(51522),c=n(7680),d=n(19987),p=n(13483),f=n(76939),h=n(70940),m=n(99917),g=n(8222),v=n(78725),y=n(86152),b=n(73226),w=n(4714),_=n(29259),x=n(43679),k=n(90249),E=n(18582),C="[object Arguments]",S="[object Function]",A="[object Object]",T={};T[C]=T["[object Array]"]=T["[object ArrayBuffer]"]=T["[object DataView]"]=T["[object Boolean]"]=T["[object Date]"]=T["[object Float32Array]"]=T["[object Float64Array]"]=T["[object Int8Array]"]=T["[object Int16Array]"]=T["[object Int32Array]"]=T["[object Map]"]=T["[object Number]"]=T[A]=T["[object RegExp]"]=T["[object Set]"]=T["[object String]"]=T["[object Symbol]"]=T["[object Uint8Array]"]=T["[object Uint8ClampedArray]"]=T["[object Uint16Array]"]=T["[object Uint32Array]"]=!0,T["[object Error]"]=T[S]=T["[object WeakMap]"]=!1,e.exports=function e(t,n,O,P,D,R){var I,M=1&n,L=2&n,N=4&n;if(O&&(I=D?O(t,P,D,R):O(t)),void 0!==I)return I;if(!_(t))return t;var j=y(t);if(j){if(I=m(t),!M)return u(t,I)}else{var F=h(t),B=F==S||"[object GeneratorFunction]"==F;if(b(t))return l(t,M);if(F==A||F==C||B&&!D){if(I=L||B?{}:v(t),!M)return L?d(t,s(I,t)):c(t,a(I,t))}else{if(!T[F])return D?t:{};I=g(t,F,M)}}R||(R=new r);var z=R.get(t);if(z)return z;R.set(t,I),x(t)?t.forEach((function(r){I.add(e(r,n,O,r,t,R))})):w(t)&&t.forEach((function(r,i){I.set(i,e(r,n,O,i,t,R))}));var U=j?void 0:(N?L?f:p:L?E:k)(t);return i(U||t,(function(r,i){U&&(r=t[i=r]),o(I,i,e(r,n,O,i,t,R))})),I}},39413:(e,t,n)=>{var r=n(29259),i=Object.create,o=function(){function e(){}return function(t){if(!r(t))return{};if(i)return i(t);e.prototype=t;var n=new e;return e.prototype=void 0,n}}();e.exports=o},85246:(e,t,n)=>{var r=n(45386),i=n(38333),o=n(34893),a=n(50343),s=n(47826),l=n(59950);e.exports=function(e,t,n,u){var c=-1,d=i,p=!0,f=e.length,h=[],m=t.length;if(!f)return h;n&&(t=a(t,s(n))),u?(d=o,p=!1):t.length>=200&&(d=l,p=!1,t=new r(t));e:for(;++c<f;){var g=e[c],v=null==n?g:n(g);if(g=u||0!==g?g:0,p&&v==v){for(var y=m;y--;)if(t[y]===v)continue e;h.push(g)}else d(t,v,u)||h.push(g)}return h}},24303:(e,t,n)=>{var r=n(26548),i=n(92019)(r);e.exports=i},98043:(e,t,n)=>{var r=n(24303);e.exports=function(e,t){var n=[];return r(e,(function(e,r,i){t(e,r,i)&&n.push(e)})),n}},21359:e=>{e.exports=function(e,t,n,r){for(var i=e.length,o=n+(r?1:-1);r?o--:++o<i;)if(t(e[o],o,e))return o;return-1}},62034:(e,t,n)=>{var r=n(65067),i=n(95882);e.exports=function e(t,n,o,a,s){var l=-1,u=t.length;for(o||(o=i),s||(s=[]);++l<u;){var c=t[l];n>0&&o(c)?n>1?e(c,n-1,o,a,s):r(s,c):a||(s[s.length]=c)}return s}},15308:(e,t,n)=>{var r=n(55463)();e.exports=r},26548:(e,t,n)=>{var r=n(15308),i=n(90249);e.exports=function(e,t){return e&&r(e,t,i)}},13324:(e,t,n)=>{var r=n(17297),i=n(33812);e.exports=function(e,t){for(var n=0,o=(t=r(t,e)).length;null!=e&&n<o;)e=e[i(t[n++])];return n&&n==o?e:void 0}},1897:(e,t,n)=>{var r=n(65067),i=n(86152);e.exports=function(e,t,n){var o=t(e);return i(e)?o:r(o,n(e))}},53366:(e,t,n)=>{var r=n(50857),i=n(62107),o=n(37157),a=r?r.toStringTag:void 0;e.exports=function(e){return null==e?void 0===e?"[object Undefined]":"[object Null]":a&&a in Object(e)?i(e):o(e)}},32726:e=>{var t=Object.prototype.hasOwnProperty;e.exports=function(e,n){return null!=e&&t.call(e,n)}},20187:e=>{e.exports=function(e,t){return null!=e&&t in Object(e)}},77832:(e,t,n)=>{var r=n(21359),i=n(22195),o=n(66024);e.exports=function(e,t,n){return t==t?o(e,t,n):r(e,i,n)}},88390:(e,t,n)=>{var r=n(45386),i=n(38333),o=n(34893),a=n(50343),s=n(47826),l=n(59950),u=Math.min;e.exports=function(e,t,n){for(var c=n?o:i,d=e[0].length,p=e.length,f=p,h=Array(p),m=1/0,g=[];f--;){var v=e[f];f&&t&&(v=a(v,s(t))),m=u(v.length,m),h[f]=!n&&(t||d>=120&&v.length>=120)?new r(f&&v):void 0}v=e[0];var y=-1,b=h[0];e:for(;++y<d&&g.length<m;){var w=v[y],_=t?t(w):w;if(w=n||0!==w?w:0,!(b?l(b,_):c(g,_,n))){for(f=p;--f;){var x=h[f];if(!(x?l(x,_):c(e[f],_,n)))continue e}b&&b.push(_),g.push(w)}}return g}},15183:(e,t,n)=>{var r=n(53366),i=n(15125);e.exports=function(e){return i(e)&&"[object Arguments]"==r(e)}},88746:(e,t,n)=>{var r=n(51952),i=n(15125);e.exports=function e(t,n,o,a,s){return t===n||(null==t||null==n||!i(t)&&!i(n)?t!=t&&n!=n:r(t,n,o,a,e,s))}},51952:(e,t,n)=>{var r=n(86571),i=n(74871),o=n(11491),a=n(17416),s=n(70940),l=n(86152),u=n(73226),c=n(77598),d="[object Arguments]",p="[object Array]",f="[object Object]",h=Object.prototype.hasOwnProperty;e.exports=function(e,t,n,m,g,v){var y=l(e),b=l(t),w=y?p:s(e),_=b?p:s(t),x=(w=w==d?f:w)==f,k=(_=_==d?f:_)==f,E=w==_;if(E&&u(e)){if(!u(t))return!1;y=!0,x=!1}if(E&&!x)return v||(v=new r),y||c(e)?i(e,t,n,m,g,v):o(e,t,w,n,m,g,v);if(!(1&n)){var C=x&&h.call(e,"__wrapped__"),S=k&&h.call(t,"__wrapped__");if(C||S){var A=C?e.value():e,T=S?t.value():t;return v||(v=new r),g(A,T,n,m,v)}}return!!E&&(v||(v=new r),a(e,t,n,m,g,v))}},74511:(e,t,n)=>{var r=n(70940),i=n(15125);e.exports=function(e){return i(e)&&"[object Map]"==r(e)}},37036:(e,t,n)=>{var r=n(86571),i=n(88746);e.exports=function(e,t,n,o){var a=n.length,s=a,l=!o;if(null==e)return!s;for(e=Object(e);a--;){var u=n[a];if(l&&u[2]?u[1]!==e[u[0]]:!(u[0]in e))return!1}for(;++a<s;){var c=(u=n[a])[0],d=e[c],p=u[1];if(l&&u[2]){if(void 0===d&&!(c in e))return!1}else{var f=new r;if(o)var h=o(d,p,c,e,t,f);if(!(void 0===h?i(p,d,3,o,f):h))return!1}}return!0}},22195:e=>{e.exports=function(e){return e!=e}},6840:(e,t,n)=>{var r=n(61049),i=n(47394),o=n(29259),a=n(87035),s=/^\[object .+?Constructor\]$/,l=Function.prototype,u=Object.prototype,c=l.toString,d=u.hasOwnProperty,p=RegExp("^"+c.call(d).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$");e.exports=function(e){return!(!o(e)||i(e))&&(r(e)?p:s).test(a(e))}},24333:(e,t,n)=>{var r=n(53366),i=n(15125);e.exports=function(e){return i(e)&&"[object RegExp]"==r(e)}},8109:(e,t,n)=>{var r=n(70940),i=n(15125);e.exports=function(e){return i(e)&&"[object Set]"==r(e)}},35522:(e,t,n)=>{var r=n(53366),i=n(61158),o=n(15125),a={};a["[object Float32Array]"]=a["[object Float64Array]"]=a["[object Int8Array]"]=a["[object Int16Array]"]=a["[object Int32Array]"]=a["[object Uint8Array]"]=a["[object Uint8ClampedArray]"]=a["[object Uint16Array]"]=a["[object Uint32Array]"]=!0,a["[object Arguments]"]=a["[object Array]"]=a["[object ArrayBuffer]"]=a["[object Boolean]"]=a["[object DataView]"]=a["[object Date]"]=a["[object Error]"]=a["[object Function]"]=a["[object Map]"]=a["[object Number]"]=a["[object Object]"]=a["[object RegExp]"]=a["[object Set]"]=a["[object String]"]=a["[object WeakMap]"]=!1,e.exports=function(e){return o(e)&&i(e.length)&&!!a[r(e)]}},68286:(e,t,n)=>{var r=n(26423),i=n(74716),o=n(23059),a=n(86152),s=n(65798);e.exports=function(e){return"function"==typeof e?e:null==e?o:"object"==typeof e?a(e)?i(e[0],e[1]):r(e):s(e)}},86411:(e,t,n)=>{var r=n(16001),i=n(54248),o=Object.prototype.hasOwnProperty;e.exports=function(e){if(!r(e))return i(e);var t=[];for(var n in Object(e))o.call(e,n)&&"constructor"!=n&&t.push(n);return t}},18390:(e,t,n)=>{var r=n(29259),i=n(16001),o=n(62966),a=Object.prototype.hasOwnProperty;e.exports=function(e){if(!r(e))return o(e);var t=i(e),n=[];for(var s in e)("constructor"!=s||!t&&a.call(e,s))&&n.push(s);return n}},73620:e=>{e.exports=function(){}},93401:(e,t,n)=>{var r=n(24303),i=n(67878);e.exports=function(e,t){var n=-1,o=i(e)?Array(e.length):[];return r(e,(function(e,r,i){o[++n]=t(e,r,i)})),o}},26423:(e,t,n)=>{var r=n(37036),i=n(49882),o=n(73477);e.exports=function(e){var t=i(e);return 1==t.length&&t[0][2]?o(t[0][0],t[0][1]):function(n){return n===e||r(n,e,t)}}},74716:(e,t,n)=>{var r=n(88746),i=n(72579),o=n(95041),a=n(21401),s=n(28792),l=n(73477),u=n(33812);e.exports=function(e,t){return a(e)&&s(t)?l(u(e),t):function(n){var a=i(n,e);return void 0===a&&a===t?o(n,e):r(t,a,3)}}},84565:(e,t,n)=>{var r=n(86571),i=n(28582),o=n(15308),a=n(25561),s=n(29259),l=n(18582),u=n(52434);e.exports=function e(t,n,c,d,p){t!==n&&o(n,(function(o,l){if(p||(p=new r),s(o))a(t,n,l,c,e,d,p);else{var f=d?d(u(t,l),o,l+"",t,n,p):void 0;void 0===f&&(f=o),i(t,l,f)}}),l)}},25561:(e,t,n)=>{var r=n(28582),i=n(92175),o=n(6190),a=n(51522),s=n(78725),l=n(79631),u=n(86152),c=n(93746),d=n(73226),p=n(61049),f=n(29259),h=n(97030),m=n(77598),g=n(52434),v=n(63329);e.exports=function(e,t,n,y,b,w,_){var x=g(e,n),k=g(t,n),E=_.get(k);if(E)r(e,n,E);else{var C=w?w(x,k,n+"",e,t,_):void 0,S=void 0===C;if(S){var A=u(k),T=!A&&d(k),O=!A&&!T&&m(k);C=k,A||T||O?u(x)?C=x:c(x)?C=a(x):T?(S=!1,C=i(k,!0)):O?(S=!1,C=o(k,!0)):C=[]:h(k)||l(k)?(C=x,l(x)?C=v(x):f(x)&&!p(x)||(C=s(k))):S=!1}S&&(_.set(k,C),b(C,k,y,w,_),_.delete(k)),r(e,n,C)}}},23813:(e,t,n)=>{var r=n(50343),i=n(13324),o=n(68286),a=n(93401),s=n(27095),l=n(47826),u=n(18477),c=n(23059),d=n(86152);e.exports=function(e,t,n){t=t.length?r(t,(function(e){return d(e)?function(t){return i(t,1===e.length?e[0]:e)}:e})):[c];var p=-1;t=r(t,l(o));var f=a(e,(function(e,n,i){return{criteria:r(t,(function(t){return t(e)})),index:++p,value:e}}));return s(f,(function(e,t){return u(e,t,n)}))}},92602:(e,t,n)=>{var r=n(93759),i=n(95041);e.exports=function(e,t){return r(e,t,(function(t,n){return i(e,n)}))}},93759:(e,t,n)=>{var r=n(13324),i=n(82857),o=n(17297);e.exports=function(e,t,n){for(var a=-1,s=t.length,l={};++a<s;){var u=t[a],c=r(e,u);n(c,u)&&i(l,o(u,e),c)}return l}},20256:e=>{e.exports=function(e){return function(t){return null==t?void 0:t[e]}}},82952:(e,t,n)=>{var r=n(13324);e.exports=function(e){return function(t){return r(t,e)}}},36060:(e,t,n)=>{var r=n(23059),i=n(43114),o=n(75251);e.exports=function(e,t){return o(i(e,t,r),e+"")}},82857:(e,t,n)=>{var r=n(60091),i=n(17297),o=n(39045),a=n(29259),s=n(33812);e.exports=function(e,t,n,l){if(!a(e))return e;for(var u=-1,c=(t=i(t,e)).length,d=c-1,p=e;null!=p&&++u<c;){var f=s(t[u]),h=n;if("__proto__"===f||"constructor"===f||"prototype"===f)return e;if(u!=d){var m=p[f];void 0===(h=l?l(m,f,p):void 0)&&(h=a(m)?m:o(t[u+1])?[]:{})}r(p,f,h),p=p[f]}return e}},54817:(e,t,n)=>{var r=n(23059),i=n(70529),o=i?function(e,t){return i.set(e,t),e}:r;e.exports=o},86532:(e,t,n)=>{var r=n(86874),i=n(83043),o=n(23059),a=i?function(e,t){return i(e,"toString",{configurable:!0,enumerable:!1,value:r(t),writable:!0})}:o;e.exports=a},39872:e=>{e.exports=function(e,t,n){var r=-1,i=e.length;t<0&&(t=-t>i?0:i+t),(n=n>i?i:n)<0&&(n+=i),i=t>n?0:n-t>>>0,t>>>=0;for(var o=Array(i);++r<i;)o[r]=e[r+t];return o}},27095:e=>{e.exports=function(e,t){var n=e.length;for(e.sort(t);n--;)e[n]=e[n].value;return e}},36473:e=>{e.exports=function(e,t){for(var n=-1,r=Array(e);++n<e;)r[n]=t(n);return r}},1054:(e,t,n)=>{var r=n(50857),i=n(50343),o=n(86152),a=n(4795),s=r?r.prototype:void 0,l=s?s.toString:void 0;e.exports=function e(t){if("string"==typeof t)return t;if(o(t))return i(t,e)+"";if(a(t))return l?l.call(t):"";var n=t+"";return"0"==n&&1/t==-1/0?"-0":n}},51704:(e,t,n)=>{var r=n(52153),i=/^\s+/;e.exports=function(e){return e?e.slice(0,r(e)+1).replace(i,""):e}},47826:e=>{e.exports=function(e){return function(t){return e(t)}}},67326:(e,t,n)=>{var r=n(45386),i=n(38333),o=n(34893),a=n(59950),s=n(78803),l=n(16909);e.exports=function(e,t,n){var u=-1,c=i,d=e.length,p=!0,f=[],h=f;if(n)p=!1,c=o;else if(d>=200){var m=t?null:s(e);if(m)return l(m);p=!1,c=a,h=new r}else h=t?[]:f;e:for(;++u<d;){var g=e[u],v=t?t(g):g;if(g=n||0!==g?g:0,p&&v==v){for(var y=h.length;y--;)if(h[y]===v)continue e;t&&h.push(v),f.push(g)}else c(h,v,n)||(h!==f&&h.push(v),f.push(g))}return f}},29078:(e,t,n)=>{var r=n(17297),i=n(56974),o=n(62721),a=n(33812);e.exports=function(e,t){return t=r(t,e),null==(e=o(e,t))||delete e[a(i(t))]}},59950:e=>{e.exports=function(e,t){return e.has(t)}},5642:(e,t,n)=>{var r=n(93746);e.exports=function(e){return r(e)?e:[]}},89419:(e,t,n)=>{var r=n(23059);e.exports=function(e){return"function"==typeof e?e:r}},17297:(e,t,n)=>{var r=n(86152),i=n(21401),o=n(54452),a=n(66188);e.exports=function(e,t){return r(e)?e:i(e,t)?[e]:o(a(e))}},23895:(e,t,n)=>{var r=n(39872);e.exports=function(e,t,n){var i=e.length;return n=void 0===n?i:n,!t&&n>=i?e:r(e,t,n)}},10768:(e,t,n)=>{var r=n(77832);e.exports=function(e,t){for(var n=e.length;n--&&r(t,e[n],0)>-1;);return n}},51821:(e,t,n)=>{var r=n(77832);e.exports=function(e,t){for(var n=-1,i=e.length;++n<i&&r(t,e[n],0)>-1;);return n}},79882:(e,t,n)=>{var r=n(79162);e.exports=function(e){var t=new e.constructor(e.byteLength);return new r(t).set(new r(e)),t}},92175:(e,t,n)=>{e=n.nmd(e);var r=n(37772),i=t&&!t.nodeType&&t,o=i&&e&&!e.nodeType&&e,a=o&&o.exports===i?r.Buffer:void 0,s=a?a.allocUnsafe:void 0;e.exports=function(e,t){if(t)return e.slice();var n=e.length,r=s?s(n):new e.constructor(n);return e.copy(r),r}},34727:(e,t,n)=>{var r=n(79882);e.exports=function(e,t){var n=t?r(e.buffer):e.buffer;return new e.constructor(n,e.byteOffset,e.byteLength)}},96058:e=>{var t=/\w*$/;e.exports=function(e){var n=new e.constructor(e.source,t.exec(e));return n.lastIndex=e.lastIndex,n}},70169:(e,t,n)=>{var r=n(50857),i=r?r.prototype:void 0,o=i?i.valueOf:void 0;e.exports=function(e){return o?Object(o.call(e)):{}}},6190:(e,t,n)=>{var r=n(79882);e.exports=function(e,t){var n=t?r(e.buffer):e.buffer;return new e.constructor(n,e.byteOffset,e.length)}},27520:(e,t,n)=>{var r=n(4795);e.exports=function(e,t){if(e!==t){var n=void 0!==e,i=null===e,o=e==e,a=r(e),s=void 0!==t,l=null===t,u=t==t,c=r(t);if(!l&&!c&&!a&&e>t||a&&s&&u&&!l&&!c||i&&s&&u||!n&&u||!o)return 1;if(!i&&!a&&!c&&e<t||c&&n&&o&&!i&&!a||l&&n&&o||!s&&o||!u)return-1}return 0}},18477:(e,t,n)=>{var r=n(27520);e.exports=function(e,t,n){for(var i=-1,o=e.criteria,a=t.criteria,s=o.length,l=n.length;++i<s;){var u=r(o[i],a[i]);if(u)return i>=l?u:u*("desc"==n[i]?-1:1)}return e.index-t.index}},11495:e=>{var t=Math.max;e.exports=function(e,n,r,i){for(var o=-1,a=e.length,s=r.length,l=-1,u=n.length,c=t(a-s,0),d=Array(u+c),p=!i;++l<u;)d[l]=n[l];for(;++o<s;)(p||o<a)&&(d[r[o]]=e[o]);for(;c--;)d[l++]=e[o++];return d}},152:e=>{var t=Math.max;e.exports=function(e,n,r,i){for(var o=-1,a=e.length,s=-1,l=r.length,u=-1,c=n.length,d=t(a-l,0),p=Array(d+c),f=!i;++o<d;)p[o]=e[o];for(var h=o;++u<c;)p[h+u]=n[u];for(;++s<l;)(f||o<a)&&(p[h+r[s]]=e[o++]);return p}},51522:e=>{e.exports=function(e,t){var n=-1,r=e.length;for(t||(t=Array(r));++n<r;)t[n]=e[n];return t}},752:(e,t,n)=>{var r=n(60091),i=n(13940);e.exports=function(e,t,n,o){var a=!n;n||(n={});for(var s=-1,l=t.length;++s<l;){var u=t[s],c=o?o(n[u],e[u],u,n,e):void 0;void 0===c&&(c=e[u]),a?i(n,u,c):r(n,u,c)}return n}},7680:(e,t,n)=>{var r=n(752),i=n(80633);e.exports=function(e,t){return r(e,i(e),t)}},19987:(e,t,n)=>{var r=n(752),i=n(12680);e.exports=function(e,t){return r(e,i(e),t)}},24019:(e,t,n)=>{var r=n(37772)["__core-js_shared__"];e.exports=r},61176:e=>{e.exports=function(e,t){for(var n=e.length,r=0;n--;)e[n]===t&&++r;return r}},36740:(e,t,n)=>{var r=n(65338),i=n(12825),o=n(68286),a=n(86152);e.exports=function(e,t){return function(n,s){var l=a(n)?r:i,u=t?t():{};return l(n,e,o(s,2),u)}}},97263:(e,t,n)=>{var r=n(36060),i=n(82406);e.exports=function(e){return r((function(t,n){var r=-1,o=n.length,a=o>1?n[o-1]:void 0,s=o>2?n[2]:void 0;for(a=e.length>3&&"function"==typeof a?(o--,a):void 0,s&&i(n[0],n[1],s)&&(a=o<3?void 0:a,o=1),t=Object(t);++r<o;){var l=n[r];l&&e(t,l,r,a)}return t}))}},92019:(e,t,n)=>{var r=n(67878);e.exports=function(e,t){return function(n,i){if(null==n)return n;if(!r(n))return e(n,i);for(var o=n.length,a=t?o:-1,s=Object(n);(t?a--:++a<o)&&!1!==i(s[a],a,s););return n}}},55463:e=>{e.exports=function(e){return function(t,n,r){for(var i=-1,o=Object(t),a=r(t),s=a.length;s--;){var l=a[e?s:++i];if(!1===n(o[l],l,o))break}return t}}},23485:(e,t,n)=>{var r=n(52248),i=n(37772);e.exports=function(e,t,n){var o=1&t,a=r(e);return function t(){return(this&&this!==i&&this instanceof t?a:e).apply(o?n:this,arguments)}}},52248:(e,t,n)=>{var r=n(39413),i=n(29259);e.exports=function(e){return function(){var t=arguments;switch(t.length){case 0:return new e;case 1:return new e(t[0]);case 2:return new e(t[0],t[1]);case 3:return new e(t[0],t[1],t[2]);case 4:return new e(t[0],t[1],t[2],t[3]);case 5:return new e(t[0],t[1],t[2],t[3],t[4]);case 6:return new e(t[0],t[1],t[2],t[3],t[4],t[5]);case 7:return new e(t[0],t[1],t[2],t[3],t[4],t[5],t[6])}var n=r(e.prototype),o=e.apply(n,t);return i(o)?o:n}}},98462:(e,t,n)=>{var r=n(49432),i=n(52248),o=n(90764),a=n(57891),s=n(13325),l=n(90527),u=n(37772);e.exports=function(e,t,n){var c=i(e);return function i(){for(var d=arguments.length,p=Array(d),f=d,h=s(i);f--;)p[f]=arguments[f];var m=d<3&&p[0]!==h&&p[d-1]!==h?[]:l(p,h);return(d-=m.length)<n?a(e,t,o,i.placeholder,void 0,p,m,void 0,void 0,n-d):r(this&&this!==u&&this instanceof i?c:e,this,p)}}},98776:(e,t,n)=>{var r=n(68286),i=n(67878),o=n(90249);e.exports=function(e){return function(t,n,a){var s=Object(t);if(!i(t)){var l=r(n,3);t=o(t),n=function(e){return l(s[e],e,s)}}var u=e(t,n,a);return u>-1?s[l?t[u]:u]:void 0}}},37541:(e,t,n)=>{var r=n(45859),i=n(29097),o=n(78203),a=n(59350),s=n(86152),l=n(93735);e.exports=function(e){return i((function(t){var n=t.length,i=n,u=r.prototype.thru;for(e&&t.reverse();i--;){var c=t[i];if("function"!=typeof c)throw new TypeError("Expected a function");if(u&&!d&&"wrapper"==a(c))var d=new r([],!0)}for(i=d?i:n;++i<n;){c=t[i];var p=a(c),f="wrapper"==p?o(c):void 0;d=f&&l(f[0])&&424==f[1]&&!f[4].length&&1==f[9]?d[a(f[0])].apply(d,f[3]):1==c.length&&l(c)?d[p]():d.thru(c)}return function(){var e=arguments,r=e[0];if(d&&1==e.length&&s(r))return d.plant(r).value();for(var i=0,o=n?t[i].apply(this,e):r;++i<n;)o=t[i].call(this,o);return o}}))}},90764:(e,t,n)=>{var r=n(11495),i=n(152),o=n(61176),a=n(52248),s=n(57891),l=n(13325),u=n(33418),c=n(90527),d=n(37772);e.exports=function e(t,n,p,f,h,m,g,v,y,b){var w=128&n,_=1&n,x=2&n,k=24&n,E=512&n,C=x?void 0:a(t);return function S(){for(var A=arguments.length,T=Array(A),O=A;O--;)T[O]=arguments[O];if(k)var P=l(S),D=o(T,P);if(f&&(T=r(T,f,h,k)),m&&(T=i(T,m,g,k)),A-=D,k&&A<b){var R=c(T,P);return s(t,n,e,S.placeholder,p,T,R,v,y,b-A)}var I=_?p:this,M=x?I[t]:t;return A=T.length,v?T=u(T,v):E&&A>1&&T.reverse(),w&&y<A&&(T.length=y),this&&this!==d&&this instanceof S&&(M=C||a(M)),M.apply(I,T)}}},85468:(e,t,n)=>{var r=n(49432),i=n(52248),o=n(37772);e.exports=function(e,t,n,a){var s=1&t,l=i(e);return function t(){for(var i=-1,u=arguments.length,c=-1,d=a.length,p=Array(d+u),f=this&&this!==o&&this instanceof t?l:e;++c<d;)p[c]=a[c];for(;u--;)p[c++]=arguments[++i];return r(f,s?n:this,p)}}},57891:(e,t,n)=>{var r=n(93735),i=n(29890),o=n(15877);e.exports=function(e,t,n,a,s,l,u,c,d,p){var f=8&t;t|=f?32:64,4&(t&=~(f?64:32))||(t&=-4);var h=[e,t,s,f?l:void 0,f?u:void 0,f?void 0:l,f?void 0:u,c,d,p],m=n.apply(void 0,h);return r(e)&&i(m,h),m.placeholder=a,o(m,e,t)}},78803:(e,t,n)=>{var r=n(2143),i=n(34291),o=n(16909),a=r&&1/o(new r([,-0]))[1]==1/0?function(e){return new r(e)}:i;e.exports=a},87902:(e,t,n)=>{var r=n(54817),i=n(23485),o=n(98462),a=n(90764),s=n(85468),l=n(78203),u=n(79e3),c=n(29890),d=n(15877),p=n(38101),f=Math.max;e.exports=function(e,t,n,h,m,g,v,y){var b=2&t;if(!b&&"function"!=typeof e)throw new TypeError("Expected a function");var w=h?h.length:0;if(w||(t&=-97,h=m=void 0),v=void 0===v?v:f(p(v),0),y=void 0===y?y:p(y),w-=m?m.length:0,64&t){var _=h,x=m;h=m=void 0}var k=b?void 0:l(e),E=[e,t,n,h,m,_,x,g,v,y];if(k&&u(E,k),e=E[0],t=E[1],n=E[2],h=E[3],m=E[4],!(y=E[9]=void 0===E[9]?b?0:e.length:f(E[9]-w,0))&&24&t&&(t&=-25),t&&1!=t)C=8==t||16==t?o(e,t,y):32!=t&&33!=t||m.length?a.apply(void 0,E):s(e,t,n,h);else var C=i(e,t,n);return d((k?r:c)(C,E),e,t)}},48642:(e,t,n)=>{var r=n(97030);e.exports=function(e){return r(e)?void 0:e}},83043:(e,t,n)=>{var r=n(38761),i=function(){try{var e=r(Object,"defineProperty");return e({},"",{}),e}catch(e){}}();e.exports=i},74871:(e,t,n)=>{var r=n(45386),i=n(87064),o=n(59950);e.exports=function(e,t,n,a,s,l){var u=1&n,c=e.length,d=t.length;if(c!=d&&!(u&&d>c))return!1;var p=l.get(e),f=l.get(t);if(p&&f)return p==t&&f==e;var h=-1,m=!0,g=2&n?new r:void 0;for(l.set(e,t),l.set(t,e);++h<c;){var v=e[h],y=t[h];if(a)var b=u?a(y,v,h,t,e,l):a(v,y,h,e,t,l);if(void 0!==b){if(b)continue;m=!1;break}if(g){if(!i(t,(function(e,t){if(!o(g,t)&&(v===e||s(v,e,n,a,l)))return g.push(t)}))){m=!1;break}}else if(v!==y&&!s(v,y,n,a,l)){m=!1;break}}return l.delete(e),l.delete(t),m}},11491:(e,t,n)=>{var r=n(50857),i=n(79162),o=n(41225),a=n(74871),s=n(75179),l=n(16909),u=r?r.prototype:void 0,c=u?u.valueOf:void 0;e.exports=function(e,t,n,r,u,d,p){switch(n){case"[object DataView]":if(e.byteLength!=t.byteLength||e.byteOffset!=t.byteOffset)return!1;e=e.buffer,t=t.buffer;case"[object ArrayBuffer]":return!(e.byteLength!=t.byteLength||!d(new i(e),new i(t)));case"[object Boolean]":case"[object Date]":case"[object Number]":return o(+e,+t);case"[object Error]":return e.name==t.name&&e.message==t.message;case"[object RegExp]":case"[object String]":return e==t+"";case"[object Map]":var f=s;case"[object Set]":var h=1&r;if(f||(f=l),e.size!=t.size&&!h)return!1;var m=p.get(e);if(m)return m==t;r|=2,p.set(e,t);var g=a(f(e),f(t),r,u,d,p);return p.delete(e),g;case"[object Symbol]":if(c)return c.call(e)==c.call(t)}return!1}},17416:(e,t,n)=>{var r=n(13483),i=Object.prototype.hasOwnProperty;e.exports=function(e,t,n,o,a,s){var l=1&n,u=r(e),c=u.length;if(c!=r(t).length&&!l)return!1;for(var d=c;d--;){var p=u[d];if(!(l?p in t:i.call(t,p)))return!1}var f=s.get(e),h=s.get(t);if(f&&h)return f==t&&h==e;var m=!0;s.set(e,t),s.set(t,e);for(var g=l;++d<c;){var v=e[p=u[d]],y=t[p];if(o)var b=l?o(y,v,p,t,e,s):o(v,y,p,e,t,s);if(!(void 0===b?v===y||a(v,y,n,o,s):b)){m=!1;break}g||(g="constructor"==p)}if(m&&!g){var w=e.constructor,_=t.constructor;w==_||!("constructor"in e)||!("constructor"in t)||"function"==typeof w&&w instanceof w&&"function"==typeof _&&_ instanceof _||(m=!1)}return s.delete(e),s.delete(t),m}},29097:(e,t,n)=>{var r=n(35676),i=n(43114),o=n(75251);e.exports=function(e){return o(i(e,void 0,r),e+"")}},51242:(e,t,n)=>{var r="object"==typeof n.g&&n.g&&n.g.Object===Object&&n.g;e.exports=r},13483:(e,t,n)=>{var r=n(1897),i=n(80633),o=n(90249);e.exports=function(e){return r(e,o,i)}},76939:(e,t,n)=>{var r=n(1897),i=n(12680),o=n(18582);e.exports=function(e){return r(e,o,i)}},78203:(e,t,n)=>{var r=n(70529),i=n(34291),o=r?function(e){return r.get(e)}:i;e.exports=o},59350:(e,t,n)=>{var r=n(29212),i=Object.prototype.hasOwnProperty;e.exports=function(e){for(var t=e.name+"",n=r[t],o=i.call(r,t)?n.length:0;o--;){var a=n[o],s=a.func;if(null==s||s==e)return a.name}return t}},13325:e=>{e.exports=function(e){return e.placeholder}},27937:(e,t,n)=>{var r=n(98304);e.exports=function(e,t){var n=e.__data__;return r(t)?n["string"==typeof t?"string":"hash"]:n.map}},49882:(e,t,n)=>{var r=n(28792),i=n(90249);e.exports=function(e){for(var t=i(e),n=t.length;n--;){var o=t[n],a=e[o];t[n]=[o,a,r(a)]}return t}},38761:(e,t,n)=>{var r=n(6840),i=n(98109);e.exports=function(e,t){var n=i(e,t);return r(n)?n:void 0}},47353:(e,t,n)=>{var r=n(60241)(Object.getPrototypeOf,Object);e.exports=r},62107:(e,t,n)=>{var r=n(50857),i=Object.prototype,o=i.hasOwnProperty,a=i.toString,s=r?r.toStringTag:void 0;e.exports=function(e){var t=o.call(e,s),n=e[s];try{e[s]=void 0;var r=!0}catch(e){}var i=a.call(e);return r&&(t?e[s]=n:delete e[s]),i}},80633:(e,t,n)=>{var r=n(67552),i=n(30981),o=Object.prototype.propertyIsEnumerable,a=Object.getOwnPropertySymbols,s=a?function(e){return null==e?[]:(e=Object(e),r(a(e),(function(t){return o.call(e,t)})))}:i;e.exports=s},12680:(e,t,n)=>{var r=n(65067),i=n(47353),o=n(80633),a=n(30981),s=Object.getOwnPropertySymbols?function(e){for(var t=[];e;)r(t,o(e)),e=i(e);return t}:a;e.exports=s},70940:(e,t,n)=>{var r=n(39515),i=n(10326),o=n(52760),a=n(2143),s=n(93215),l=n(53366),u=n(87035),c="[object Map]",d="[object Promise]",p="[object Set]",f="[object WeakMap]",h="[object DataView]",m=u(r),g=u(i),v=u(o),y=u(a),b=u(s),w=l;(r&&w(new r(new ArrayBuffer(1)))!=h||i&&w(new i)!=c||o&&w(o.resolve())!=d||a&&w(new a)!=p||s&&w(new s)!=f)&&(w=function(e){var t=l(e),n="[object Object]"==t?e.constructor:void 0,r=n?u(n):"";if(r)switch(r){case m:return h;case g:return c;case v:return d;case y:return p;case b:return f}return t}),e.exports=w},98109:e=>{e.exports=function(e,t){return null==e?void 0:e[t]}},74842:e=>{var t=/\{\n\/\* \[wrapped with (.+)\] \*/,n=/,? & /;e.exports=function(e){var r=e.match(t);return r?r[1].split(n):[]}},1369:(e,t,n)=>{var r=n(17297),i=n(79631),o=n(86152),a=n(39045),s=n(61158),l=n(33812);e.exports=function(e,t,n){for(var u=-1,c=(t=r(t,e)).length,d=!1;++u<c;){var p=l(t[u]);if(!(d=null!=e&&n(e,p)))break;e=e[p]}return d||++u!=c?d:!!(c=null==e?0:e.length)&&s(c)&&a(p,c)&&(o(e)||i(e))}},33880:e=>{var t=RegExp("[\\u200d\\ud800-\\udfff\\u0300-\\u036f\\ufe20-\\ufe2f\\u20d0-\\u20ff\\ufe0e\\ufe0f]");e.exports=function(e){return t.test(e)}},52118:(e,t,n)=>{var r=n(99191);e.exports=function(){this.__data__=r?r(null):{},this.size=0}},96909:e=>{e.exports=function(e){var t=this.has(e)&&delete this.__data__[e];return this.size-=t?1:0,t}},98138:(e,t,n)=>{var r=n(99191),i=Object.prototype.hasOwnProperty;e.exports=function(e){var t=this.__data__;if(r){var n=t[e];return"__lodash_hash_undefined__"===n?void 0:n}return i.call(t,e)?t[e]:void 0}},4174:(e,t,n)=>{var r=n(99191),i=Object.prototype.hasOwnProperty;e.exports=function(e){var t=this.__data__;return r?void 0!==t[e]:i.call(t,e)}},7942:(e,t,n)=>{var r=n(99191);e.exports=function(e,t){var n=this.__data__;return this.size+=this.has(e)?0:1,n[e]=r&&void 0===t?"__lodash_hash_undefined__":t,this}},99917:e=>{var t=Object.prototype.hasOwnProperty;e.exports=function(e){var n=e.length,r=new e.constructor(n);return n&&"string"==typeof e[0]&&t.call(e,"index")&&(r.index=e.index,r.input=e.input),r}},8222:(e,t,n)=>{var r=n(79882),i=n(34727),o=n(96058),a=n(70169),s=n(6190);e.exports=function(e,t,n){var l=e.constructor;switch(t){case"[object ArrayBuffer]":return r(e);case"[object Boolean]":case"[object Date]":return new l(+e);case"[object DataView]":return i(e,n);case"[object Float32Array]":case"[object Float64Array]":case"[object Int8Array]":case"[object Int16Array]":case"[object Int32Array]":case"[object Uint8Array]":case"[object Uint8ClampedArray]":case"[object Uint16Array]":case"[object Uint32Array]":return s(e,n);case"[object Map]":case"[object Set]":return new l;case"[object Number]":case"[object String]":return new l(e);case"[object RegExp]":return o(e);case"[object Symbol]":return a(e)}}},78725:(e,t,n)=>{var r=n(39413),i=n(47353),o=n(16001);e.exports=function(e){return"function"!=typeof e.constructor||o(e)?{}:r(i(e))}},68442:e=>{var t=/\{(?:\n\/\* \[wrapped with .+\] \*\/)?\n?/;e.exports=function(e,n){var r=n.length;if(!r)return e;var i=r-1;return n[i]=(r>1?"& ":"")+n[i],n=n.join(r>2?", ":" "),e.replace(t,"{\n/* [wrapped with "+n+"] */\n")}},95882:(e,t,n)=>{var r=n(50857),i=n(79631),o=n(86152),a=r?r.isConcatSpreadable:void 0;e.exports=function(e){return o(e)||i(e)||!!(a&&e&&e[a])}},39045:e=>{var t=/^(?:0|[1-9]\d*)$/;e.exports=function(e,n){var r=typeof e;return!!(n=null==n?9007199254740991:n)&&("number"==r||"symbol"!=r&&t.test(e))&&e>-1&&e%1==0&&e<n}},82406:(e,t,n)=>{var r=n(41225),i=n(67878),o=n(39045),a=n(29259);e.exports=function(e,t,n){if(!a(n))return!1;var s=typeof t;return!!("number"==s?i(n)&&o(t,n.length):"string"==s&&t in n)&&r(n[t],e)}},21401:(e,t,n)=>{var r=n(86152),i=n(4795),o=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,a=/^\w*$/;e.exports=function(e,t){if(r(e))return!1;var n=typeof e;return!("number"!=n&&"symbol"!=n&&"boolean"!=n&&null!=e&&!i(e))||a.test(e)||!o.test(e)||null!=t&&e in Object(t)}},98304:e=>{e.exports=function(e){var t=typeof e;return"string"==t||"number"==t||"symbol"==t||"boolean"==t?"__proto__"!==e:null===e}},93735:(e,t,n)=>{var r=n(66504),i=n(78203),o=n(59350),a=n(68674);e.exports=function(e){var t=o(e),n=a[t];if("function"!=typeof n||!(t in r.prototype))return!1;if(e===n)return!0;var s=i(n);return!!s&&e===s[0]}},47394:(e,t,n)=>{var r,i=n(24019),o=(r=/[^.]+$/.exec(i&&i.keys&&i.keys.IE_PROTO||""))?"Symbol(src)_1."+r:"";e.exports=function(e){return!!o&&o in e}},16001:e=>{var t=Object.prototype;e.exports=function(e){var n=e&&e.constructor;return e===("function"==typeof n&&n.prototype||t)}},28792:(e,t,n)=>{var r=n(29259);e.exports=function(e){return e==e&&!r(e)}},3945:e=>{e.exports=function(){this.__data__=[],this.size=0}},21846:(e,t,n)=>{var r=n(22218),i=Array.prototype.splice;e.exports=function(e){var t=this.__data__,n=r(t,e);return!(n<0||(n==t.length-1?t.pop():i.call(t,n,1),--this.size,0))}},88028:(e,t,n)=>{var r=n(22218);e.exports=function(e){var t=this.__data__,n=r(t,e);return n<0?void 0:t[n][1]}},72344:(e,t,n)=>{var r=n(22218);e.exports=function(e){return r(this.__data__,e)>-1}},94769:(e,t,n)=>{var r=n(22218);e.exports=function(e,t){var n=this.__data__,i=r(n,e);return i<0?(++this.size,n.push([e,t])):n[i][1]=t,this}},92411:(e,t,n)=>{var r=n(89612),i=n(80235),o=n(10326);e.exports=function(){this.size=0,this.__data__={hash:new r,map:new(o||i),string:new r}}},36417:(e,t,n)=>{var r=n(27937);e.exports=function(e){var t=r(this,e).delete(e);return this.size-=t?1:0,t}},86928:(e,t,n)=>{var r=n(27937);e.exports=function(e){return r(this,e).get(e)}},79493:(e,t,n)=>{var r=n(27937);e.exports=function(e){return r(this,e).has(e)}},24150:(e,t,n)=>{var r=n(27937);e.exports=function(e,t){var n=r(this,e),i=n.size;return n.set(e,t),this.size+=n.size==i?0:1,this}},75179:e=>{e.exports=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e,r){n[++t]=[r,e]})),n}},73477:e=>{e.exports=function(e,t){return function(n){return null!=n&&n[e]===t&&(void 0!==t||e in Object(n))}}},77777:(e,t,n)=>{var r=n(30733);e.exports=function(e){var t=r(e,(function(e){return 500===n.size&&n.clear(),e})),n=t.cache;return t}},79e3:(e,t,n)=>{var r=n(11495),i=n(152),o=n(90527),a="__lodash_placeholder__",s=Math.min;e.exports=function(e,t){var n=e[1],l=t[1],u=n|l,c=u<131,d=128==l&&8==n||128==l&&256==n&&e[7].length<=t[8]||384==l&&t[7].length<=t[8]&&8==n;if(!c&&!d)return e;1&l&&(e[2]=t[2],u|=1&n?0:4);var p=t[3];if(p){var f=e[3];e[3]=f?r(f,p,t[4]):p,e[4]=f?o(e[3],a):t[4]}return(p=t[5])&&(f=e[5],e[5]=f?i(f,p,t[6]):p,e[6]=f?o(e[5],a):t[6]),(p=t[7])&&(e[7]=p),128&l&&(e[8]=null==e[8]?t[8]:s(e[8],t[8])),null==e[9]&&(e[9]=t[9]),e[0]=t[0],e[1]=u,e}},70529:(e,t,n)=>{var r=n(93215),i=r&&new r;e.exports=i},99191:(e,t,n)=>{var r=n(38761)(Object,"create");e.exports=r},54248:(e,t,n)=>{var r=n(60241)(Object.keys,Object);e.exports=r},62966:e=>{e.exports=function(e){var t=[];if(null!=e)for(var n in Object(e))t.push(n);return t}},4146:(e,t,n)=>{e=n.nmd(e);var r=n(51242),i=t&&!t.nodeType&&t,o=i&&e&&!e.nodeType&&e,a=o&&o.exports===i&&r.process,s=function(){try{return o&&o.require&&o.require("util").types||a&&a.binding&&a.binding("util")}catch(e){}}();e.exports=s},37157:e=>{var t=Object.prototype.toString;e.exports=function(e){return t.call(e)}},60241:e=>{e.exports=function(e,t){return function(n){return e(t(n))}}},43114:(e,t,n)=>{var r=n(49432),i=Math.max;e.exports=function(e,t,n){return t=i(void 0===t?e.length-1:t,0),function(){for(var o=arguments,a=-1,s=i(o.length-t,0),l=Array(s);++a<s;)l[a]=o[t+a];a=-1;for(var u=Array(t+1);++a<t;)u[a]=o[a];return u[t]=n(l),r(e,this,u)}}},62721:(e,t,n)=>{var r=n(13324),i=n(39872);e.exports=function(e,t){return t.length<2?e:r(e,i(t,0,-1))}},29212:e=>{e.exports={}},33418:(e,t,n)=>{var r=n(51522),i=n(39045),o=Math.min;e.exports=function(e,t){for(var n=e.length,a=o(t.length,n),s=r(e);a--;){var l=t[a];e[a]=i(l,n)?s[l]:void 0}return e}},90527:e=>{var t="__lodash_placeholder__";e.exports=function(e,n){for(var r=-1,i=e.length,o=0,a=[];++r<i;){var s=e[r];s!==n&&s!==t||(e[r]=t,a[o++]=r)}return a}},37772:(e,t,n)=>{var r=n(51242),i="object"==typeof self&&self&&self.Object===Object&&self,o=r||i||Function("return this")();e.exports=o},52434:e=>{e.exports=function(e,t){if(("constructor"!==t||"function"!=typeof e[t])&&"__proto__"!=t)return e[t]}},52842:e=>{e.exports=function(e){return this.__data__.set(e,"__lodash_hash_undefined__"),this}},52482:e=>{e.exports=function(e){return this.__data__.has(e)}},29890:(e,t,n)=>{var r=n(54817),i=n(97787)(r);e.exports=i},16909:e=>{e.exports=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e){n[++t]=e})),n}},75251:(e,t,n)=>{var r=n(86532),i=n(97787)(r);e.exports=i},15877:(e,t,n)=>{var r=n(74842),i=n(68442),o=n(75251),a=n(16985);e.exports=function(e,t,n){var s=t+"";return o(e,i(s,a(r(s),n)))}},97787:e=>{var t=Date.now;e.exports=function(e){var n=0,r=0;return function(){var i=t(),o=16-(i-r);if(r=i,o>0){if(++n>=800)return arguments[0]}else n=0;return e.apply(void 0,arguments)}}},15243:(e,t,n)=>{var r=n(80235);e.exports=function(){this.__data__=new r,this.size=0}},72858:e=>{e.exports=function(e){var t=this.__data__,n=t.delete(e);return this.size=t.size,n}},4417:e=>{e.exports=function(e){return this.__data__.get(e)}},8605:e=>{e.exports=function(e){return this.__data__.has(e)}},71418:(e,t,n)=>{var r=n(80235),i=n(10326),o=n(96738);e.exports=function(e,t){var n=this.__data__;if(n instanceof r){var a=n.__data__;if(!i||a.length<199)return a.push([e,t]),this.size=++n.size,this;n=this.__data__=new o(a)}return n.set(e,t),this.size=n.size,this}},66024:e=>{e.exports=function(e,t,n){for(var r=n-1,i=e.length;++r<i;)if(e[r]===t)return r;return-1}},82302:(e,t,n)=>{var r=n(8589),i=n(33880),o=n(35555);e.exports=function(e){return i(e)?o(e):r(e)}},8435:(e,t,n)=>{var r=n(50217),i=n(33880),o=n(63344);e.exports=function(e){return i(e)?o(e):r(e)}},54452:(e,t,n)=>{var r=n(77777),i=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,o=/\\(\\)?/g,a=r((function(e){var t=[];return 46===e.charCodeAt(0)&&t.push(""),e.replace(i,(function(e,n,r,i){t.push(r?i.replace(o,"$1"):n||e)})),t}));e.exports=a},33812:(e,t,n)=>{var r=n(4795);e.exports=function(e){if("string"==typeof e||r(e))return e;var t=e+"";return"0"==t&&1/e==-1/0?"-0":t}},87035:e=>{var t=Function.prototype.toString;e.exports=function(e){if(null!=e){try{return t.call(e)}catch(e){}try{return e+""}catch(e){}}return""}},52153:e=>{var t=/\s/;e.exports=function(e){for(var n=e.length;n--&&t.test(e.charAt(n)););return n}},35555:e=>{var t="\\ud800-\\udfff",n="["+t+"]",r="[\\u0300-\\u036f\\ufe20-\\ufe2f\\u20d0-\\u20ff]",i="\\ud83c[\\udffb-\\udfff]",o="[^"+t+"]",a="(?:\\ud83c[\\udde6-\\uddff]){2}",s="[\\ud800-\\udbff][\\udc00-\\udfff]",l="(?:"+r+"|"+i+")?",u="[\\ufe0e\\ufe0f]?",c=u+l+"(?:\\u200d(?:"+[o,a,s].join("|")+")"+u+l+")*",d="(?:"+[o+r+"?",r,a,s,n].join("|")+")",p=RegExp(i+"(?="+i+")|"+d+c,"g");e.exports=function(e){for(var t=p.lastIndex=0;p.test(e);)++t;return t}},63344:e=>{var t="\\ud800-\\udfff",n="["+t+"]",r="[\\u0300-\\u036f\\ufe20-\\ufe2f\\u20d0-\\u20ff]",i="\\ud83c[\\udffb-\\udfff]",o="[^"+t+"]",a="(?:\\ud83c[\\udde6-\\uddff]){2}",s="[\\ud800-\\udbff][\\udc00-\\udfff]",l="(?:"+r+"|"+i+")?",u="[\\ufe0e\\ufe0f]?",c=u+l+"(?:\\u200d(?:"+[o,a,s].join("|")+")"+u+l+")*",d="(?:"+[o+r+"?",r,a,s,n].join("|")+")",p=RegExp(i+"(?="+i+")|"+d+c,"g");e.exports=function(e){return e.match(p)||[]}},16985:(e,t,n)=>{var r=n(72517),i=n(38333),o=[["ary",128],["bind",1],["bindKey",2],["curry",8],["curryRight",16],["flip",512],["partial",32],["partialRight",64],["rearg",256]];e.exports=function(e,t){return r(o,(function(n){var r="_."+n[0];t&n[1]&&!i(e,r)&&e.push(r)})),e.sort()}},67366:(e,t,n)=>{var r=n(66504),i=n(45859),o=n(51522);e.exports=function(e){if(e instanceof r)return e.clone();var t=new i(e.__wrapped__,e.__chain__);return t.__actions__=o(e.__actions__),t.__index__=e.__index__,t.__values__=e.__values__,t}},19534:(e,t,n)=>{var r=n(87902);e.exports=function(e,t,n){return t=n?void 0:t,t=e&&null==t?e.length:t,r(e,128,void 0,void 0,void 0,void 0,t)}},55027:(e,t,n)=>{var r=n(49432),i=n(36060),o=n(85121),a=i((function(e,t){try{return r(e,void 0,t)}catch(e){return o(e)?e:new Error(e)}}));e.exports=a},40185:(e,t,n)=>{var r=n(38101);e.exports=function(e,t){var n;if("function"!=typeof t)throw new TypeError("Expected a function");return e=r(e),function(){return--e>0&&(n=t.apply(this,arguments)),e<=1&&(t=void 0),n}}},54004:(e,t,n)=>{var r=n(18874);e.exports=function(e){return r(e,4)}},9850:(e,t,n)=>{var r=n(18874);e.exports=function(e){return r(e,5)}},86874:e=>{e.exports=function(e){return function(){return e}}},12957:(e,t,n)=>{var r=n(87902);function i(e,t,n){var o=r(e,8,void 0,void 0,void 0,void 0,void 0,t=n?void 0:t);return o.placeholder=i.placeholder,o}i.placeholder={},e.exports=i},54073:(e,t,n)=>{var r=n(29259),i=n(61100),o=n(7642),a=Math.max,s=Math.min;e.exports=function(e,t,n){var l,u,c,d,p,f,h=0,m=!1,g=!1,v=!0;if("function"!=typeof e)throw new TypeError("Expected a function");function y(t){var n=l,r=u;return l=u=void 0,h=t,d=e.apply(r,n)}function b(e){var n=e-f;return void 0===f||n>=t||n<0||g&&e-h>=c}function w(){var e=i();if(b(e))return _(e);p=setTimeout(w,function(e){var n=t-(e-f);return g?s(n,c-(e-h)):n}(e))}function _(e){return p=void 0,v&&l?y(e):(l=u=void 0,d)}function x(){var e=i(),n=b(e);if(l=arguments,u=this,f=e,n){if(void 0===p)return function(e){return h=e,p=setTimeout(w,t),m?y(e):d}(f);if(g)return clearTimeout(p),p=setTimeout(w,t),y(f)}return void 0===p&&(p=setTimeout(w,t)),d}return t=o(t)||0,r(n)&&(m=!!n.leading,c=(g="maxWait"in n)?a(o(n.maxWait)||0,t):c,v="trailing"in n?!!n.trailing:v),x.cancel=function(){void 0!==p&&clearTimeout(p),h=0,l=f=u=p=void 0},x.flush=function(){return void 0===p?d:_(i())},x}},41225:e=>{e.exports=function(e,t){return e===t||e!=e&&t!=t}},89166:(e,t,n)=>{var r=n(66188),i=/[\\^$.*+?()[\]{}|]/g,o=RegExp(i.source);e.exports=function(e){return(e=r(e))&&o.test(e)?e.replace(i,"\\$&"):e}},90882:(e,t,n)=>{var r=n(67552),i=n(98043),o=n(68286),a=n(86152);e.exports=function(e,t){return(a(e)?r:i)(e,o(t,3))}},55281:(e,t,n)=>{var r=n(98776)(n(12982));e.exports=r},12982:(e,t,n)=>{var r=n(21359),i=n(68286),o=n(38101),a=Math.max;e.exports=function(e,t,n){var s=null==e?0:e.length;if(!s)return-1;var l=null==n?0:o(n);return l<0&&(l=a(s+l,0)),r(e,i(t,3),l)}},35838:(e,t,n)=>{var r=n(62034),i=n(16760);e.exports=function(e,t){return r(i(e,t),1)}},35676:(e,t,n)=>{var r=n(62034);e.exports=function(e){return null!=e&&e.length?r(e,1):[]}},85395:(e,t,n)=>{var r=n(37541)();e.exports=r},15253:(e,t,n)=>{var r=n(26548),i=n(89419);e.exports=function(e,t){return e&&r(e,i(t))}},18620:(e,t,n)=>{var r=n(80973),i=n(54652),o=Array.prototype.push;function a(e,t){return 2==t?function(t,n){return e(t,n)}:function(t){return e(t)}}function s(e){for(var t=e?e.length:0,n=Array(t);t--;)n[t]=e[t];return n}function l(e,t){return function(){var n=arguments.length;if(n){for(var r=Array(n);n--;)r[n]=arguments[n];var i=r[0]=t.apply(void 0,r);return e.apply(void 0,r),i}}}e.exports=function e(t,n,u,c){var d="function"==typeof n,p=n===Object(n);if(p&&(c=u,u=n,n=void 0),null==u)throw new TypeError;c||(c={});var f={cap:!("cap"in c)||c.cap,curry:!("curry"in c)||c.curry,fixed:!("fixed"in c)||c.fixed,immutable:!("immutable"in c)||c.immutable,rearg:!("rearg"in c)||c.rearg},h=d?u:i,m="curry"in c&&c.curry,g="fixed"in c&&c.fixed,v="rearg"in c&&c.rearg,y=d?u.runInContext():void 0,b=d?u:{ary:t.ary,assign:t.assign,clone:t.clone,curry:t.curry,forEach:t.forEach,isArray:t.isArray,isError:t.isError,isFunction:t.isFunction,isWeakMap:t.isWeakMap,iteratee:t.iteratee,keys:t.keys,rearg:t.rearg,toInteger:t.toInteger,toPath:t.toPath},w=b.ary,_=b.assign,x=b.clone,k=b.curry,E=b.forEach,C=b.isArray,S=b.isError,A=b.isFunction,T=b.isWeakMap,O=b.keys,P=b.rearg,D=b.toInteger,R=b.toPath,I=O(r.aryMethod),M={castArray:function(e){return function(){var t=arguments[0];return C(t)?e(s(t)):e.apply(void 0,arguments)}},iteratee:function(e){return function(){var t=arguments[1],n=e(arguments[0],t),r=n.length;return f.cap&&"number"==typeof t?(t=t>2?t-2:1,r&&r<=t?n:a(n,t)):n}},mixin:function(e){return function(t){var n=this;if(!A(n))return e(n,Object(t));var r=[];return E(O(t),(function(e){A(t[e])&&r.push([e,n.prototype[e]])})),e(n,Object(t)),E(r,(function(e){var t=e[1];A(t)?n.prototype[e[0]]=t:delete n.prototype[e[0]]})),n}},nthArg:function(e){return function(t){var n=t<0?1:D(t)+1;return k(e(t),n)}},rearg:function(e){return function(t,n){var r=n?n.length:0;return k(e(t,n),r)}},runInContext:function(n){return function(r){return e(t,n(r),c)}}};function L(e,t,n){if(f.fixed&&(g||!r.skipFixed[e])){var i=r.methodSpread[e],a=i&&i.start;return void 0===a?w(t,n):function(e,t){return function(){for(var n=arguments.length,r=n-1,i=Array(n);n--;)i[n]=arguments[n];var a=i[t],s=i.slice(0,t);return a&&o.apply(s,a),t!=r&&o.apply(s,i.slice(t+1)),e.apply(this,s)}}(t,a)}return t}function N(e,t,n){return f.rearg&&n>1&&(v||!r.skipRearg[e])?P(t,r.methodRearg[e]||r.aryRearg[n]):t}function j(e,t){for(var n=-1,r=(t=R(t)).length,i=r-1,o=x(Object(e)),a=o;null!=a&&++n<r;){var s=t[n],l=a[s];null==l||A(l)||S(l)||T(l)||(a[s]=x(n==i?l:Object(l))),a=a[s]}return o}function F(t,n){var i=r.aliasToReal[t]||t,o=r.remap[i]||i,a=c;return function(t){var r=d?y:b,s=d?y[o]:n,l=_(_({},a),t);return e(r,i,s,l)}}function B(e,t){return function(){var n=arguments.length;if(!n)return e();for(var r=Array(n);n--;)r[n]=arguments[n];var i=f.rearg?0:n-1;return r[i]=t(r[i]),e.apply(void 0,r)}}function z(e,t,n){var i,o=r.aliasToReal[e]||e,u=t,c=M[o];return c?u=c(t):f.immutable&&(r.mutate.array[o]?u=l(t,s):r.mutate.object[o]?u=l(t,function(e){return function(t){return e({},t)}}(t)):r.mutate.set[o]&&(u=l(t,j))),E(I,(function(e){return E(r.aryMethod[e],(function(t){if(o==t){var n=r.methodSpread[o],s=n&&n.afterRearg;return i=s?L(o,N(o,u,e),e):N(o,L(o,u,e),e),i=function(e,t,n){return m||f.curry&&n>1?k(t,n):t}(0,i=function(e,t){if(f.cap){var n=r.iterateeRearg[e];if(n)return function(e,t){return B(e,(function(e){var n=t.length;return function(e,t){return 2==t?function(t,n){return e.apply(void 0,arguments)}:function(t){return e.apply(void 0,arguments)}}(P(a(e,n),t),n)}))}(t,n);var i=!d&&r.iterateeAry[e];if(i)return function(e,t){return B(e,(function(e){return"function"==typeof e?a(e,t):e}))}(t,i)}return t}(o,i),e),!1}})),!i})),i||(i=u),i==t&&(i=m?k(i,1):function(){return t.apply(this,arguments)}),i.convert=F(o,t),i.placeholder=t.placeholder=n,i}if(!p)return z(n,u,h);var U=u,q=[];return E(I,(function(e){E(r.aryMethod[e],(function(e){var t=U[r.remap[e]||e];t&&q.push([e,z(e,t,U)])}))})),E(O(U),(function(e){var t=U[e];if("function"==typeof t){for(var n=q.length;n--;)if(q[n][0]==e)return;t.convert=F(e,t),q.push([e,t])}})),E(q,(function(e){U[e[0]]=e[1]})),U.convert=function(e){return U.runInContext.convert(e)(void 0)},U.placeholder=U,E(O(U),(function(e){E(r.realToAlias[e]||[],(function(t){U[t]=U[e]}))})),U}},80973:(e,t)=>{t.aliasToReal={each:"forEach",eachRight:"forEachRight",entries:"toPairs",entriesIn:"toPairsIn",extend:"assignIn",extendAll:"assignInAll",extendAllWith:"assignInAllWith",extendWith:"assignInWith",first:"head",conforms:"conformsTo",matches:"isMatch",property:"get",__:"placeholder",F:"stubFalse",T:"stubTrue",all:"every",allPass:"overEvery",always:"constant",any:"some",anyPass:"overSome",apply:"spread",assoc:"set",assocPath:"set",complement:"negate",compose:"flowRight",contains:"includes",dissoc:"unset",dissocPath:"unset",dropLast:"dropRight",dropLastWhile:"dropRightWhile",equals:"isEqual",identical:"eq",indexBy:"keyBy",init:"initial",invertObj:"invert",juxt:"over",omitAll:"omit",nAry:"ary",path:"get",pathEq:"matchesProperty",pathOr:"getOr",paths:"at",pickAll:"pick",pipe:"flow",pluck:"map",prop:"get",propEq:"matchesProperty",propOr:"getOr",props:"at",symmetricDifference:"xor",symmetricDifferenceBy:"xorBy",symmetricDifferenceWith:"xorWith",takeLast:"takeRight",takeLastWhile:"takeRightWhile",unapply:"rest",unnest:"flatten",useWith:"overArgs",where:"conformsTo",whereEq:"isMatch",zipObj:"zipObject"},t.aryMethod={1:["assignAll","assignInAll","attempt","castArray","ceil","create","curry","curryRight","defaultsAll","defaultsDeepAll","floor","flow","flowRight","fromPairs","invert","iteratee","memoize","method","mergeAll","methodOf","mixin","nthArg","over","overEvery","overSome","rest","reverse","round","runInContext","spread","template","trim","trimEnd","trimStart","uniqueId","words","zipAll"],2:["add","after","ary","assign","assignAllWith","assignIn","assignInAllWith","at","before","bind","bindAll","bindKey","chunk","cloneDeepWith","cloneWith","concat","conformsTo","countBy","curryN","curryRightN","debounce","defaults","defaultsDeep","defaultTo","delay","difference","divide","drop","dropRight","dropRightWhile","dropWhile","endsWith","eq","every","filter","find","findIndex","findKey","findLast","findLastIndex","findLastKey","flatMap","flatMapDeep","flattenDepth","forEach","forEachRight","forIn","forInRight","forOwn","forOwnRight","get","groupBy","gt","gte","has","hasIn","includes","indexOf","intersection","invertBy","invoke","invokeMap","isEqual","isMatch","join","keyBy","lastIndexOf","lt","lte","map","mapKeys","mapValues","matchesProperty","maxBy","meanBy","merge","mergeAllWith","minBy","multiply","nth","omit","omitBy","overArgs","pad","padEnd","padStart","parseInt","partial","partialRight","partition","pick","pickBy","propertyOf","pull","pullAll","pullAt","random","range","rangeRight","rearg","reject","remove","repeat","restFrom","result","sampleSize","some","sortBy","sortedIndex","sortedIndexOf","sortedLastIndex","sortedLastIndexOf","sortedUniqBy","split","spreadFrom","startsWith","subtract","sumBy","take","takeRight","takeRightWhile","takeWhile","tap","throttle","thru","times","trimChars","trimCharsEnd","trimCharsStart","truncate","union","uniqBy","uniqWith","unset","unzipWith","without","wrap","xor","zip","zipObject","zipObjectDeep"],3:["assignInWith","assignWith","clamp","differenceBy","differenceWith","findFrom","findIndexFrom","findLastFrom","findLastIndexFrom","getOr","includesFrom","indexOfFrom","inRange","intersectionBy","intersectionWith","invokeArgs","invokeArgsMap","isEqualWith","isMatchWith","flatMapDepth","lastIndexOfFrom","mergeWith","orderBy","padChars","padCharsEnd","padCharsStart","pullAllBy","pullAllWith","rangeStep","rangeStepRight","reduce","reduceRight","replace","set","slice","sortedIndexBy","sortedLastIndexBy","transform","unionBy","unionWith","update","xorBy","xorWith","zipWith"],4:["fill","setWith","updateWith"]},t.aryRearg={2:[1,0],3:[2,0,1],4:[3,2,0,1]},t.iterateeAry={dropRightWhile:1,dropWhile:1,every:1,filter:1,find:1,findFrom:1,findIndex:1,findIndexFrom:1,findKey:1,findLast:1,findLastFrom:1,findLastIndex:1,findLastIndexFrom:1,findLastKey:1,flatMap:1,flatMapDeep:1,flatMapDepth:1,forEach:1,forEachRight:1,forIn:1,forInRight:1,forOwn:1,forOwnRight:1,map:1,mapKeys:1,mapValues:1,partition:1,reduce:2,reduceRight:2,reject:1,remove:1,some:1,takeRightWhile:1,takeWhile:1,times:1,transform:2},t.iterateeRearg={mapKeys:[1],reduceRight:[1,0]},t.methodRearg={assignInAllWith:[1,0],assignInWith:[1,2,0],assignAllWith:[1,0],assignWith:[1,2,0],differenceBy:[1,2,0],differenceWith:[1,2,0],getOr:[2,1,0],intersectionBy:[1,2,0],intersectionWith:[1,2,0],isEqualWith:[1,2,0],isMatchWith:[2,1,0],mergeAllWith:[1,0],mergeWith:[1,2,0],padChars:[2,1,0],padCharsEnd:[2,1,0],padCharsStart:[2,1,0],pullAllBy:[2,1,0],pullAllWith:[2,1,0],rangeStep:[1,2,0],rangeStepRight:[1,2,0],setWith:[3,1,2,0],sortedIndexBy:[2,1,0],sortedLastIndexBy:[2,1,0],unionBy:[1,2,0],unionWith:[1,2,0],updateWith:[3,1,2,0],xorBy:[1,2,0],xorWith:[1,2,0],zipWith:[1,2,0]},t.methodSpread={assignAll:{start:0},assignAllWith:{start:0},assignInAll:{start:0},assignInAllWith:{start:0},defaultsAll:{start:0},defaultsDeepAll:{start:0},invokeArgs:{start:2},invokeArgsMap:{start:2},mergeAll:{start:0},mergeAllWith:{start:0},partial:{start:1},partialRight:{start:1},without:{start:1},zipAll:{start:0}},t.mutate={array:{fill:!0,pull:!0,pullAll:!0,pullAllBy:!0,pullAllWith:!0,pullAt:!0,remove:!0,reverse:!0},object:{assign:!0,assignAll:!0,assignAllWith:!0,assignIn:!0,assignInAll:!0,assignInAllWith:!0,assignInWith:!0,assignWith:!0,defaults:!0,defaultsAll:!0,defaultsDeep:!0,defaultsDeepAll:!0,merge:!0,mergeAll:!0,mergeAllWith:!0,mergeWith:!0},set:{set:!0,setWith:!0,unset:!0,update:!0,updateWith:!0}},t.realToAlias=function(){var e=Object.prototype.hasOwnProperty,n=t.aliasToReal,r={};for(var i in n){var o=n[i];e.call(r,o)?r[o].push(i):r[o]=[i]}return r}(),t.remap={assignAll:"assign",assignAllWith:"assignWith",assignInAll:"assignIn",assignInAllWith:"assignInWith",curryN:"curry",curryRightN:"curryRight",defaultsAll:"defaults",defaultsDeepAll:"defaultsDeep",findFrom:"find",findIndexFrom:"findIndex",findLastFrom:"findLast",findLastIndexFrom:"findLastIndex",getOr:"get",includesFrom:"includes",indexOfFrom:"indexOf",invokeArgs:"invoke",invokeArgsMap:"invokeMap",lastIndexOfFrom:"lastIndexOf",mergeAll:"merge",mergeAllWith:"mergeWith",padChars:"pad",padCharsEnd:"padEnd",padCharsStart:"padStart",propertyOf:"get",rangeStep:"range",rangeStepRight:"rangeRight",restFrom:"rest",spreadFrom:"spread",trimChars:"trim",trimCharsEnd:"trimEnd",trimCharsStart:"trimStart",zipAll:"zip"},t.skipFixed={castArray:!0,flow:!0,flowRight:!0,iteratee:!0,mixin:!0,rearg:!0,runInContext:!0},t.skipRearg={add:!0,assign:!0,assignIn:!0,bind:!0,bindKey:!0,concat:!0,difference:!0,divide:!0,eq:!0,gt:!0,gte:!0,isEqual:!0,lt:!0,lte:!0,matchesProperty:!0,merge:!0,multiply:!0,overArgs:!0,partial:!0,partialRight:!0,propertyOf:!0,random:!0,range:!0,rangeRight:!0,subtract:!0,zip:!0,zipObject:!0,zipObjectDeep:!0}},30481:(e,t,n)=>{e.exports={ary:n(19534),assign:n(67993),clone:n(54004),curry:n(12957),forEach:n(72517),isArray:n(86152),isError:n(85121),isFunction:n(61049),isWeakMap:n(8266),iteratee:n(25923),keys:n(86411),rearg:n(30577),toInteger:n(38101),toPath:n(25497)}},42003:(e,t,n)=>{var r=n(18620),i=n(30481);e.exports=function(e,t,n){return r(i,e,t,n)}},84256:(e,t,n)=>{var r=n(42003)("filter",n(90882));r.placeholder=n(54652),e.exports=r},10094:(e,t,n)=>{var r=n(42003)("flow",n(85395));r.placeholder=n(54652),e.exports=r},23162:(e,t,n)=>{var r=n(42003)("fromPairs",n(10017));r.placeholder=n(54652),e.exports=r},65159:(e,t,n)=>{var r=n(42003)("map",n(16760));r.placeholder=n(54652),e.exports=r},54652:e=>{e.exports={}},10017:e=>{e.exports=function(e){for(var t=-1,n=null==e?0:e.length,r={};++t<n;){var i=e[t];r[i[0]]=i[1]}return r}},72579:(e,t,n)=>{var r=n(13324);e.exports=function(e,t,n){var i=null==e?void 0:r(e,t);return void 0===i?n:i}},3440:(e,t,n)=>{var r=n(13940),i=n(36740),o=Object.prototype.hasOwnProperty,a=i((function(e,t,n){o.call(e,n)?e[n].push(t):r(e,n,[t])}));e.exports=a},93352:(e,t,n)=>{var r=n(32726),i=n(1369);e.exports=function(e,t){return null!=e&&i(e,t,r)}},95041:(e,t,n)=>{var r=n(20187),i=n(1369);e.exports=function(e,t){return null!=e&&i(e,t,r)}},23059:e=>{e.exports=function(e){return e}},87613:(e,t,n)=>{var r=n(39872);e.exports=function(e){return null!=e&&e.length?r(e,0,-1):[]}},30898:(e,t,n)=>{var r=n(50343),i=n(88390),o=n(36060),a=n(5642),s=o((function(e){var t=r(e,a);return t.length&&t[0]===e[0]?i(t):[]}));e.exports=s},79631:(e,t,n)=>{var r=n(15183),i=n(15125),o=Object.prototype,a=o.hasOwnProperty,s=o.propertyIsEnumerable,l=r(function(){return arguments}())?r:function(e){return i(e)&&a.call(e,"callee")&&!s.call(e,"callee")};e.exports=l},86152:e=>{var t=Array.isArray;e.exports=t},67878:(e,t,n)=>{var r=n(61049),i=n(61158);e.exports=function(e){return null!=e&&i(e.length)&&!r(e)}},93746:(e,t,n)=>{var r=n(67878),i=n(15125);e.exports=function(e){return i(e)&&r(e)}},4335:(e,t,n)=>{var r=n(53366),i=n(15125);e.exports=function(e){return!0===e||!1===e||i(e)&&"[object Boolean]"==r(e)}},73226:(e,t,n)=>{e=n.nmd(e);var r=n(37772),i=n(36330),o=t&&!t.nodeType&&t,a=o&&e&&!e.nodeType&&e,s=a&&a.exports===o?r.Buffer:void 0,l=(s?s.isBuffer:void 0)||i;e.exports=l},45455:(e,t,n)=>{var r=n(86411),i=n(70940),o=n(79631),a=n(86152),s=n(67878),l=n(73226),u=n(16001),c=n(77598),d=Object.prototype.hasOwnProperty;e.exports=function(e){if(null==e)return!0;if(s(e)&&(a(e)||"string"==typeof e||"function"==typeof e.splice||l(e)||c(e)||o(e)))return!e.length;var t=i(e);if("[object Map]"==t||"[object Set]"==t)return!e.size;if(u(e))return!r(e).length;for(var n in e)if(d.call(e,n))return!1;return!0}},18149:(e,t,n)=>{var r=n(88746);e.exports=function(e,t){return r(e,t)}},85121:(e,t,n)=>{var r=n(53366),i=n(15125),o=n(97030);e.exports=function(e){if(!i(e))return!1;var t=r(e);return"[object Error]"==t||"[object DOMException]"==t||"string"==typeof e.message&&"string"==typeof e.name&&!o(e)}},61049:(e,t,n)=>{var r=n(53366),i=n(29259);e.exports=function(e){if(!i(e))return!1;var t=r(e);return"[object Function]"==t||"[object GeneratorFunction]"==t||"[object AsyncFunction]"==t||"[object Proxy]"==t}},61158:e=>{e.exports=function(e){return"number"==typeof e&&e>-1&&e%1==0&&e<=9007199254740991}},4714:(e,t,n)=>{var r=n(74511),i=n(47826),o=n(4146),a=o&&o.isMap,s=a?i(a):r;e.exports=s},1842:e=>{e.exports=function(e){return null==e}},80537:(e,t,n)=>{var r=n(53366),i=n(15125);e.exports=function(e){return"number"==typeof e||i(e)&&"[object Number]"==r(e)}},29259:e=>{e.exports=function(e){var t=typeof e;return null!=e&&("object"==t||"function"==t)}},15125:e=>{e.exports=function(e){return null!=e&&"object"==typeof e}},97030:(e,t,n)=>{var r=n(53366),i=n(47353),o=n(15125),a=Function.prototype,s=Object.prototype,l=a.toString,u=s.hasOwnProperty,c=l.call(Object);e.exports=function(e){if(!o(e)||"[object Object]"!=r(e))return!1;var t=i(e);if(null===t)return!0;var n=u.call(t,"constructor")&&t.constructor;return"function"==typeof n&&n instanceof n&&l.call(n)==c}},40859:(e,t,n)=>{var r=n(24333),i=n(47826),o=n(4146),a=o&&o.isRegExp,s=a?i(a):r;e.exports=s},43679:(e,t,n)=>{var r=n(8109),i=n(47826),o=n(4146),a=o&&o.isSet,s=a?i(a):r;e.exports=s},85505:(e,t,n)=>{var r=n(53366),i=n(86152),o=n(15125);e.exports=function(e){return"string"==typeof e||!i(e)&&o(e)&&"[object String]"==r(e)}},4795:(e,t,n)=>{var r=n(53366),i=n(15125);e.exports=function(e){return"symbol"==typeof e||i(e)&&"[object Symbol]"==r(e)}},77598:(e,t,n)=>{var r=n(35522),i=n(47826),o=n(4146),a=o&&o.isTypedArray,s=a?i(a):r;e.exports=s},8266:(e,t,n)=>{var r=n(70940),i=n(15125);e.exports=function(e){return i(e)&&"[object WeakMap]"==r(e)}},25923:(e,t,n)=>{var r=n(18874),i=n(68286);e.exports=function(e){return i("function"==typeof e?e:r(e,1))}},90249:(e,t,n)=>{var r=n(1634),i=n(86411),o=n(67878);e.exports=function(e){return o(e)?r(e):i(e)}},18582:(e,t,n)=>{var r=n(1634),i=n(18390),o=n(67878);e.exports=function(e){return o(e)?r(e,!0):i(e)}},56974:e=>{e.exports=function(e){var t=null==e?0:e.length;return t?e[t-1]:void 0}},16760:(e,t,n)=>{var r=n(50343),i=n(68286),o=n(93401),a=n(86152);e.exports=function(e,t){return(a(e)?r:o)(e,i(t,3))}},34519:(e,t,n)=>{var r=n(13940),i=n(26548),o=n(68286);e.exports=function(e,t){var n={};return t=o(t,3),i(e,(function(e,i,o){r(n,i,t(e,i,o))})),n}},30733:(e,t,n)=>{var r=n(96738);function i(e,t){if("function"!=typeof e||null!=t&&"function"!=typeof t)throw new TypeError("Expected a function");var n=function(){var r=arguments,i=t?t.apply(this,r):r[0],o=n.cache;if(o.has(i))return o.get(i);var a=e.apply(this,r);return n.cache=o.set(i,a)||o,a};return n.cache=new(i.Cache||r),n}i.Cache=r,e.exports=i},98537:(e,t,n)=>{var r=n(84565),i=n(97263)((function(e,t,n){r(e,t,n)}));e.exports=i},34291:e=>{e.exports=function(){}},61100:(e,t,n)=>{var r=n(37772);e.exports=function(){return r.Date.now()}},17620:(e,t,n)=>{var r=n(50343),i=n(18874),o=n(29078),a=n(17297),s=n(752),l=n(48642),u=n(29097),c=n(76939),d=u((function(e,t){var n={};if(null==e)return n;var u=!1;t=r(t,(function(t){return t=a(t,e),u||(u=t.length>1),t})),s(e,c(e),n),u&&(n=i(n,7,l));for(var d=t.length;d--;)o(n,t[d]);return n}));e.exports=d},25291:(e,t,n)=>{var r=n(40185);e.exports=function(e){return r(2,e)}},34498:(e,t,n)=>{var r=n(23813),i=n(86152);e.exports=function(e,t,n,o){return null==e?[]:(i(t)||(t=null==t?[]:[t]),i(n=o?void 0:n)||(n=null==n?[]:[n]),r(e,t,n))}},83465:(e,t,n)=>{var r=n(36060),i=n(87902),o=n(13325),a=n(90527),s=r((function(e,t){var n=a(t,o(s));return i(e,32,void 0,t,n)}));s.placeholder={},e.exports=s},26434:(e,t,n)=>{var r=n(36060),i=n(87902),o=n(13325),a=n(90527),s=r((function(e,t){var n=a(t,o(s));return i(e,64,void 0,t,n)}));s.placeholder={},e.exports=s},13888:(e,t,n)=>{var r=n(92602),i=n(29097)((function(e,t){return null==e?{}:r(e,t)}));e.exports=i},42208:(e,t,n)=>{var r=n(50343),i=n(68286),o=n(93759),a=n(76939);e.exports=function(e,t){if(null==e)return{};var n=r(a(e),(function(e){return[e]}));return t=i(t),o(e,n,(function(e,n){return t(e,n[0])}))}},65798:(e,t,n)=>{var r=n(20256),i=n(82952),o=n(21401),a=n(33812);e.exports=function(e){return o(e)?r(a(e)):i(e)}},30577:(e,t,n)=>{var r=n(87902),i=n(29097)((function(e,t){return r(e,256,void 0,void 0,void 0,t)}));e.exports=i},36346:(e,t,n)=>{var r=n(17297),i=n(61049),o=n(33812);e.exports=function(e,t,n){var a=-1,s=(t=r(t,e)).length;for(s||(s=1,e=void 0);++a<s;){var l=null==e?void 0:e[o(t[a])];void 0===l&&(a=s,l=n),e=i(l)?l.call(e):l}return e}},16765:(e,t,n)=>{var r=n(82857);e.exports=function(e,t,n){return null==e?e:r(e,t,n)}},829:(e,t,n)=>{var r=n(62034),i=n(23813),o=n(36060),a=n(82406),s=o((function(e,t){if(null==e)return[];var n=t.length;return n>1&&a(e,t[0],t[1])?t=[]:n>2&&a(t[0],t[1],t[2])&&(t=[t[0]]),i(e,r(t,1),[])}));e.exports=s},37994:(e,t,n)=>{var r=n(14034),i=n(1054),o=n(38101),a=n(66188);e.exports=function(e,t,n){return e=a(e),n=null==n?0:r(o(n),0,e.length),t=i(t),e.slice(n,n+t.length)==t}},30981:e=>{e.exports=function(){return[]}},36330:e=>{e.exports=function(){return!1}},85701:(e,t,n)=>{var r=n(39872),i=n(38101);e.exports=function(e,t,n){return e&&e.length?(t=n||void 0===t?1:i(t),r(e,0,t<0?0:t)):[]}},12436:(e,t,n)=>{var r=n(54073),i=n(29259);e.exports=function(e,t,n){var o=!0,a=!0;if("function"!=typeof e)throw new TypeError("Expected a function");return i(n)&&(o="leading"in n?!!n.leading:o,a="trailing"in n?!!n.trailing:a),r(e,t,{leading:o,maxWait:t,trailing:a})}},5707:(e,t,n)=>{var r=n(7642);e.exports=function(e){return e?Infinity===(e=r(e))||e===-1/0?17976931348623157e292*(e<0?-1:1):e==e?e:0:0===e?e:0}},38101:(e,t,n)=>{var r=n(5707);e.exports=function(e){var t=r(e),n=t%1;return t==t?n?t-n:t:0}},7642:(e,t,n)=>{var r=n(51704),i=n(29259),o=n(4795),a=/^[-+]0x[0-9a-f]+$/i,s=/^0b[01]+$/i,l=/^0o[0-7]+$/i,u=parseInt;e.exports=function(e){if("number"==typeof e)return e;if(o(e))return NaN;if(i(e)){var t="function"==typeof e.valueOf?e.valueOf():e;e=i(t)?t+"":t}if("string"!=typeof e)return 0===e?e:+e;e=r(e);var n=s.test(e);return n||l.test(e)?u(e.slice(2),n?2:8):a.test(e)?NaN:+e}},25497:(e,t,n)=>{var r=n(50343),i=n(51522),o=n(86152),a=n(4795),s=n(54452),l=n(33812),u=n(66188);e.exports=function(e){return o(e)?r(e,l):a(e)?[e]:i(s(u(e)))}},63329:(e,t,n)=>{var r=n(752),i=n(18582);e.exports=function(e){return r(e,i(e))}},66188:(e,t,n)=>{var r=n(1054);e.exports=function(e){return null==e?"":r(e)}},5648:(e,t,n)=>{var r=n(1054),i=n(51704),o=n(23895),a=n(10768),s=n(51821),l=n(8435),u=n(66188);e.exports=function(e,t,n){if((e=u(e))&&(n||void 0===t))return i(e);if(!e||!(t=r(t)))return e;var c=l(e),d=l(t),p=s(c,d),f=a(c,d)+1;return o(c,p,f).join("")}},1573:(e,t,n)=>{var r=n(1054),i=n(23895),o=n(10768),a=n(8435),s=n(66188),l=n(52153);e.exports=function(e,t,n){if((e=s(e))&&(n||void 0===t))return e.slice(0,l(e)+1);if(!e||!(t=r(t)))return e;var u=a(e),c=o(u,a(t))+1;return i(u,0,c).join("")}},93433:(e,t,n)=>{var r=n(1054),i=n(23895),o=n(51821),a=n(8435),s=n(66188),l=/^\s+/;e.exports=function(e,t,n){if((e=s(e))&&(n||void 0===t))return e.replace(l,"");if(!e||!(t=r(t)))return e;var u=a(e),c=o(u,a(t));return i(u,c).join("")}},36585:(e,t,n)=>{var r=n(1054),i=n(23895),o=n(33880),a=n(29259),s=n(40859),l=n(82302),u=n(8435),c=n(38101),d=n(66188),p=/\w*$/;e.exports=function(e,t){var n=30,f="...";if(a(t)){var h="separator"in t?t.separator:h;n="length"in t?c(t.length):n,f="omission"in t?r(t.omission):f}var m=(e=d(e)).length;if(o(e)){var g=u(e);m=g.length}if(n>=m)return e;var v=n-l(f);if(v<1)return f;var y=g?i(g,0,v).join(""):e.slice(0,v);if(void 0===h)return y+f;if(g&&(v+=y.length-v),s(h)){if(e.slice(v).search(h)){var b,w=y;for(h.global||(h=RegExp(h.source,d(p.exec(h))+"g")),h.lastIndex=0;b=h.exec(w);)var _=b.index;y=y.slice(0,void 0===_?v:_)}}else if(e.indexOf(r(h),v)!=v){var x=y.lastIndexOf(h);x>-1&&(y=y.slice(0,x))}return y+f}},15316:(e,t,n)=>{var r=n(62034),i=n(68286),o=n(36060),a=n(67326),s=n(93746),l=n(56974),u=o((function(e){var t=l(e);return s(t)&&(t=void 0),a(r(e,1,s,!0),i(t,2))}));e.exports=u},75652:(e,t,n)=>{var r=n(67326);e.exports=function(e){return e&&e.length?r(e):[]}},84636:(e,t,n)=>{var r=n(68286),i=n(67326);e.exports=function(e,t){return e&&e.length?i(e,r(t,2)):[]}},74930:(e,t,n)=>{var r=n(66188),i=0;e.exports=function(e){var t=++i;return r(e)+t}},17195:(e,t,n)=>{var r=n(29078);e.exports=function(e,t){return null==e||r(e,t)}},67304:(e,t,n)=>{var r=n(85246),i=n(36060),o=n(93746),a=i((function(e,t){return o(e)?r(e,t):[]}));e.exports=a},68674:(e,t,n)=>{var r=n(66504),i=n(45859),o=n(73620),a=n(86152),s=n(15125),l=n(67366),u=Object.prototype.hasOwnProperty;function c(e){if(s(e)&&!a(e)&&!(e instanceof r)){if(e instanceof i)return e;if(u.call(e,"__wrapped__"))return l(e)}return new i(e)}c.prototype=o.prototype,c.prototype.constructor=c,e.exports=c},6316:e=>{"use strict";e.exports=function(e,t){var n,r,i=0,o=0;if("string"!=typeof t||1!==t.length)throw new Error("Expected character");for(n=r=(e=String(e)).indexOf(t);-1!==r;)i++,r===n?i>o&&(o=i):i=1,n=r+1,r=e.indexOf(t,n);return o}},4608:e=>{"use strict";e.exports=i;var t=["\\","`","*","{","}","[","]","(",")","#","+","-",".","!","_",">"],n=t.concat(["~","|"]),r=n.concat(["\n",'"',"$","%","&","'",",","/",":",";","<","=","?","@","^"]);function i(e){var i=e||{};return i.commonmark?r:i.gfm?n:t}i.default=t,i.gfm=n,i.commonmark=r},17591:e=>{"use strict";e.exports=function(e,n){var v,y,b,w,_,x,k,E,C,S,A,T,O=n||{},P=O.delimiter,D=O.start,R=O.end,I=O.align,M=O.stringLength||h,L=0,N=-1,j=e.length,F=[];for(I=I?I.concat():[],null==P&&(P=r+d+r),null==D&&(D=d+r),null==R&&(R=r+d);++N<j;)for(x=-1,(w=e[N]).length>L&&(L=w.length);++x<L;)k=w[x]?g(w[x]):null,F[x]||(F[x]=p),k>F[x]&&(F[x]=k);for("string"==typeof I&&(I=m(L,I).split("")),x=-1;++x<L;)"string"==typeof(v=I[x])&&(v=v.charAt(0).toLowerCase()),v!==u&&v!==c&&v!==l&&v!==a&&(v=""),I[x]=v;for(N=-1,b=[];++N<j;){for(w=e[N],x=-1,_=[];++x<L;)C=f(C=w[x]),I[x]===a?(k=g(C),E=F[x]+(t.test(C)?0:1)-(M(C)-k),_[x]=C+m(E-1)):_[x]=C;b[N]=_}for(F=[],N=-1;++N<j;)for(_=b[N],x=-1;++x<L;)C=_[x],F[x]||(F[x]=p),(E=M(C))>F[x]&&(F[x]=E);for(N=-1;++N<j;){if(_=b[N],x=-1,!1!==O.pad)for(;++x<L;)C=_[x],S=m(k=F[x]-(M(C)||0)),I[x]===c||I[x]===a?C=S+C:I[x]===l?((k/=2)%1==0?(A=k,T=k):(A=k+.5,T=k-.5),C=m(A)+C+m(T)):C+=S,_[x]=C;b[N]=_.join(P)}if(!1!==O.rule){for(x=-1,y=[];++x<L;)S=!1===O.pad?(S=M(f(C=e[0][x])))>p?S:p:F[x],C=(v=I[x])===c||""===v?o:s,C+=m(S-2,o),C+=v!==u&&""!==v?s:o,y[x]=C;b.splice(1,0,y.join(P))}return D+b.join(R+i+D)+R};var t=/\./,n=/\.[^.]*$/,r=" ",i="\n",o="-",a=".",s=":",l="c",u="l",c="r",d="|",p=3;function f(e){return null==e?"":String(e)}function h(e){return String(e).length}function m(e,t){return new Array(e+1).join(t||r)}function g(e){var t=n.exec(e);return t?t.index+1:e.length}},62499:(e,t,n)=>{"use strict";var r=n(9867);function i(e,t){var n,r;return"text"===e.type?!e.position||(n=e.position.start,r=e.position.end,n.line!==r.line||r.column-n.column===e.value.length):t&&"blockquote"===e.type}e.exports=function(e,t){return r(e,(function(e,n,r){var o=r?r.children:[],a=n&&o[n-1];if(a&&e.type===a.type&&i(a,t)&&i(e,t))return e.value&&(a.value+=e.value),e.children&&(a.children=a.children.concat(e.children)),o.splice(n,1),a.position&&e.position&&(a.position.end=e.position.end),n})),e}},99983:(e,t,n)=>{"use strict";var r=n(9867);e.exports=function(e,t){return n=function(e,t){var n={};if(!e||!e.type)throw new Error("mdast-util-definitions expected node");return r(e,"definition",t&&t.commonmark?function(e){var t=o(e.identifier);i.call(n,t)||(n[t]=e)}:function(e){n[o(e.identifier)]=e}),n}(e,t),function(e){var t=e&&o(e);return t&&i.call(n,t)?n[t]:null};var n};var i={}.hasOwnProperty;function o(e){return e.toUpperCase()}},39167:(e,t,n)=>{"use strict";e.exports=function(e,t,n,r){var i,o;return"string"==typeof t||t&&"function"==typeof t.exec?o=[[t,n]]:(o=t,r=n),s(e,i=r||{},function e(t){var n=t[0];return function(r,o){var l,u,c,d,p=n[0],f=n[1],h=[],m=0,g=o.children.indexOf(r);for(p.lastIndex=0,u=p.exec(r.value);u&&(l=u.index,!1!==(d=f.apply(null,[].concat(u,{index:u.index,input:u.input})))&&(m!==l&&h.push({type:"text",value:r.value.slice(m,l)}),"string"==typeof d&&d.length>0&&(d={type:"text",value:d}),d&&(h=[].concat(h,d)),m=l+u[0].length),p.global);)u=p.exec(r.value);if(void 0===l?(h=[r],g--):(m<r.value.length&&h.push({type:"text",value:r.value.slice(m)}),h.unshift(g,1),a.apply(o.children,h)),t.length>1)for(c=e(t.slice(1)),l=-1;++l<h.length;)"text"===(r=h[l]).type?c(r,o):s(r,i,c);return g+h.length+1}}(function(e){var t,n,r=[];if("object"!=typeof e)throw new Error("Expected array or object as schema");if("length"in e)for(n=-1;++n<e.length;)r.push([l(e[n][0]),u(e[n][1])]);else for(t in e)r.push([l(t),u(e[t])]);return r}(o))),e};var r=n(9318),i=n(2547),o=n(20326),a=[].splice;function s(e,t,n){var o=i(t.ignore||[]);return r(e,"text",(function(e,t){for(var r,i,a=-1;++a<t.length;){if(r=t[a],o(r,i?i.children.indexOf(r):void 0,i))return;i=r}return n(e,i)})),[]}function l(e){return"string"==typeof e?new RegExp(o(e),"g"):e}function u(e){return"function"==typeof e?e:function(){return e}}},20326:e=>{"use strict";e.exports=e=>{if("string"!=typeof e)throw new TypeError("Expected a string");return e.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}},15602:e=>{e.exports=function(e){return e}},9318:(e,t,n)=>{"use strict";e.exports=l;var r=n(2547),i=n(15602),o=!0,a="skip",s=!1;function l(e,t,n,l){var u,c;"function"==typeof t&&"function"!=typeof n&&(l=n,n=t,t=null),c=r(t),u=l?-1:1,function e(r,d,p){var f,h="object"==typeof r&&null!==r?r:{};return"string"==typeof h.type&&(f="string"==typeof h.tagName?h.tagName:"string"==typeof h.name?h.name:void 0,m.displayName="node ("+i(h.type+(f?"<"+f+">":""))+")"),m;function m(){var i,f,h=p.concat(r),m=[];if((!t||c(r,d,p[p.length-1]||null))&&(m=function(e){return null!==e&&"object"==typeof e&&"length"in e?e:"number"==typeof e?[o,e]:[e]}(n(r,p)),m[0]===s))return m;if(r.children&&m[0]!==a)for(f=(l?r.children.length:-1)+u;f>-1&&f<r.children.length;){if((i=e(r.children[f],f,h)())[0]===s)return i;f="number"==typeof i[1]?i[1]:f+u}return m}}(e,null,[])()}l.CONTINUE=o,l.SKIP=a,l.EXIT=s},67650:(e,t,n)=>{"use strict";e.exports=function(e,t,n){return"string"!=typeof t&&(n=t,t=void 0),function(e){var t=e||{},n=function(e,t){for(var n=-1;++n<t.length;)f(e,t[n]);return e}({transforms:[],canContainEols:["emphasis","fragment","heading","paragraph","strong"],enter:{autolink:g(P),autolinkProtocol:x,autolinkEmail:x,atxHeading:g(A),blockQuote:g((function(){return{type:"blockquote",children:[]}})),characterEscape:x,characterReference:x,codeFenced:g(S),codeFencedFenceInfo:v,codeFencedFenceMeta:v,codeIndented:g(S,v),codeText:g((function(){return{type:"inlineCode",value:""}}),v),codeTextData:x,data:x,codeFlowValue:x,definition:g((function(){return{type:"definition",identifier:"",label:null,title:null,url:""}})),definitionDestinationString:v,definitionLabelString:v,definitionTitleString:v,emphasis:g((function(){return{type:"emphasis",children:[]}})),hardBreakEscape:g(T),hardBreakTrailing:g(T),htmlFlow:g(O,v),htmlFlowData:x,htmlText:g(O,v),htmlTextData:x,image:g((function(){return{type:"image",title:null,url:"",alt:null}})),label:v,link:g(P),listItem:g((function(e){return{type:"listItem",spread:e._spread,checked:null,children:[]}})),listItemValue:function(e){h("expectingFirstListItemValue")&&(this.stack[this.stack.length-2].start=parseInt(this.sliceSerialize(e),10),c("expectingFirstListItemValue"))},listOrdered:g(D,(function(){c("expectingFirstListItemValue",!0)})),listUnordered:g(D),paragraph:g((function(){return{type:"paragraph",children:[]}})),reference:function(){c("referenceType","collapsed")},referenceString:v,resourceDestinationString:v,resourceTitleString:v,setextHeading:g(A),strong:g((function(){return{type:"strong",children:[]}})),thematicBreak:g((function(){return{type:"thematicBreak"}}))},exit:{atxHeading:b(),atxHeadingSequence:function(e){this.stack[this.stack.length-1].depth||(this.stack[this.stack.length-1].depth=this.sliceSerialize(e).length)},autolink:b(),autolinkEmail:function(e){k.call(this,e),this.stack[this.stack.length-1].url="mailto:"+this.sliceSerialize(e)},autolinkProtocol:function(e){k.call(this,e),this.stack[this.stack.length-1].url=this.sliceSerialize(e)},blockQuote:b(),characterEscapeValue:k,characterReferenceMarkerHexadecimal:C,characterReferenceMarkerNumeric:C,characterReferenceValue:function(e){var t,n,r=this.sliceSerialize(e),i=h("characterReferenceType");i?(t=s(r,"characterReferenceMarkerNumeric"===i?10:16),c("characterReferenceType")):t=d(r),(n=this.stack.pop()).value+=t,n.position.end=m(e.end)},codeFenced:b((function(){var e=this.resume();this.stack[this.stack.length-1].value=e.replace(/^(\r?\n|\r)|(\r?\n|\r)$/g,""),c("flowCodeInside")})),codeFencedFence:function(){h("flowCodeInside")||(this.buffer(),c("flowCodeInside",!0))},codeFencedFenceInfo:function(){var e=this.resume();this.stack[this.stack.length-1].lang=e},codeFencedFenceMeta:function(){var e=this.resume();this.stack[this.stack.length-1].meta=e},codeFlowValue:k,codeIndented:b((function(){var e=this.resume();this.stack[this.stack.length-1].value=e})),codeText:b((function(){var e=this.resume();this.stack[this.stack.length-1].value=e})),codeTextData:k,data:k,definition:b(),definitionDestinationString:function(){var e=this.resume();this.stack[this.stack.length-1].url=e},definitionLabelString:function(e){var t=this.resume();this.stack[this.stack.length-1].label=t,this.stack[this.stack.length-1].identifier=a(this.sliceSerialize(e)).toLowerCase()},definitionTitleString:function(){var e=this.resume();this.stack[this.stack.length-1].title=e},emphasis:b(),hardBreakEscape:b(E),hardBreakTrailing:b(E),htmlFlow:b((function(){var e=this.resume();this.stack[this.stack.length-1].value=e})),htmlFlowData:k,htmlText:b((function(){var e=this.resume();this.stack[this.stack.length-1].value=e})),htmlTextData:k,image:b((function(){var e=this.stack[this.stack.length-1];h("inReference")?(e.type+="Reference",e.referenceType=h("referenceType")||"shortcut",delete e.url,delete e.title):(delete e.identifier,delete e.label,delete e.referenceType),c("referenceType")})),label:function(){var e=this.stack[this.stack.length-1],t=this.resume();this.stack[this.stack.length-1].label=t,c("inReference",!0),"link"===this.stack[this.stack.length-1].type?this.stack[this.stack.length-1].children=e.children:this.stack[this.stack.length-1].alt=t},labelText:function(e){this.stack[this.stack.length-2].identifier=a(this.sliceSerialize(e)).toLowerCase()},lineEnding:function(e){var t=this.stack[this.stack.length-1];if(h("atHardBreak"))return t.children[t.children.length-1].position.end=m(e.end),void c("atHardBreak");!h("setextHeadingSlurpLineEnding")&&n.canContainEols.indexOf(t.type)>-1&&(x.call(this,e),k.call(this,e))},link:b((function(){var e=this.stack[this.stack.length-1];h("inReference")?(e.type+="Reference",e.referenceType=h("referenceType")||"shortcut",delete e.url,delete e.title):(delete e.identifier,delete e.label,delete e.referenceType),c("referenceType")})),listItem:b(),listOrdered:b(),listUnordered:b(),paragraph:b(),referenceString:function(e){var t=this.resume();this.stack[this.stack.length-1].label=t,this.stack[this.stack.length-1].identifier=a(this.sliceSerialize(e)).toLowerCase(),c("referenceType","full")},resourceDestinationString:function(){var e=this.resume();this.stack[this.stack.length-1].url=e},resourceTitleString:function(){var e=this.resume();this.stack[this.stack.length-1].title=e},resource:function(){c("inReference")},setextHeading:b((function(){c("setextHeadingSlurpLineEnding")})),setextHeadingLineSequence:function(e){this.stack[this.stack.length-1].depth=61===this.sliceSerialize(e).charCodeAt(0)?1:2},setextHeadingText:function(){c("setextHeadingSlurpLineEnding",!0)},strong:b(),thematicBreak:b()}},t.mdastExtensions||[]),l={};return function(e){for(var t,r={type:"root",children:[]},a=[],s=[],l=-1,d={stack:[r],tokenStack:a,config:n,enter:y,exit:w,buffer:v,resume:_,setData:c,getData:h};++l<e.length;)"listOrdered"!==e[l][1].type&&"listUnordered"!==e[l][1].type||("enter"===e[l][0]?s.push(l):l=u(e,s.pop(l),l));for(l=-1;++l<e.length;)t=n[e[l][0]],o.call(t,e[l][1].type)&&t[e[l][1].type].call(i({sliceSerialize:e[l][2].sliceSerialize},d),e[l][1]);if(a.length)throw new Error("Cannot close document, a token (`"+a[a.length-1].type+"`, "+p({start:a[a.length-1].start,end:a[a.length-1].end})+") is still open");for(r.position={start:m(e.length?e[0][1].start:{line:1,column:1,offset:0}),end:m(e.length?e[e.length-2][1].end:{line:1,column:1,offset:0})},l=-1;++l<n.transforms.length;)r=n.transforms[l](r)||r;return r};function u(e,t,n){for(var r,i,o,a,s,l,u,c=t-1,d=-1,p=!1;++c<=n;)if("listUnordered"===(s=e[c])[1].type||"listOrdered"===s[1].type||"blockQuote"===s[1].type?("enter"===s[0]?d++:d--,u=void 0):"lineEndingBlank"===s[1].type?"enter"===s[0]&&(!r||u||d||l||(l=c),u=void 0):"linePrefix"===s[1].type||"listItemValue"===s[1].type||"listItemMarker"===s[1].type||"listItemPrefix"===s[1].type||"listItemPrefixWhitespace"===s[1].type||(u=void 0),!d&&"enter"===s[0]&&"listItemPrefix"===s[1].type||-1===d&&"exit"===s[0]&&("listUnordered"===s[1].type||"listOrdered"===s[1].type)){if(r){for(i=c,o=void 0;i--;)if("lineEnding"===(a=e[i])[1].type||"lineEndingBlank"===a[1].type){if("exit"===a[0])continue;o&&(e[o][1].type="lineEndingBlank",p=!0),a[1].type="lineEnding",o=i}else if("linePrefix"!==a[1].type&&"blockQuotePrefix"!==a[1].type&&"blockQuotePrefixWhitespace"!==a[1].type&&"blockQuoteMarker"!==a[1].type&&"listItemIndent"!==a[1].type)break;l&&(!o||l<o)&&(r._spread=!0),r.end=m(o?e[o][1].start:s[1].end),e.splice(o||c,0,["exit",r,s[2]]),c++,n++}"listItemPrefix"===s[1].type&&(r={type:"listItem",_spread:!1,start:m(s[1].start)},e.splice(c,0,["enter",r,s[2]]),c++,n++,l=void 0,u=!0)}return e[t][1]._spread=p,n}function c(e,t){l[e]=t}function h(e){return l[e]}function m(e){return{line:e.line,column:e.column,offset:e.offset}}function g(e,t){return function(n){y.call(this,e(n),n),t&&t.call(this,n)}}function v(){this.stack.push({type:"fragment",children:[]})}function y(e,t){return this.stack[this.stack.length-1].children.push(e),this.stack.push(e),this.tokenStack.push(t),e.position={start:m(t.start)},e}function b(e){return function(t){e&&e.call(this,t),w.call(this,t)}}function w(e){var t=this.stack.pop(),n=this.tokenStack.pop();if(!n)throw new Error("Cannot close `"+e.type+"` ("+p({start:e.start,end:e.end})+"): its not open");if(n.type!==e.type)throw new Error("Cannot close `"+e.type+"` ("+p({start:e.start,end:e.end})+"): a different token (`"+n.type+"`, "+p({start:n.start,end:n.end})+") is open");return t.position.end=m(e.end),t}function _(){return r(this.stack.pop())}function x(e){var t=this.stack[this.stack.length-1].children,n=t[t.length-1];n&&"text"===n.type||((n={type:"text",value:""}).position={start:m(e.start)},this.stack[this.stack.length-1].children.push(n)),this.stack.push(n)}function k(e){var t=this.stack.pop();t.value+=this.sliceSerialize(e),t.position.end=m(e.end)}function E(){c("atHardBreak",!0)}function C(e){c("characterReferenceType",e.type)}function S(){return{type:"code",lang:null,meta:null,value:""}}function A(){return{type:"heading",depth:void 0,children:[]}}function T(){return{type:"break"}}function O(){return{type:"html",value:""}}function P(){return{type:"link",title:null,url:"",children:[]}}function D(e){return{type:"list",ordered:"listOrdered"===e.type,start:null,spread:e._spread,children:[]}}}(n)(c(l(n).document().write(u()(e,t,!0))))};var r=n(21979),i=n(38492),o=n(52686),a=n(3797),s=n(24810),l=n(87572),u=n(23294),c=n(17708),d=n(68108),p=n(88062);function f(e,t){var n,r;for(n in t)r=o.call(e,n)?e[n]:e[n]={},"canContainEols"===n||"transforms"===n?e[n]=[].concat(r,t[n]):Object.assign(r,t[n])}},22792:(e,t,n)=>{"use strict";e.exports=n(67650)},21979:e=>{"use strict";function t(e){return e&&(e.value||e.alt||e.title||"children"in e&&n(e.children)||"length"in e&&n(e))||""}function n(e){for(var n=[],r=-1;++r<e.length;)n[r]=t(e[r]);return n.join("")}e.exports=t},81017:(e,t,n)=>{var r=n(53404),i=n(39167),o=n(27079),a=n(3081);function s(e){this.config.enter.autolinkProtocol.call(this,e)}function l(e,t,n,i,o){var a,s,l="";return!!c(o)&&(/^w/i.test(t)&&(n=t+n,t="",l="http://"),!!function(e){var t=e.split(".");return!(t.length<2||t[t.length-1]&&(/_/.test(t[t.length-1])||!/[a-zA-Z\d]/.test(t[t.length-1]))||t[t.length-2]&&(/_/.test(t[t.length-2])||!/[a-zA-Z\d]/.test(t[t.length-2])))}(n)&&!!(a=function(e){var t,n,i,o=/[!"&'),.:;<>?\]}]+$/.exec(e);if(o)for(e=e.slice(0,o.index),t=(o=o[0]).indexOf(")"),n=r(e,"("),i=r(e,")");-1!==t&&n>i;)e+=o.slice(0,t+1),t=(o=o.slice(t+1)).indexOf(")"),i++;return[e,o]}(n+i))[0]&&(s={type:"link",title:null,url:l+t+a[0],children:[{type:"text",value:t+a[0]}]},a[1]&&(s=[s,{type:"text",value:a[1]}]),s))}function u(e,t,n,r){return!(!c(r,!0)||/[_-]$/.test(n))&&{type:"link",title:null,url:"mailto:"+t+"@"+n,children:[{type:"text",value:t+"@"+n}]}}function c(e,t){var n=e.input.charCodeAt(e.index-1);return(n!=n||a(n)||o(n))&&(!t||47!==n)}t.transforms=[function(e){i(e,[[/(https?:\/\/|www(?=\.))([-.\w]+)([^ \t\r\n]*)/i,l],[/([-.\w+]+)@([-\w]+(?:\.[-\w]+)+)/,u]],{ignore:["link","linkReference"]})}],t.enter={literalAutolink:function(e){this.enter({type:"link",title:null,url:"",children:[]},e)},literalAutolinkEmail:s,literalAutolinkHttp:s,literalAutolinkWww:s},t.exit={literalAutolink:function(e){this.exit(e)},literalAutolinkEmail:function(e){this.config.exit.autolinkEmail.call(this,e)},literalAutolinkHttp:function(e){this.config.exit.autolinkProtocol.call(this,e)},literalAutolinkWww:function(e){this.config.exit.data.call(this,e),this.stack[this.stack.length-1].url="http://"+this.sliceSerialize(e)}}},57813:(e,t)=>{var n="phrasing",r=["autolink","link","image","label"];t.unsafe=[{character:"@",before:"[+\\-.\\w]",after:"[\\-.\\w]",inConstruct:n,notInConstruct:r},{character:".",before:"[Ww]",after:"[\\-.\\w]",inConstruct:n,notInConstruct:r},{character:":",before:"[ps]",after:"\\/",inConstruct:n,notInConstruct:r}]},38327:(e,t)=>{t.canContainEols=["delete"],t.enter={strikethrough:function(e){this.enter({type:"delete",children:[]},e)}},t.exit={strikethrough:function(e){this.exit(e)}}},46764:(e,t,n)=>{var r=n(48615);function i(e,t,n){var i=n.enter("emphasis"),o=r(e,n,{before:"~",after:"~"});return i(),"~~"+o+"~~"}t.unsafe=[{character:"~",inConstruct:"phrasing"}],t.handlers={delete:i},i.peek=function(){return"~"}},68413:(e,t)=>{function n(e){this.exit(e)}function r(e){this.enter({type:"tableCell",children:[]},e)}function i(e,t){return"|"===t?t:e}t.enter={table:function(e){this.enter({type:"table",align:e._align,children:[]},e),this.setData("inTable",!0)},tableData:r,tableHeader:r,tableRow:function(e){this.enter({type:"tableRow",children:[]},e)}},t.exit={codeText:function(e){var t=this.resume();this.getData("inTable")&&(t=t.replace(/\\([\\|])/g,i)),this.stack[this.stack.length-1].value=t,this.exit(e)},table:function(e){this.exit(e),this.setData("inTable")},tableData:n,tableHeader:n,tableRow:n}},2166:(e,t,n)=>{"use strict";var r=n(34076);e.exports=function(e,t){for(var n,c,d,p,f,b,w,_,x,k,E,C,S=t||{},A=!1!==S.padding,T=!1!==S.delimiterStart,O=!1!==S.delimiterEnd,P=(S.align||[]).concat(),D=!1!==S.alignDelimiters,R=[],I=S.stringLength||v,M=-1,L=e.length,N=[],j=[],F=[],B=[],z=[],U=0;++M<L;){for(c=-1,F=[],B=[],(d=(n=e[M]).length)>U&&(U=d);++c<d;)b=null==(C=n[c])?"":String(C),!0===D&&(f=I(b),B[c]=f,(void 0===(p=z[c])||f>p)&&(z[c]=f)),F.push(b);N[M]=F,j[M]=B}if(c=-1,d=U,"object"==typeof P&&"length"in P)for(;++c<d;)R[c]=y(P[c]);else for(E=y(P);++c<d;)R[c]=E;for(c=-1,d=U,F=[],B=[];++c<d;)x="",k="",(E=R[c])===m?x=l:E===g?k=l:E===h&&(x=l,k=l),f=D?Math.max(1,z[c]-x.length-k.length):1,b=x+r(s,f)+k,!0===D&&((f=x.length+f+k.length)>z[c]&&(z[c]=f),B[c]=f),F[c]=b;for(N.splice(1,0,F),j.splice(1,0,B),M=-1,L=N.length,w=[];++M<L;){for(F=N[M],B=j[M],c=-1,d=U,_=[];++c<d;)b=F[c]||"",x="",k="",!0===D&&(f=z[c]-(B[c]||0),(E=R[c])===g?x=r(o,f):E===h?f%2==0?k=x=r(o,f/2):(x=r(o,f/2+.5),k=r(o,f/2-.5)):k=r(o,f)),!0===T&&0===c&&_.push(u),!0!==A||!1===D&&""===b||!0!==T&&0===c||_.push(o),!0===D&&_.push(x),_.push(b),!0===D&&_.push(k),!0===A&&_.push(o),!0!==O&&c===d-1||_.push(u);_=_.join(""),!1===O&&(_=_.replace(i,"")),w.push(_)}return w.join(a)};var i=/ +$/,o=" ",a="\n",s="-",l=":",u="|",c=0,d=67,p=76,f=82,h=99,m=108,g=114;function v(e){return e.length}function y(e){var t="string"==typeof e?e.charCodeAt(0):c;return t===p||t===m?m:t===f||t===g?g:t===d||t===h?h:c}},48144:(e,t,n)=>{var r=n(48615),i=n(97370),o=n(2166);e.exports=function(e){var t=e||{},n=t.tableCellPadding,a=t.tablePipeAlign,s=t.stringLength,l=n?" ":"|";return{unsafe:[{character:"\r",inConstruct:"tableCell"},{character:"\n",inConstruct:"tableCell"},{atBreak:!0,character:"|",after:"[\t :-]"},{character:"|",inConstruct:"tableCell"},{atBreak:!0,character:":",after:"-"},{atBreak:!0,character:"-",after:"[:|-]"}],handlers:{table:function(e,t,n){return c(function(e,t){for(var n=e.children,r=-1,i=n.length,o=[],a=t.enter("table");++r<i;)o[r]=d(n[r],t);return a(),o}(e,n),e.align)},tableRow:function(e,t,n){var r=c([d(e,n)]);return r.slice(0,r.indexOf("\n"))},tableCell:u,inlineCode:function(e,t,n){var r=i(e,t,n);return-1!==n.stack.indexOf("tableCell")&&(r=r.replace(/\|/g,"\\$&")),r}}};function u(e,t,n){var i=n.enter("tableCell"),o=r(e,n,{before:l,after:l});return i(),o}function c(e,t){return o(e,{align:t,alignDelimiters:a,padding:n,stringLength:s})}function d(e,t){for(var n=e.children,r=-1,i=n.length,o=[],a=t.enter("tableRow");++r<i;)o[r]=u(n[r],0,t);return a(),o}}},12723:(e,t)=>{function n(e){this.stack[this.stack.length-2].checked="taskListCheckValueChecked"===e.type}t.exit={taskListCheckValueChecked:n,taskListCheckValueUnchecked:n,paragraph:function(e){var t,n=this.stack[this.stack.length-2],r=this.stack[this.stack.length-1],i=n.children,o=r.children[0],a=-1;if(n&&"listItem"===n.type&&"boolean"==typeof n.checked&&o&&"text"===o.type){for(;++a<i.length;)if("paragraph"===i[a].type){t=i[a];break}t===r&&(o.value=o.value.slice(1),0===o.value.length?r.children.shift():(o.position.start.column++,o.position.start.offset++,r.position.start=Object.assign({},o.position.start)))}this.exit(e)}}},47329:(e,t,n)=>{var r=n(48295);t.unsafe=[{atBreak:!0,character:"-",after:"[:|-]"}],t.handlers={listItem:function(e,t,n){var i=r(e,t,n),o=e.children[0];return"boolean"==typeof e.checked&&o&&"paragraph"===o.type&&(i=i.replace(/^(?:[*+-]|\d+\.)([\r\n]| {1,3})/,(function(t){return t+"["+(e.checked?"x":" ")+"] "}))),i}}},69242:(e,t,n)=>{var r=n(81017),i=n(38327),o=n(68413),a=n(12723),s={}.hasOwnProperty;function l(e,t){var n,r,i;for(n in t)r=s.call(e,n)?e[n]:e[n]={},i=t[n],"canContainEols"===n||"transforms"===n?e[n]=[].concat(r,i):Object.assign(r,i)}e.exports=function(e){for(var t={transforms:[],canContainEols:[]},n=e.length,r=-1;++r<n;)l(t,e[r]);return t}([r,i,o,a])},73965:(e,t,n)=>{var r=n(57813),i=n(46764),o=n(48144),a=n(47329),s=n(43296);e.exports=function(e){var t=s({handlers:{},join:[],unsafe:[],options:{}},{extensions:[r,i,o(e),a]});return Object.assign(t.options,{handlers:t.handlers,join:t.join,unsafe:t.unsafe})}},96967:(e,t,n)=>{"use strict";var r=n(2547)(["break","delete","emphasis","footnote","footnoteReference","image","imageReference","inlineCode","link","linkReference","strong","text"]);r.displayName="isPhrasing",e.exports=r},31887:(e,t,n)=>{"use strict";e.exports=n(97391)},46862:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,o,a=t.children||[],s=a.length,l=[],u=-1;++u<s;)(n=i(e,a[u],t))&&(u&&"break"===a[u-1].type&&(n.value&&(n.value=r.left(n.value)),(o=n.children&&n.children[0])&&o.value&&(o.value=r.left(o.value))),l=l.concat(n));return l};var r=n(50311),i=n(13161)},81642:(e,t,n)=>{"use strict";e.exports=function(e){var t,n,a,s,l=e.footnotes,u=l.length,c=-1,d=[];if(!u)return null;for(;++c<u;)s=(a=(t=l[c]).children.concat())[a.length-1],n={type:"link",url:"#fnref-"+t.identifier,data:{hProperties:{className:["footnote-backref"]}},children:[{type:"text",value:"↩"}]},s&&"paragraph"===s.type||(s={type:"paragraph",children:[]},a.push(s)),s.children.push(n),d[c]={type:"listItem",data:{hProperties:{id:"fn-"+t.identifier}},children:a,position:t.position};return e(null,"div",{className:["footnotes"]},o([r(e),i(e,{type:"list",ordered:!0,children:d})],!0))};var r=n(76424),i=n(67368),o=n(94327)},50313:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"blockquote",r(i(e,t),!0))};var r=n(94327),i=n(46862)},56340:(e,t,n)=>{"use strict";e.exports=function(e,t){return[e(t,"br"),r("text","\n")]};var r=n(73610)},75781:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=t.value?r(t.value+"\n"):"",o=t.lang&&t.lang.match(/^[^ \t]+(?=[ \t]|$)/),a={};return o&&(a.className=["language-"+o]),e(t.position,"pre",[e(t,"code",a,[i("text",n)])])};var r=n(17994),i=n(73610)},92622:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"del",r(e,t))};var r=n(46862)},51558:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"em",r(e,t))};var r=n(46862)},91211:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=t.identifier;return e(t.position,"sup",{id:"fnref-"+n},[e(t,"a",{href:"#fn-"+n,className:["footnote-ref"]},[r("text",n)])])};var r=n(73610)},95200:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n=[],i=1,o=e.footnotes,a=o.length,s=-1;++s<a;)n[s]=o[s].identifier;for(;-1!==n.indexOf(String(i));)i++;return i=String(i),o.push({type:"footnoteDefinition",identifier:i,children:[{type:"paragraph",children:t.children}],position:t.position}),r(e,{type:"footnoteReference",identifier:i,position:t.position})};var r=n(91211)},89694:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"h"+t.depth,r(e,t))};var r=n(46862)},84320:(e,t,n)=>{"use strict";e.exports=function(e,t){return e.dangerous?e.augment(t,r("raw",t.value)):null};var r=n(73610)},86903:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o=e.definition(t.identifier);return o?(n={src:r(o.url||""),alt:t.alt},null!==o.title&&void 0!==o.title&&(n.title=o.title),e(t,"img",n)):i(e,t)};var r=n(81737),i=n(68726)},27453:(e,t,n)=>{"use strict";var r=n(81737);e.exports=function(e,t){var n={src:r(t.url),alt:t.alt};return null!==t.title&&void 0!==t.title&&(n.title=t.title),e(t,"img",n)}},81917:(e,t,n)=>{"use strict";function r(){return null}e.exports={blockquote:n(50313),break:n(56340),code:n(75781),delete:n(92622),emphasis:n(51558),footnoteReference:n(91211),footnote:n(95200),heading:n(89694),html:n(84320),imageReference:n(86903),image:n(27453),inlineCode:n(29711),linkReference:n(9146),link:n(49818),listItem:n(2848),list:n(67368),paragraph:n(93146),root:n(91053),strong:n(24323),table:n(50791),text:n(43334),thematicBreak:n(76424),toml:r,yaml:r,definition:r,footnoteDefinition:r}},29711:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"code",[i("text",r(t.value))])};var r=n(38925),i=n(73610)},9146:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,a=e.definition(t.identifier);return a?(n={href:r(a.url||"")},null!==a.title&&void 0!==a.title&&(n.title=a.title),e(t,"a",n,o(e,t))):i(e,t)};var r=n(81737),i=n(68726),o=n(46862)},49818:(e,t,n)=>{"use strict";var r=n(81737),i=n(46862);e.exports=function(e,t){var n={href:r(t.url)};return null!==t.title&&void 0!==t.title&&(n.title=t.title),e(t,"a",n,i(e,t))}},2848:(e,t,n)=>{"use strict";e.exports=function(e,t,n){var s,l,u,c,d,p=t.children[0],f=o(e,t),h=n?function(e){for(var t=e.spread,n=e.children,r=n.length,i=-1;!t&&++i<r;)t=a(n[i]);return t}(n):a(t),m={};if(h)s=f;else for(s=[],c=f.length,u=-1;++u<c;)"p"===(d=f[u]).tagName?s=s.concat(d.children):s.push(d);return"boolean"==typeof t.checked&&(!h||p&&"paragraph"===p.type||s.unshift(e(null,"p",[])),0!==(l=h?s[0].children:s).length&&l.unshift(r("text"," ")),l.unshift(e(null,"input",{type:"checkbox",checked:t.checked,disabled:!0})),m.className=["task-list-item"]),h&&0!==s.length&&(s=i(s,!0)),e(t,"li",m,s)};var r=n(73610),i=n(94327),o=n(46862);function a(e){var t=e.spread;return null==t?e.children.length>1:t}},67368:(e,t,n)=>{"use strict";e.exports=function(e,t){var n={},o=t.ordered?"ol":"ul";return"number"==typeof t.start&&1!==t.start&&(n.start=t.start),e(t,o,n,r(i(e,t),!0))};var r=n(94327),i=n(46862)},93146:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"p",r(e,t))};var r=n(46862)},91053:(e,t,n)=>{"use strict";e.exports=function(e,t){return e.augment(t,r("root",i(o(e,t))))};var r=n(73610),i=n(94327),o=n(46862)},24323:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"strong",r(e,t))};var r=n(46862)},50791:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,a,s,l,u,c=t.children,d=c.length,p=t.align,f=p.length,h=[];d--;){for(a=c[d].children,l=0===d?"th":"td",n=f,s=[];n--;)u=a[n],s[n]=e(u,l,{align:p[n]},u?o(e,u):[]);h[d]=e(c[d],"tr",i(s,!0))}return e(t,"table",i([e(h[0].position,"thead",i([h[0]],!0)),e({start:r.start(h[1]),end:r.end(h[h.length-1])},"tbody",i(h.slice(1),!0))],!0))};var r=n(9352),i=n(94327),o=n(46862)},43334:(e,t,n)=>{"use strict";e.exports=function(e,t){return e.augment(t,r("text",i(t.value)))};var r=n(73610),i=n(66406)},76424:e=>{"use strict";e.exports=function(e,t){return e(t,"hr")}},97391:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=function(e,t){var n=t||{},i=n.allowDangerousHTML;return c.dangerous=i,c.definition=l(e,n),c.footnotes=[],c.augment=u,c.handlers=r(d,n.handlers||{}),o(e,"footnoteDefinition",(function(e){c.footnotes.push(e)})),c;function u(e,t){var n,i;return e&&"data"in e&&(n=e.data,"element"===t.type&&n.hName&&(t.tagName=n.hName),"element"===t.type&&n.hProperties&&(t.properties=r(t.properties,n.hProperties)),t.children&&n.hChildren&&(t.children=n.hChildren)),i=e&&e.position?e:{position:e},s(i)||(t.position={start:a.start(i),end:a.end(i)}),t}function c(e,t,n,r){return null==r&&"object"==typeof n&&"length"in n&&(r=n,n={}),u(e,{type:"element",tagName:t,properties:n||{},children:r||[]})}}(e,t),p=u(n,e),f=c(n);return p&&p.children&&f&&(p.children=p.children.concat(i("text","\n"),f)),p};var r=n(51960),i=n(73610),o=n(9867),a=n(9352),s=n(93624),l=n(99983),u=n(13161),c=n(81642),d=n(81917)},13161:(e,t,n)=>{"use strict";e.exports=function(e,t,n){var r=t&&t.type,i=o.call(e.handlers,r)?e.handlers[r]:null;if(!r)throw new Error("Expected node, got `"+t+"`");return("function"==typeof i?i:a)(e,t,n)};var r=n(73610),i=n(46862),o={}.hasOwnProperty;function a(e,t){return function(e){var t=e.data||{};return!(o.call(t,"hName")||o.call(t,"hProperties")||o.call(t,"hChildren"))&&"value"in e}(t)?e.augment(t,r("text",t.value)):e(t,"div",i(e,t))}},68726:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o,a,s=t.referenceType,l="]";return"collapsed"===s?l+="[]":"full"===s&&(l+="["+(t.label||t.identifier)+"]"),"imageReference"===t.type?r("text","!["+t.alt+l):((o=(n=i(e,t))[0])&&"text"===o.type?o.value="["+o.value:n.unshift(r("text","[")),(a=n[n.length-1])&&"text"===a.type?a.value+=l:n.push(r("text",l)),n)};var r=n(73610),i=n(46862)},94327:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=[],i=-1,o=e.length;for(t&&n.push(r("text","\n"));++i<o;)i&&n.push(r("text","\n")),n.push(e[i]);return t&&0!==e.length&&n.push(r("text","\n")),n};var r=n(73610)},43296:e=>{e.exports=function e(t,n){var r,i=-1;if(n.extensions)for(;++i<n.extensions.length;)e(t,n.extensions[i]);for(r in n)"extensions"===r||("unsafe"===r||"join"===r?t[r]=t[r].concat(n[r]||[]):"handlers"===r?t[r]=Object.assign(t[r],n[r]||{}):t.options[r]=n[r]);return t}},97370:(e,t,n)=>{e.exports=i,i.peek=function(){return"`"};var r=n(15505);function i(e,t,n){for(var i,o,a,s,l=e.value||"",u="`",c=-1;new RegExp("(^|[^`])"+u+"([^`]|$)").test(l);)u+="`";for(/[^ \r\n]/.test(l)&&(/[ \r\n`]/.test(l.charAt(0))||/[ \r\n`]/.test(l.charAt(l.length-1)))&&(l=" "+l+" ");++c<n.unsafe.length;)if((i=n.unsafe[c]).atBreak)for(o=r(i);a=o.exec(l);)s=a.index,10===l.charCodeAt(s)&&13===l.charCodeAt(s-1)&&s--,l=l.slice(0,s)+" "+l.slice(a.index+1);return u+l+u}},48295:(e,t,n)=>{e.exports=function(e,t,n){var l,u,c,d=i(n),p=o(n);return t&&t.ordered&&(d=(t.start>-1?t.start:1)+(!1===n.options.incrementListMarker?0:t.children.indexOf(e))+"."),l=d.length+1,("tab"===p||"mixed"===p&&(t&&t.spread||e.spread))&&(l=4*Math.ceil(l/4)),c=n.enter("listItem"),u=s(a(e,n),(function(e,t,n){return t?(n?"":r(" ",l))+e:(n?d:d+r(" ",l-d.length))+e})),c(),u};var r=n(34076),i=n(6008),o=n(21370),a=n(39438),s=n(12117)},6008:e=>{e.exports=function(e){var t=e.options.bullet||"*";if("*"!==t&&"+"!==t&&"-"!==t)throw new Error("Cannot serialize items with `"+t+"` for `options.bullet`, expected `*`, `+`, or `-`");return t}},21370:e=>{e.exports=function(e){var t=e.options.listItemIndent||"tab";if(1===t||"1"===t)return"one";if("tab"!==t&&"one"!==t&&"mixed"!==t)throw new Error("Cannot serialize items with `"+t+"` for `options.listItemIndent`, expected `tab`, `one`, or `mixed`");return t}},39438:(e,t,n)=>{e.exports=function(e,t){for(var n,i=e.children||[],o=[],a=-1;++a<i.length;)n=i[a],o.push(t.handle(n,e,t,{before:"\n",after:"\n"})),a+1<i.length&&o.push(s(n,i[a+1]));return o.join("");function s(n,i){for(var o,a=-1;++a<t.join.length&&!0!==(o=t.join[a](n,i,e,t))&&1!==o;){if("number"==typeof o)return r("\n",1+Number(o));if(!1===o)return"\n\n\x3c!----\x3e\n\n"}return"\n\n"}};var r=n(34076)},48615:e=>{e.exports=function(e,t,n){for(var r,i,o,a=e.children||[],s=[],l=-1,u=n.before;++l<a.length;)o=a[l],l+1<a.length?((i=t.handle.handlers[a[l+1].type])&&i.peek&&(i=i.peek),r=i?i(a[l+1],e,t,{before:"",after:""}).charAt(0):""):r=n.after,s.length>0&&("\r"===u||"\n"===u)&&"html"===o.type&&(s[s.length-1]=s[s.length-1].replace(/(\r?\n|\r)$/," "),u=" "),s.push(t.handle(o,e,t,{before:u,after:r})),u=s[s.length-1].slice(-1);return s.join("")}},12117:e=>{e.exports=function(e,n){for(var r,i=[],o=0,a=0;r=t.exec(e);)s(e.slice(o,r.index)),i.push(r[0]),o=r.index+r[0].length,a++;return s(e.slice(o)),i.join("");function s(e){i.push(n(e,a,!e))}};var t=/\r?\n|\r/g},15505:e=>{e.exports=function(e){var t,n;return e._compiled||(t=e.before?"(?:"+e.before+")":"",n=e.after?"(?:"+e.after+")":"",e.atBreak&&(t="[\\r\\n][\\t ]*"+t),e._compiled=new RegExp((t?"("+t+")":"")+(/[|\\{}()[\]^$+*?.-]/.test(e.character)?"\\":"")+e.character+(n||""),"g")),e._compiled}},75330:e=>{"use strict";function t(e){return e&&(e.value||e.alt||e.title||"children"in e&&n(e.children)||"length"in e&&n(e))||""}function n(e){for(var n=[],r=e.length,i=-1;++i<r;)n[i]=t(e[i]);return n.join("")}e.exports=t},81737:e=>{"use strict";var t={};function n(e,r,i){var o,a,s,l,u,c="";for("string"!=typeof r&&(i=r,r=n.defaultChars),void 0===i&&(i=!0),u=function(e){var n,r,i=t[e];if(i)return i;for(i=t[e]=[],n=0;n<128;n++)r=String.fromCharCode(n),/^[0-9a-z]$/i.test(r)?i.push(r):i.push("%"+("0"+n.toString(16).toUpperCase()).slice(-2));for(n=0;n<e.length;n++)i[e.charCodeAt(n)]=e[n];return i}(r),o=0,a=e.length;o<a;o++)if(s=e.charCodeAt(o),i&&37===s&&o+2<a&&/^[0-9a-f]{2}$/i.test(e.slice(o+1,o+3)))c+=e.slice(o,o+3),o+=2;else if(s<128)c+=u[s];else if(s>=55296&&s<=57343){if(s>=55296&&s<=56319&&o+1<a&&(l=e.charCodeAt(o+1))>=56320&&l<=57343){c+=encodeURIComponent(e[o]+e[o+1]),o++;continue}c+="%EF%BF%BD"}else c+=encodeURIComponent(e[o]);return c}n.defaultChars=";/?:@&=+$,-_.!~*'()#",n.componentChars="-_.!~*'()",e.exports=n},71955:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.JSONHTTPError=t.TextHTTPError=t.HTTPError=t.getPagination=void 0;var r=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},i=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),o=n(64971);function a(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function s(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}function l(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}Object.defineProperty(t,"getPagination",{enumerable:!0,get:function(){return o.getPagination}});var u=t.HTTPError=function(e){function t(e){a(this,t);var n=s(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e.statusText));return n.name=n.constructor.name,"function"==typeof Error.captureStackTrace?Error.captureStackTrace(n,n.constructor):n.stack=new Error(e.statusText).stack,n.status=e.status,n}return l(t,e),t}(function(e){function t(){var t=Reflect.construct(e,Array.from(arguments));return Object.setPrototypeOf(t,Object.getPrototypeOf(this)),t}return t.prototype=Object.create(e.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),Object.setPrototypeOf?Object.setPrototypeOf(t,e):t.__proto__=e,t}(Error)),c=t.TextHTTPError=function(e){function t(e,n){a(this,t);var r=s(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e));return r.data=n,r}return l(t,e),t}(u),d=t.JSONHTTPError=function(e){function t(e,n){a(this,t);var r=s(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e));return r.json=n,r}return l(t,e),t}(u),p=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:"",n=arguments[1];a(this,e),this.apiURL=t,this.apiURL.match(/\/[^\/]?/)&&(this._sameOrigin=!0),this.defaultHeaders=n&&n.defaultHeaders||{}}return i(e,[{key:"headers",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return r({},this.defaultHeaders,{"Content-Type":"application/json"},e)}},{key:"parseJsonResponse",value:function(e){return e.json().then((function(t){if(!e.ok)return Promise.reject(new d(e,t));var n=(0,o.getPagination)(e);return n?{pagination:n,items:t}:t}))}},{key:"request",value:function(e){var t=this,n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},i=this.headers(n.headers||{});return this._sameOrigin&&(n.credentials=n.credentials||"same-origin"),fetch(this.apiURL+e,r({},n,{headers:i})).then((function(e){var n=e.headers.get("Content-Type");return n&&n.match(/json/)?t.parseJsonResponse(e):e.ok?e.text().then((function(e){})):e.text().then((function(t){return Promise.reject(new c(e,t))}))}))}}]),e}();t.default=p},64971:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var n=function(e,t){if(Array.isArray(e))return e;if(Symbol.iterator in Object(e))return function(e,t){var n=[],r=!0,i=!1,o=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){i=!0,o=e}finally{try{!r&&s.return&&s.return()}finally{if(i)throw o}}return n}(e,t);throw new TypeError("Invalid attempt to destructure non-iterable instance")};t.getPagination=function(e){var t=e.headers.get("Link"),r={};if(null==t)return null;t=t.split(",");for(var i=e.headers.get("X-Total-Count"),o=0,a=t.length;o<a;o++){var s=t[o].replace(/(^\s*|\s*$)/,"").split(";"),l=n(s,2),u=l[0],c=l[1],d=u.match(/page=(\d+)/),p=d&&parseInt(d[1],10);c.match(/last/)?r.last=p:c.match(/next/)?r.next=p:c.match(/prev/)?r.prev=p:c.match(/first/)&&(r.first=p)}return r.last=Math.max(r.last||0,r.prev&&r.prev+1||0),r.current=r.next?r.next-1:r.last||1,r.total=i?parseInt(i,10):null,r}},73603:(e,t,n)=>{e.exports=n(24485)},24485:(e,t,n)=>{var r=n(65845),i=n(44355),o=n(40883),a=n(72658),s=n(27079),l=n(3081),u={tokenize:function(e,t,n){return function(t){return e.consume(t),r};function r(t){return 87===t||t-32==87?(e.consume(t),i):n(t)}function i(t){return 87===t||t-32==87?(e.consume(t),o):n(t)}function o(t){return 46===t?(e.consume(t),s):n(t)}function s(e){return null===e||a(e)?n(e):t(e)}},partial:!0},c={tokenize:function(e,t,n){var r,i;return a;function a(t){return 38===t?e.check(f,c,u)(t):46===t||95===t?e.check(p,c,u)(t):o(t)||l(t)||45!==t&&s(t)?c(t):(e.consume(t),a)}function u(t){return 46===t?(i=r,r=void 0,e.consume(t),a):(95===t&&(r=!0),e.consume(t),a)}function c(e){return i||r?n(e):t(e)}},partial:!0},d={tokenize:function(e,t){var n=0;return r;function r(a){return 38===a?e.check(f,t,i)(a):(40===a&&n++,41===a?e.check(p,o,i)(a):w(a)?t(a):b(a)?e.check(p,t,i)(a):(e.consume(a),r))}function i(t){return e.consume(t),r}function o(e){return--n<0?t(e):i(e)}},partial:!0},p={tokenize:function(e,t,n){return function(t){return e.consume(t),r};function r(i){return b(i)?(e.consume(i),r):w(i)?t(i):n(i)}},partial:!0},f={tokenize:function(e,t,n){return function(t){return e.consume(t),i};function i(t){return r(t)?(e.consume(t),i):59===t?(e.consume(t),o):n(t)}function o(e){return w(e)?t(e):n(e)}},partial:!0},h={tokenize:function(e,t,n){var r=this;return function(t){return 87!==t&&t-32!=87||!x(r.previous)||C(r.events)?n(t):(e.enter("literalAutolink"),e.enter("literalAutolinkWww"),e.check(u,e.attempt(c,e.attempt(d,i),n),n)(t))};function i(n){return e.exit("literalAutolinkWww"),e.exit("literalAutolink"),t(n)}},previous:x},m={tokenize:function(e,t,n){var r=this;return function(t){return 72!==t&&t-32!=72||!k(r.previous)||C(r.events)?n(t):(e.enter("literalAutolink"),e.enter("literalAutolinkHttp"),e.consume(t),i)};function i(t){return 84===t||t-32==84?(e.consume(t),a):n(t)}function a(t){return 84===t||t-32==84?(e.consume(t),u):n(t)}function u(t){return 80===t||t-32==80?(e.consume(t),p):n(t)}function p(t){return 83===t||t-32==83?(e.consume(t),f):f(t)}function f(t){return 58===t?(e.consume(t),h):n(t)}function h(t){return 47===t?(e.consume(t),m):n(t)}function m(t){return 47===t?(e.consume(t),g):n(t)}function g(t){return o(t)||l(t)||s(t)?n(t):e.attempt(c,e.attempt(d,v),n)(t)}function v(n){return e.exit("literalAutolinkHttp"),e.exit("literalAutolink"),t(n)}},previous:k},g={tokenize:function(e,t,n){var r,o=this;return function(t){return _(t)&&E(o.previous)&&!C(o.events)?(e.enter("literalAutolink"),e.enter("literalAutolinkEmail"),a(t)):n(t)};function a(t){return _(t)?(e.consume(t),a):64===t?(e.consume(t),s):n(t)}function s(t){return 46===t?e.check(p,d,l)(t):45===t||95===t?e.check(p,n,u)(t):i(t)?(e.consume(t),s):d(t)}function l(t){return e.consume(t),r=!0,s}function u(t){return e.consume(t),c}function c(t){return 46===t?e.check(p,n,l)(t):s(t)}function d(i){return r?(e.exit("literalAutolinkEmail"),e.exit("literalAutolink"),t(i)):n(i)}},previous:E},v={};t.text=v;for(var y=48;y<123;)v[y]=g,58==++y?y=65:91===y&&(y=97);function b(e){return 33===e||34===e||39===e||41===e||42===e||44===e||46===e||58===e||59===e||60===e||63===e||95===e||126===e}function w(e){return null===e||e<0||32===e||60===e}function _(e){return 43===e||45===e||46===e||95===e||i(e)}function x(e){return null===e||e<0||32===e||40===e||42===e||95===e||126===e}function k(e){return null===e||!r(e)}function E(e){return 47!==e&&k(e)}function C(e){for(var t=e.length;t--;)if(("labelLink"===e[t][1].type||"labelImage"===e[t][1].type)&&!e[t][1]._balanced)return!0}v[43]=g,v[45]=g,v[46]=g,v[95]=g,v[72]=[g,m],v[104]=[g,m],v[87]=[g,h],v[119]=[g,h]},12922:(e,t,n)=>{e.exports=function(e){var t=(e||{}).singleTilde,n={tokenize:function(e,n,i){var o=this.previous,a=this.events,s=0;return function(t){return 126!==t||126===o&&"characterEscape"!==a[a.length-1][1].type?i(t):(e.enter("strikethroughSequenceTemporary"),l(t))};function l(a){var u,c,d=r(o);return 126===a?s>1?i(a):(e.consume(a),s++,l):s<2&&!t?i(a):(u=e.exit("strikethroughSequenceTemporary"),c=r(a),u._open=!c||2===c&&d,u._close=!d||2===d&&c,n(a))}},resolveAll:function(e,t){for(var n,r,s,l,u=-1;++u<e.length;)if("enter"===e[u][0]&&"strikethroughSequenceTemporary"===e[u][1].type&&e[u][1]._close)for(s=u;s--;)if("exit"===e[s][0]&&"strikethroughSequenceTemporary"===e[s][1].type&&e[s][1]._open&&e[u][1].end.offset-e[u][1].start.offset==e[s][1].end.offset-e[s][1].start.offset){e[u][1].type="strikethroughSequence",e[s][1].type="strikethroughSequence",n={type:"strikethrough",start:a(e[s][1].start),end:a(e[u][1].end)},r={type:"strikethroughText",start:a(e[s][1].end),end:a(e[u][1].start)},l=[["enter",n,t],["enter",e[s][1],t],["exit",e[s][1],t],["enter",r,t]],i(l,l.length,0,o(t.parser.constructs.insideSpan.null,e.slice(s+1,u),t)),i(l,l.length,0,[["exit",r,t],["enter",e[u][1],t],["exit",e[u][1],t],["exit",n,t]]),i(e,s-1,u-s+3,l),u=s+l.length-2;break}return function(e){for(var t=-1,n=e.length;++t<n;)"strikethroughSequenceTemporary"===e[t][1].type&&(e[t][1].type="data");return e}(e)}};return null==t&&(t=!0),{text:{126:n},insideSpan:{null:n}}};var r=n(83585),i=n(43416),o=n(12869),a=n(9085)},23858:(e,t,n)=>{e.exports=n(7520)},7520:(e,t,n)=>{t.flow={null:{tokenize:function(e,t,n){var a,s,l=[],u=0;return function(t){return null===t||-5===t||-4===t||-3===t?n(t):(e.enter("table")._align=l,e.enter("tableHead"),e.enter("tableRow"),124===t?c(t):(u++,e.enter("temporaryTableCellContent"),f(t)))};function c(t){return e.enter("tableCellDivider"),e.consume(t),e.exit("tableCellDivider"),a=!0,d}function d(t){return null===t||-5===t||-4===t||-3===t?function(t){return null===t?n(t):(e.exit("tableRow"),e.exit("tableHead"),e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),e.check(i,n,r(e,m,"linePrefix",4)))}(t):-2===t||-1===t||32===t?(e.enter("whitespace"),e.consume(t),p):(a&&(a=void 0,u++),124===t?c(t):(e.enter("temporaryTableCellContent"),f(t)))}function p(t){return-2===t||-1===t||32===t?(e.consume(t),p):(e.exit("whitespace"),d(t))}function f(t){return null===t||t<0||32===t||124===t?(e.exit("temporaryTableCellContent"),d(t)):(e.consume(t),92===t?h:f)}function h(t){return 92===t||124===t?(e.consume(t),f):f(t)}function m(t){return null===t||t<0||32===t?n(t):(e.enter("tableDelimiterRow"),g(t))}function g(t){return null===t||-5===t||-4===t||-3===t?_(t):-2===t||-1===t||32===t?(e.enter("whitespace"),e.consume(t),v):45===t?(e.enter("tableDelimiterFiller"),e.consume(t),s=!0,l.push(null),y):58===t?(e.enter("tableDelimiterAlignment"),e.consume(t),e.exit("tableDelimiterAlignment"),l.push("left"),b):124===t?(e.enter("tableCellDivider"),e.consume(t),e.exit("tableCellDivider"),g):n(t)}function v(t){return-2===t||-1===t||32===t?(e.consume(t),v):(e.exit("whitespace"),g(t))}function y(t){return 45===t?(e.consume(t),y):(e.exit("tableDelimiterFiller"),58===t?(e.enter("tableDelimiterAlignment"),e.consume(t),e.exit("tableDelimiterAlignment"),l[l.length-1]="left"===l[l.length-1]?"center":"right",w):g(t))}function b(t){return 45===t?(e.enter("tableDelimiterFiller"),e.consume(t),s=!0,y):n(t)}function w(t){return null===t||-5===t||-4===t||-3===t?_(t):-2===t||-1===t||32===t?(e.enter("whitespace"),e.consume(t),v):124===t?(e.enter("tableCellDivider"),e.consume(t),e.exit("tableCellDivider"),g):n(t)}function _(t){return e.exit("tableDelimiterRow"),s&&u===l.length?null===t?x(t):e.check(o,x,k)(t):n(t)}function x(n){return e.exit("table"),t(n)}function k(t){return e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),r(e,E,"linePrefix",4)}function E(t){return e.enter("tableBody"),C(t)}function C(t){return e.enter("tableRow"),124===t?S(t):(e.enter("temporaryTableCellContent"),O(t))}function S(t){return e.enter("tableCellDivider"),e.consume(t),e.exit("tableCellDivider"),A}function A(t){return null===t||-5===t||-4===t||-3===t?function(t){return e.exit("tableRow"),null===t?D(t):e.check(o,D,R)(t)}(t):-2===t||-1===t||32===t?(e.enter("whitespace"),e.consume(t),T):124===t?S(t):(e.enter("temporaryTableCellContent"),O(t))}function T(t){return-2===t||-1===t||32===t?(e.consume(t),T):(e.exit("whitespace"),A(t))}function O(t){return null===t||t<0||32===t||124===t?(e.exit("temporaryTableCellContent"),A(t)):(e.consume(t),92===t?P:O)}function P(t){return 92===t||124===t?(e.consume(t),O):O(t)}function D(t){return e.exit("tableBody"),x(t)}function R(t){return e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),r(e,C,"linePrefix",4)}},resolve:function(e,t){for(var n,r,i,o,a,s,l,u,c,d,p=e.length,f=-1;++f<p;)n=e[f][1],o&&("temporaryTableCellContent"===n.type&&(u=u||f,c=f),"tableCellDivider"!==n.type&&"tableRow"!==n.type||!c||(l={type:"chunkText",start:(s={type:"tableContent",start:e[u][1].start,end:e[c][1].end}).start,end:s.end,contentType:"text"},e.splice(u,c-u+1,["enter",s,t],["enter",l,t],["exit",l,t],["exit",s,t]),f-=c-u-3,p=e.length,u=void 0,c=void 0)),"exit"===e[f][0]&&d&&d+1<f&&("tableCellDivider"===n.type||"tableRow"===n.type&&(d+3<f||"whitespace"!==e[d][1].type))&&(a={type:i?"tableDelimiter":r?"tableHeader":"tableData",start:e[d][1].start,end:e[f][1].end},e.splice(f+("tableCellDivider"===n.type?1:0),0,["exit",a,t]),e.splice(d,0,["enter",a,t]),f+=2,p=e.length,d=f+1),"tableRow"===n.type&&(o="enter"===e[f][0])&&(d=f+1),"tableDelimiterRow"===n.type&&(i="enter"===e[f][0])&&(d=f+1),"tableHead"===n.type&&(r="enter"===e[f][0]);return e},interruptible:!0}};var r=n(32397),i={tokenize:function(e,t,n){return function(t){return 45!==t?n(t):(e.enter("setextUnderline"),r(t))};function r(t){return 45===t?(e.consume(t),r):i(t)}function i(r){return-2===r||-1===r||32===r?(e.consume(r),i):null===r||-5===r||-4===r||-3===r?t(r):n(r)}},partial:!0},o={tokenize:function(e,t,n){var r=0;return function(t){return e.enter("check"),e.consume(t),i};function i(o){return-1===o||32===o?(e.consume(o),4==++r?t:i):null===o||o<0?t(o):n(o)}},partial:!0}},502:(e,t,n)=>{e.exports=n(38750)},38750:(e,t,n)=>{var r=n(21551),i=n(32397),o=n(24722),a={tokenize:function(e,t,n){var r=this;return function(t){return 91===t&&null===r.previous&&r._gfmTasklistFirstContentOfListItem?(e.enter("taskListCheck"),e.enter("taskListCheckMarker"),e.consume(t),e.exit("taskListCheckMarker"),i):n(t)};function i(t){return-2===t||32===t?(e.enter("taskListCheckValueUnchecked"),e.consume(t),e.exit("taskListCheckValueUnchecked"),o):88===t||120===t?(e.enter("taskListCheckValueChecked"),e.consume(t),e.exit("taskListCheckValueChecked"),o):n(t)}function o(r){return 93===r?(e.enter("taskListCheckMarker"),e.consume(r),e.exit("taskListCheckMarker"),e.exit("taskListCheck"),e.check({tokenize:s},t,n)):n(r)}}};function s(e,t,n){var a=this;return i(e,(function(e){return o(a.events,"whitespace")&&null!==e&&!r(e)?t(e):n(e)}),"whitespace")}t.text={91:a}},53977:(e,t,n)=>{e.exports=n(85884)},85884:(e,t,n)=>{var r=n(84e3),i=n(73603),o=n(12922),a=n(23858),s=n(502);e.exports=function(e){return r([i,o(e),a,s])}},65845:(e,t,n)=>{"use strict";var r=n(43744)(/[A-Za-z]/);e.exports=r},44355:(e,t,n)=>{"use strict";var r=n(43744)(/[\dA-Za-z]/);e.exports=r},11465:(e,t,n)=>{"use strict";var r=n(43744)(/[#-'*+\--9=?A-Z^-~]/);e.exports=r},40883:e=>{"use strict";e.exports=function(e){return e<32||127===e}},41687:(e,t,n)=>{"use strict";var r=n(43744)(/\d/);e.exports=r},27855:(e,t,n)=>{"use strict";var r=n(43744)(/[\dA-Fa-f]/);e.exports=r},858:(e,t,n)=>{"use strict";var r=n(43744)(/[!-/:-@[-`{-~]/);e.exports=r},21551:e=>{"use strict";e.exports=function(e){return e<0||32===e}},72658:e=>{"use strict";e.exports=function(e){return e<-2}},41727:e=>{"use strict";e.exports=function(e){return-2===e||-1===e||32===e}},27079:(e,t,n)=>{"use strict";var r=n(1837),i=n(43744)(r);e.exports=i},3081:(e,t,n)=>{"use strict";var r=n(43744)(/\s/);e.exports=r},38492:e=>{"use strict";var t=Object.assign;e.exports=t},2756:e=>{"use strict";var t=String.fromCharCode;e.exports=t},52686:e=>{"use strict";var t={}.hasOwnProperty;e.exports=t},87899:e=>{"use strict";e.exports=["address","article","aside","base","basefont","blockquote","body","caption","center","col","colgroup","dd","details","dialog","dir","div","dl","dt","fieldset","figcaption","figure","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hr","html","iframe","legend","li","link","main","menu","menuitem","nav","noframes","ol","optgroup","option","p","param","section","source","summary","table","tbody","td","tfoot","th","thead","title","tr","track","ul"]},83206:e=>{"use strict";e.exports=["pre","script","style","textarea"]},36139:e=>{"use strict";var t=[].splice;e.exports=t},1837:e=>{"use strict";e.exports=/[!-\/:-@\[-`\{-~\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u09FD\u0A76\u0AF0\u0C77\u0C84\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E4F\u2E52\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]/},88731:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(73354),i=n(74818),o=n(93339),a=n(55597),s=n(74512),l=n(57885),u=n(45396),c=n(28350),d=n(40330),p=n(54953),f=n(74649),h=n(23271),m=n(11217),g=n(21254),v=n(73946),y=n(3216),b=n(71979),w=n(61692),_=n(49393),x=n(77293),k=n(99669),E={42:_,43:_,45:_,48:_,49:_,50:_,51:_,52:_,53:_,54:_,55:_,56:_,57:_,62:a},C={91:p},S={"-2":c,"-1":c,32:c},A={35:h,42:k,45:[x,k],60:m,61:x,95:k,96:u,126:u},T={38:l,92:s},O={"-5":w,"-4":w,"-3":w,33:y,38:l,42:i,60:[o,g],91:b,92:[f,s],93:v,95:i,96:d},P={null:[i,r.resolver]};t.contentInitial=C,t.disable={null:[]},t.document=E,t.flow=A,t.flowInitial=S,t.insideSpan=P,t.string=T,t.text=O},11022:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(72658),i=n(32397);t.tokenize=function(e){var t,n=e.attempt(this.parser.constructs.contentInitial,(function(t){if(null!==t)return e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),i(e,n,"linePrefix");e.consume(t)}),(function(t){return e.enter("paragraph"),o(t)}));return n;function o(n){var r=e.enter("chunkText",{contentType:"text",previous:t});return t&&(t.next=r),t=r,a(n)}function a(t){return null===t?(e.exit("chunkText"),e.exit("paragraph"),void e.consume(t)):r(t)?(e.consume(t),e.exit("chunkText"),o):(e.consume(t),a)}}},10722:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(72658),i=n(32397),o=n(3645),a={tokenize:function(e,t,n){return i(e,e.attempt(this.parser.constructs.document,t,n),"linePrefix",this.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:4)}},s={tokenize:function(e,t,n){return i(e,e.lazy(this.parser.constructs.flow,t,n),"linePrefix",this.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:4)}};t.tokenize=function(e){var t,n,i,l=this,u=[],c=0,d={tokenize:function(e,r){var i=0;return t={},c;function c(r){return i<u.length?(l.containerState=u[i][1],e.attempt(u[i][0].continuation,d,p)(r)):n.currentConstruct&&n.currentConstruct.concrete?(t.flowContinue=!0,m(r)):(l.interrupt=n.currentConstruct&&n.currentConstruct.interruptible,l.containerState={},e.attempt(a,h,m)(r))}function d(e){return i++,l.containerState._closeFlow?h(e):c(e)}function p(t){return n.currentConstruct&&n.currentConstruct.lazy?(l.containerState={},e.attempt(a,h,e.attempt(s,h,e.check(o,h,f)))(t)):h(t)}function f(e){return i=u.length,t.lazy=!0,t.flowContinue=!0,m(e)}function h(e){return t.flowEnd=!0,m(e)}function m(e){return t.continued=i,l.interrupt=l.containerState=void 0,r(e)}},partial:!0};return p;function p(t){return c<u.length?(l.containerState=u[c][1],e.attempt(u[c][0].continuation,f,h)(t)):h(t)}function f(e){return c++,p(e)}function h(r){return t&&t.flowContinue?g(r):(l.interrupt=n&&n.currentConstruct&&n.currentConstruct.interruptible,l.containerState={},e.attempt(a,m,g)(r))}function m(e){return u.push([l.currentConstruct,l.containerState]),l.containerState=void 0,h(e)}function g(t){return null===t?(w(0,!0),void e.consume(t)):(n=n||l.parser.flow(l.now()),e.enter("chunkFlow",{contentType:"flow",previous:i,_tokenizer:n}),v(t))}function v(t){return null===t?(b(e.exit("chunkFlow")),g(t)):r(t)?(e.consume(t),b(e.exit("chunkFlow")),e.check(d,y)):(e.consume(t),v)}function y(e){return w(t.continued,t&&t.flowEnd),c=0,p(e)}function b(e){i&&(i.next=e),i=e,n.lazy=t&&t.lazy,n.defineSkip(e.start),n.write(l.sliceStream(e))}function w(t,r){var o=u.length;for(n&&r&&(n.write([null]),i=n=void 0);o-- >t;)l.containerState=u[o][1],u[o][0].exit.call(l,e);u.length=t}}},70019:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(42463),i=n(32397),o=n(3645);t.tokenize=function(e){var t=this,n=e.attempt(o,(function(r){if(null!==r)return e.enter("lineEndingBlank"),e.consume(r),e.exit("lineEndingBlank"),t.currentConstruct=void 0,n;e.consume(r)}),e.attempt(this.parser.constructs.flowInitial,a,i(e,e.attempt(this.parser.constructs.flow,a,e.attempt(r,a)),"linePrefix")));return n;function a(r){if(null!==r)return e.enter("lineEnding"),e.consume(r),e.exit("lineEnding"),t.currentConstruct=void 0,n;e.consume(r)}}},73354:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(38492),i=n(9085),o=l("text"),a=l("string"),s={resolveAll:u()};function l(e){return{tokenize:function(t){var n=this,r=this.parser.constructs[e],i=t.attempt(r,o,a);return o;function o(e){return l(e)?i(e):a(e)}function a(e){if(null!==e)return t.enter("data"),t.consume(e),s;t.consume(e)}function s(e){return l(e)?(t.exit("data"),i(e)):(t.consume(e),s)}function l(e){var t=r[e],i=-1;if(null===e)return!0;if(t)for(;++i<t.length;)if(!t[i].previous||t[i].previous.call(n,n.previous))return!0}},resolveAll:u("text"===e?c:void 0)}}function u(e){return function(t,n){for(var r,i=-1;++i<=t.length;)void 0===r?t[i]&&"data"===t[i][1].type&&(r=i,i++):t[i]&&"data"===t[i][1].type||(i!==r+2&&(t[r][1].end=t[i-1][1].end,t.splice(r+2,i-r-2),i=r+2),r=void 0);return e?e(t,n):t}}function c(e,t){for(var n,o,a,s,l,u,c,d,p=-1;++p<=e.length;)if((p===e.length||"lineEnding"===e[p][1].type)&&"data"===e[p-1][1].type){for(o=e[p-1][1],s=(n=t.sliceStream(o)).length,l=-1,u=0,c=void 0;s--;)if("string"==typeof(a=n[s])){for(l=a.length;32===a.charCodeAt(l-1);)u++,l--;if(l)break;l=-1}else if(-2===a)c=!0,u++;else if(-1!==a){s++;break}u&&(d={type:p===e.length||c||u<2?"lineSuffix":"hardBreakTrailing",start:{line:o.end.line,column:o.end.column-u,offset:o.end.offset-u,_index:o.start._index+s,_bufferIndex:s?l:o.start._bufferIndex+l},end:i(o.end)},o.end=i(d.start),o.start.offset===o.end.offset?r(o,d):(e.splice(p,0,["enter",d,t],["exit",d,t]),p+=2)),p++}return e}t.resolver=s,t.string=a,t.text=o},87572:(e,t,n)=>{"use strict";var r=n(11022),i=n(10722),o=n(70019),a=n(73354),s=n(84e3),l=n(29117),u=n(338),c=n(88731);e.exports=function(e){var t={defined:[],constructs:s([c].concat(u((e||{}).extensions))),content:n(r),document:n(i),flow:n(o),string:n(a.string),text:n(a.text)};return t;function n(e){return function(n){return l(t,e,n)}}}},17708:(e,t,n)=>{"use strict";var r=n(96548);e.exports=function(e){for(;!r(e););return e}},23294:e=>{"use strict";var t=/[\0\t\n\r]/g;e.exports=function(){var e,n=!0,r=1,i="";return function(o,a,s){var l,u,c,d,p,f=[];for(o=i+o.toString(a),c=0,i="",n&&(65279===o.charCodeAt(0)&&c++,n=void 0);c<o.length;){if(t.lastIndex=c,d=(l=t.exec(o))?l.index:o.length,p=o.charCodeAt(d),!l){i=o.slice(c);break}if(10===p&&c===d&&e)f.push(-3),e=void 0;else if(e&&(f.push(-5),e=void 0),c<d&&(f.push(o.slice(c,d)),r+=d-c),0===p)f.push(65533),r++;else if(9===p)for(u=4*Math.ceil(r/4),f.push(-2);r++<u;)f.push(-1);else 10===p?(f.push(-4),r=1):(e=!0,r=1);c=d+1}return s&&(e&&f.push(-5),i&&f.push(i),f.push(null)),f}}},74818:(e,t,n)=>{"use strict";var r=n(94363),i=n(43416),o=n(83585),a=n(2141),s=n(12869),l=n(9085),u={name:"attention",tokenize:function(e,t){var n,r=o(this.previous);return function(t){return e.enter("attentionSequence"),n=t,i(t)};function i(a){var s,l,u,c;return a===n?(e.consume(a),i):(s=e.exit("attentionSequence"),u=!(l=o(a))||2===l&&r,c=!r||2===r&&l,s._open=42===n?u:u&&(r||!c),s._close=42===n?c:c&&(l||!u),t(a))}},resolveAll:function(e,t){for(var n,o,u,c,d,p,f,h,m=-1;++m<e.length;)if("enter"===e[m][0]&&"attentionSequence"===e[m][1].type&&e[m][1]._close)for(n=m;n--;)if("exit"===e[n][0]&&"attentionSequence"===e[n][1].type&&e[n][1]._open&&t.sliceSerialize(e[n][1]).charCodeAt(0)===t.sliceSerialize(e[m][1]).charCodeAt(0)){if((e[n][1]._close||e[m][1]._open)&&(e[m][1].end.offset-e[m][1].start.offset)%3&&!((e[n][1].end.offset-e[n][1].start.offset+e[m][1].end.offset-e[m][1].start.offset)%3))continue;c={type:(p=e[n][1].end.offset-e[n][1].start.offset>1&&e[m][1].end.offset-e[m][1].start.offset>1?2:1)>1?"strongSequence":"emphasisSequence",start:a(l(e[n][1].end),-p),end:l(e[n][1].end)},d={type:p>1?"strongSequence":"emphasisSequence",start:l(e[m][1].start),end:a(l(e[m][1].start),p)},u={type:p>1?"strongText":"emphasisText",start:l(e[n][1].end),end:l(e[m][1].start)},o={type:p>1?"strong":"emphasis",start:l(c.start),end:l(d.end)},e[n][1].end=l(c.start),e[m][1].start=l(d.end),f=[],e[n][1].end.offset-e[n][1].start.offset&&(f=r(f,[["enter",e[n][1],t],["exit",e[n][1],t]])),f=r(f,[["enter",o,t],["enter",c,t],["exit",c,t],["enter",u,t]]),f=r(f,s(t.parser.constructs.insideSpan.null,e.slice(n+1,m),t)),f=r(f,[["exit",u,t],["enter",d,t],["exit",d,t],["exit",o,t]]),e[m][1].end.offset-e[m][1].start.offset?(h=2,f=r(f,[["enter",e[m][1],t],["exit",e[m][1],t]])):h=0,i(e,n-1,m-n+3,f),m=n+f.length-h-2;break}for(m=-1;++m<e.length;)"attentionSequence"===e[m][1].type&&(e[m][1].type="data");return e}};e.exports=u},93339:(e,t,n)=>{"use strict";var r=n(65845),i=n(44355),o=n(11465),a=n(40883),s={name:"autolink",tokenize:function(e,t,n){var s=1;return function(t){return e.enter("autolink"),e.enter("autolinkMarker"),e.consume(t),e.exit("autolinkMarker"),e.enter("autolinkProtocol"),l};function l(t){return r(t)?(e.consume(t),u):o(t)?p(t):n(t)}function u(e){return 43===e||45===e||46===e||i(e)?c(e):p(e)}function c(t){return 58===t?(e.consume(t),d):(43===t||45===t||46===t||i(t))&&s++<32?(e.consume(t),c):p(t)}function d(t){return 62===t?(e.exit("autolinkProtocol"),g(t)):32===t||60===t||a(t)?n(t):(e.consume(t),d)}function p(t){return 64===t?(e.consume(t),s=0,f):o(t)?(e.consume(t),p):n(t)}function f(e){return i(e)?h(e):n(e)}function h(t){return 46===t?(e.consume(t),s=0,f):62===t?(e.exit("autolinkProtocol").type="autolinkEmail",g(t)):m(t)}function m(t){return(45===t||i(t))&&s++<63?(e.consume(t),45===t?m:h):n(t)}function g(n){return e.enter("autolinkMarker"),e.consume(n),e.exit("autolinkMarker"),e.exit("autolink"),t}}};e.exports=s},55597:(e,t,n)=>{"use strict";var r=n(41727),i=n(32397),o={name:"blockQuote",tokenize:function(e,t,n){var i=this;return function(t){return 62===t?(i.containerState.open||(e.enter("blockQuote",{_container:!0}),i.containerState.open=!0),e.enter("blockQuotePrefix"),e.enter("blockQuoteMarker"),e.consume(t),e.exit("blockQuoteMarker"),o):n(t)};function o(n){return r(n)?(e.enter("blockQuotePrefixWhitespace"),e.consume(n),e.exit("blockQuotePrefixWhitespace"),e.exit("blockQuotePrefix"),t):(e.exit("blockQuotePrefix"),t(n))}},continuation:{tokenize:function(e,t,n){return i(e,e.attempt(o,t,n),"linePrefix",this.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:4)}},exit:function(e){e.exit("blockQuote")}};e.exports=o},74512:(e,t,n)=>{"use strict";var r=n(858),i={name:"characterEscape",tokenize:function(e,t,n){return function(t){return e.enter("characterEscape"),e.enter("escapeMarker"),e.consume(t),e.exit("escapeMarker"),i};function i(i){return r(i)?(e.enter("characterEscapeValue"),e.consume(i),e.exit("characterEscapeValue"),e.exit("characterEscape"),t):n(i)}}};e.exports=i},57885:(e,t,n)=>{"use strict";var r=n(68108),i=n(44355),o=n(41687),a=n(27855);function s(e){return e&&"object"==typeof e&&"default"in e?e:{default:e}}var l=s(r),u={name:"characterReference",tokenize:function(e,t,n){var r,s,u=this,c=0;return function(t){return e.enter("characterReference"),e.enter("characterReferenceMarker"),e.consume(t),e.exit("characterReferenceMarker"),d};function d(t){return 35===t?(e.enter("characterReferenceMarkerNumeric"),e.consume(t),e.exit("characterReferenceMarkerNumeric"),p):(e.enter("characterReferenceValue"),r=31,s=i,f(t))}function p(t){return 88===t||120===t?(e.enter("characterReferenceMarkerHexadecimal"),e.consume(t),e.exit("characterReferenceMarkerHexadecimal"),e.enter("characterReferenceValue"),r=6,s=a,f):(e.enter("characterReferenceValue"),r=7,s=o,f(t))}function f(o){var a;return 59===o&&c?(a=e.exit("characterReferenceValue"),s!==i||l.default(u.sliceSerialize(a))?(e.enter("characterReferenceMarker"),e.consume(o),e.exit("characterReferenceMarker"),e.exit("characterReference"),t):n(o)):s(o)&&c++<r?(e.consume(o),f):n(o)}}};e.exports=u},45396:(e,t,n)=>{"use strict";var r=n(72658),i=n(21551),o=n(24722),a=n(32397),s={name:"codeFenced",tokenize:function(e,t,n){var s,l=this,u={tokenize:function(e,t,n){var i=0;return a(e,(function(t){return e.enter("codeFencedFence"),e.enter("codeFencedFenceSequence"),o(t)}),"linePrefix",this.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:4);function o(t){return t===s?(e.consume(t),i++,o):i<d?n(t):(e.exit("codeFencedFenceSequence"),a(e,l,"whitespace")(t))}function l(i){return null===i||r(i)?(e.exit("codeFencedFence"),t(i)):n(i)}},partial:!0},c=o(this.events,"linePrefix"),d=0;return function(t){return e.enter("codeFenced"),e.enter("codeFencedFence"),e.enter("codeFencedFenceSequence"),s=t,p(t)};function p(t){return t===s?(e.consume(t),d++,p):(e.exit("codeFencedFenceSequence"),d<3?n(t):a(e,f,"whitespace")(t))}function f(t){return null===t||r(t)?v(t):(e.enter("codeFencedFenceInfo"),e.enter("chunkString",{contentType:"string"}),h(t))}function h(t){return null===t||i(t)?(e.exit("chunkString"),e.exit("codeFencedFenceInfo"),a(e,m,"whitespace")(t)):96===t&&t===s?n(t):(e.consume(t),h)}function m(t){return null===t||r(t)?v(t):(e.enter("codeFencedFenceMeta"),e.enter("chunkString",{contentType:"string"}),g(t))}function g(t){return null===t||r(t)?(e.exit("chunkString"),e.exit("codeFencedFenceMeta"),v(t)):96===t&&t===s?n(t):(e.consume(t),g)}function v(n){return e.exit("codeFencedFence"),l.interrupt?t(n):y(n)}function y(t){return null===t?w(t):r(t)?(e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),e.attempt(u,w,c?a(e,y,"linePrefix",c+1):y)):(e.enter("codeFlowValue"),b(t))}function b(t){return null===t||r(t)?(e.exit("codeFlowValue"),y(t)):(e.consume(t),b)}function w(n){return e.exit("codeFenced"),t(n)}},concrete:!0};e.exports=s},28350:(e,t,n)=>{"use strict";var r=n(72658),i=n(43416),o=n(24722),a=n(32397),s={name:"codeIndented",tokenize:function(e,t,n){return e.attempt(l,i,n);function i(n){return null===n?t(n):r(n)?e.attempt(l,i,t)(n):(e.enter("codeFlowValue"),o(n))}function o(t){return null===t||r(t)?(e.exit("codeFlowValue"),i(t)):(e.consume(t),o)}},resolve:function(e,t){var n={type:"codeIndented",start:e[0][1].start,end:e[e.length-1][1].end};return i(e,0,0,[["enter",n,t]]),i(e,e.length,0,[["exit",n,t]]),e}},l={tokenize:function(e,t,n){var i=this;return a(e,(function s(l){return r(l)?(e.enter("lineEnding"),e.consume(l),e.exit("lineEnding"),a(e,s,"linePrefix",5)):o(i.events,"linePrefix")<4?n(l):t(l)}),"linePrefix",5)},partial:!0};e.exports=s},40330:(e,t,n)=>{"use strict";var r=n(72658),i={name:"codeText",tokenize:function(e,t,n){var i,o,a=0;return function(t){return e.enter("codeText"),e.enter("codeTextSequence"),s(t)};function s(t){return 96===t?(e.consume(t),a++,s):(e.exit("codeTextSequence"),l(t))}function l(t){return null===t?n(t):96===t?(o=e.enter("codeTextSequence"),i=0,c(t)):32===t?(e.enter("space"),e.consume(t),e.exit("space"),l):r(t)?(e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),l):(e.enter("codeTextData"),u(t))}function u(t){return null===t||32===t||96===t||r(t)?(e.exit("codeTextData"),l(t)):(e.consume(t),u)}function c(n){return 96===n?(e.consume(n),i++,c):i===a?(e.exit("codeTextSequence"),e.exit("codeText"),t(n)):(o.type="codeTextData",u(n))}},resolve:function(e){var t,n,r=e.length-4,i=3;if(!("lineEnding"!==e[i][1].type&&"space"!==e[i][1].type||"lineEnding"!==e[r][1].type&&"space"!==e[r][1].type))for(t=i;++t<r;)if("codeTextData"===e[t][1].type){e[r][1].type=e[i][1].type="codeTextPadding",i+=2,r-=2;break}for(t=i-1,r++;++t<=r;)void 0===n?t!==r&&"lineEnding"!==e[t][1].type&&(n=t):t!==r&&"lineEnding"!==e[t][1].type||(e[n][1].type="codeTextData",t!==n+2&&(e[n][1].end=e[t-1][1].end,e.splice(n+2,t-n-2),r-=t-n-2,t=n+2),n=void 0);return e},previous:function(e){return 96!==e||"characterEscape"===this.events[this.events.length-1][1].type}};e.exports=i},42463:(e,t,n)=>{"use strict";var r=n(72658),i=n(24722),o=n(96548),a=n(32397),s={tokenize:function(e,t){var n;return function(t){return e.enter("content"),n=e.enter("chunkContent",{contentType:"content"}),i(t)};function i(t){return null===t?o(t):r(t)?e.check(l,a,o)(t):(e.consume(t),i)}function o(n){return e.exit("chunkContent"),e.exit("content"),t(n)}function a(t){return e.consume(t),e.exit("chunkContent"),n=n.next=e.enter("chunkContent",{contentType:"content",previous:n}),i}},resolve:function(e){return o(e),e},interruptible:!0,lazy:!0},l={tokenize:function(e,t,n){var o=this;return function(t){return e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),a(e,s,"linePrefix")};function s(a){return null===a||r(a)?n(a):o.parser.constructs.disable.null.indexOf("codeIndented")>-1||i(o.events,"linePrefix")<4?e.interrupt(o.parser.constructs.flow,n,t)(a):t(a)}},partial:!0};e.exports=s},54953:(e,t,n)=>{"use strict";var r=n(72658),i=n(21551),o=n(3797),a=n(29145),s=n(64202),l=n(32397),u=n(70653),c=n(96894),d={name:"definition",tokenize:function(e,t,n){var i,c=this;return function(t){return e.enter("definition"),s.call(c,e,d,n,"definitionLabel","definitionLabelMarker","definitionLabelString")(t)};function d(t){return i=o(c.sliceSerialize(c.events[c.events.length-1][1]).slice(1,-1)),58===t?(e.enter("definitionMarker"),e.consume(t),e.exit("definitionMarker"),u(e,a(e,e.attempt(p,l(e,f,"whitespace"),l(e,f,"whitespace")),n,"definitionDestination","definitionDestinationLiteral","definitionDestinationLiteralMarker","definitionDestinationRaw","definitionDestinationString"))):n(t)}function f(o){return null===o||r(o)?(e.exit("definition"),c.parser.defined.indexOf(i)<0&&c.parser.defined.push(i),t(o)):n(o)}}},p={tokenize:function(e,t,n){return function(t){return i(t)?u(e,o)(t):n(t)};function o(t){return 34===t||39===t||40===t?c(e,l(e,a,"whitespace"),n,"definitionTitle","definitionTitleMarker","definitionTitleString")(t):n(t)}function a(e){return null===e||r(e)?t(e):n(e)}},partial:!0};e.exports=d},29145:(e,t,n)=>{"use strict";var r=n(40883),i=n(21551),o=n(72658);e.exports=function(e,t,n,a,s,l,u,c,d){var p=d||1/0,f=0;return function(t){return 60===t?(e.enter(a),e.enter(s),e.enter(l),e.consume(t),e.exit(l),h):r(t)||41===t?n(t):(e.enter(a),e.enter(u),e.enter(c),e.enter("chunkString",{contentType:"string"}),v(t))};function h(n){return 62===n?(e.enter(l),e.consume(n),e.exit(l),e.exit(s),e.exit(a),t):(e.enter(c),e.enter("chunkString",{contentType:"string"}),m(n))}function m(t){return 62===t?(e.exit("chunkString"),e.exit(c),h(t)):null===t||60===t||o(t)?n(t):(e.consume(t),92===t?g:m)}function g(t){return 60===t||62===t||92===t?(e.consume(t),m):m(t)}function v(o){return 40===o?++f>p?n(o):(e.consume(o),v):41===o?f--?(e.consume(o),v):(e.exit("chunkString"),e.exit(c),e.exit(u),e.exit(a),t(o)):null===o||i(o)?f?n(o):(e.exit("chunkString"),e.exit(c),e.exit(u),e.exit(a),t(o)):r(o)?n(o):(e.consume(o),92===o?y:v)}function y(t){return 40===t||41===t||92===t?(e.consume(t),v):v(t)}}},64202:(e,t,n)=>{"use strict";var r=n(72658),i=n(41727);e.exports=function(e,t,n,o,a,s){var l,u=this,c=0;return function(t){return e.enter(o),e.enter(a),e.consume(t),e.exit(a),e.enter(s),d};function d(i){return null===i||91===i||93===i&&!l||94===i&&!c&&"_hiddenFootnoteSupport"in u.parser.constructs||c>999?n(i):93===i?(e.exit(s),e.enter(a),e.consume(i),e.exit(a),e.exit(o),t):r(i)?(e.enter("lineEnding"),e.consume(i),e.exit("lineEnding"),d):(e.enter("chunkString",{contentType:"string"}),p(i))}function p(t){return null===t||91===t||93===t||r(t)||c++>999?(e.exit("chunkString"),d(t)):(e.consume(t),l=l||!i(t),92===t?f:p)}function f(t){return 91===t||92===t||93===t?(e.consume(t),c++,p):p(t)}}},32397:(e,t,n)=>{"use strict";var r=n(41727);e.exports=function(e,t,n,i){var o=i?i-1:1/0,a=0;return function(i){return r(i)?(e.enter(n),s(i)):t(i)};function s(i){return r(i)&&a++<o?(e.consume(i),s):(e.exit(n),t(i))}}},96894:(e,t,n)=>{"use strict";var r=n(72658),i=n(32397);e.exports=function(e,t,n,o,a,s){var l;return function(t){return e.enter(o),e.enter(a),e.consume(t),e.exit(a),l=40===t?41:t,u};function u(n){return n===l?(e.enter(a),e.consume(n),e.exit(a),e.exit(o),t):(e.enter(s),c(n))}function c(t){return t===l?(e.exit(s),u(l)):null===t?n(t):r(t)?(e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),i(e,c,"linePrefix")):(e.enter("chunkString",{contentType:"string"}),d(t))}function d(t){return t===l||null===t||r(t)?(e.exit("chunkString"),c(t)):(e.consume(t),92===t?p:d)}function p(t){return t===l||92===t?(e.consume(t),d):d(t)}}},70653:(e,t,n)=>{"use strict";var r=n(72658),i=n(41727),o=n(32397);e.exports=function(e,t){var n;return function a(s){return r(s)?(e.enter("lineEnding"),e.consume(s),e.exit("lineEnding"),n=!0,a):i(s)?o(e,a,n?"linePrefix":"lineSuffix")(s):t(s)}}},74649:(e,t,n)=>{"use strict";var r=n(72658),i={name:"hardBreakEscape",tokenize:function(e,t,n){return function(t){return e.enter("hardBreakEscape"),e.enter("escapeMarker"),e.consume(t),i};function i(i){return r(i)?(e.exit("escapeMarker"),e.exit("hardBreakEscape"),t(i)):n(i)}}};e.exports=i},23271:(e,t,n)=>{"use strict";var r=n(72658),i=n(21551),o=n(41727),a=n(43416),s=n(32397),l={name:"headingAtx",tokenize:function(e,t,n){var a=this,l=0;return function(t){return e.enter("atxHeading"),e.enter("atxHeadingSequence"),u(t)};function u(r){return 35===r&&l++<6?(e.consume(r),u):null===r||i(r)?(e.exit("atxHeadingSequence"),a.interrupt?t(r):c(r)):n(r)}function c(n){return 35===n?(e.enter("atxHeadingSequence"),d(n)):null===n||r(n)?(e.exit("atxHeading"),t(n)):o(n)?s(e,c,"whitespace")(n):(e.enter("atxHeadingText"),p(n))}function d(t){return 35===t?(e.consume(t),d):(e.exit("atxHeadingSequence"),c(t))}function p(t){return null===t||35===t||i(t)?(e.exit("atxHeadingText"),c(t)):(e.consume(t),p)}},resolve:function(e,t){var n,r,i=e.length-2,o=3;return"whitespace"===e[o][1].type&&(o+=2),i-2>o&&"whitespace"===e[i][1].type&&(i-=2),"atxHeadingSequence"===e[i][1].type&&(o===i-1||i-4>o&&"whitespace"===e[i-2][1].type)&&(i-=o+1===i?2:4),i>o&&(n={type:"atxHeadingText",start:e[o][1].start,end:e[i][1].end},r={type:"chunkText",start:e[o][1].start,end:e[i][1].end,contentType:"text"},a(e,o,i-o+1,[["enter",n,t],["enter",r,t],["exit",r,t],["exit",n,t]])),e}};e.exports=l},11217:(e,t,n)=>{"use strict";var r=n(65845),i=n(44355),o=n(72658),a=n(21551),s=n(41727),l=n(2756),u=n(87899),c=n(83206),d=n(3645),p={name:"htmlFlow",tokenize:function(e,t,n){var d,p,h,m,g,v=this;return function(t){return e.enter("htmlFlow"),e.enter("htmlFlowData"),e.consume(t),y};function y(i){return 33===i?(e.consume(i),b):47===i?(e.consume(i),x):63===i?(e.consume(i),d=3,v.interrupt?t:q):r(i)?(e.consume(i),h=l(i),p=!0,k):n(i)}function b(i){return 45===i?(e.consume(i),d=2,w):91===i?(e.consume(i),d=5,h="CDATA[",m=0,_):r(i)?(e.consume(i),d=4,v.interrupt?t:q):n(i)}function w(r){return 45===r?(e.consume(r),v.interrupt?t:q):n(r)}function _(r){return r===h.charCodeAt(m++)?(e.consume(r),m===h.length?v.interrupt?t:L:_):n(r)}function x(t){return r(t)?(e.consume(t),h=l(t),k):n(t)}function k(r){return null===r||47===r||62===r||a(r)?47!==r&&p&&c.indexOf(h.toLowerCase())>-1?(d=1,v.interrupt?t(r):L(r)):u.indexOf(h.toLowerCase())>-1?(d=6,47===r?(e.consume(r),E):v.interrupt?t(r):L(r)):(d=7,v.interrupt?n(r):p?S(r):C(r)):45===r||i(r)?(e.consume(r),h+=l(r),k):n(r)}function E(r){return 62===r?(e.consume(r),v.interrupt?t:L):n(r)}function C(t){return s(t)?(e.consume(t),C):I(t)}function S(t){return 47===t?(e.consume(t),I):58===t||95===t||r(t)?(e.consume(t),A):s(t)?(e.consume(t),S):I(t)}function A(t){return 45===t||46===t||58===t||95===t||i(t)?(e.consume(t),A):T(t)}function T(t){return 61===t?(e.consume(t),O):s(t)?(e.consume(t),T):S(t)}function O(t){return null===t||60===t||61===t||62===t||96===t?n(t):34===t||39===t?(e.consume(t),g=t,P):s(t)?(e.consume(t),O):(g=void 0,D(t))}function P(t){return t===g?(e.consume(t),R):null===t||o(t)?n(t):(e.consume(t),P)}function D(t){return null===t||34===t||39===t||60===t||61===t||62===t||96===t||a(t)?T(t):(e.consume(t),D)}function R(e){return 47===e||62===e||s(e)?S(e):n(e)}function I(t){return 62===t?(e.consume(t),M):n(t)}function M(t){return s(t)?(e.consume(t),M):null===t||o(t)?L(t):n(t)}function L(t){return 45===t&&2===d?(e.consume(t),F):60===t&&1===d?(e.consume(t),B):62===t&&4===d?(e.consume(t),$):63===t&&3===d?(e.consume(t),q):93===t&&5===d?(e.consume(t),U):!o(t)||6!==d&&7!==d?null===t||o(t)?N(t):(e.consume(t),L):e.check(f,$,N)(t)}function N(t){return e.exit("htmlFlowData"),j(t)}function j(t){return null===t?W(t):o(t)?(e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),j):(e.enter("htmlFlowData"),L(t))}function F(t){return 45===t?(e.consume(t),q):L(t)}function B(t){return 47===t?(e.consume(t),h="",z):L(t)}function z(t){return 62===t&&c.indexOf(h.toLowerCase())>-1?(e.consume(t),$):r(t)&&h.length<8?(e.consume(t),h+=l(t),z):L(t)}function U(t){return 93===t?(e.consume(t),q):L(t)}function q(t){return 62===t?(e.consume(t),$):L(t)}function $(t){return null===t||o(t)?(e.exit("htmlFlowData"),W(t)):(e.consume(t),$)}function W(n){return e.exit("htmlFlow"),t(n)}},resolveTo:function(e){for(var t=e.length;t--&&("enter"!==e[t][0]||"htmlFlow"!==e[t][1].type););return t>1&&"linePrefix"===e[t-2][1].type&&(e[t][1].start=e[t-2][1].start,e[t+1][1].start=e[t-2][1].start,e.splice(t-2,2)),e},concrete:!0},f={tokenize:function(e,t,n){return function(r){return e.exit("htmlFlowData"),e.enter("lineEndingBlank"),e.consume(r),e.exit("lineEndingBlank"),e.attempt(d,t,n)}},partial:!0};e.exports=p},21254:(e,t,n)=>{"use strict";var r=n(65845),i=n(44355),o=n(72658),a=n(21551),s=n(41727),l=n(32397),u={name:"htmlText",tokenize:function(e,t,n){var u,c,d,p,f=this;return function(t){return e.enter("htmlText"),e.enter("htmlTextData"),e.consume(t),h};function h(t){return 33===t?(e.consume(t),m):47===t?(e.consume(t),T):63===t?(e.consume(t),S):r(t)?(e.consume(t),D):n(t)}function m(t){return 45===t?(e.consume(t),g):91===t?(e.consume(t),c="CDATA[",d=0,_):r(t)?(e.consume(t),C):n(t)}function g(t){return 45===t?(e.consume(t),v):n(t)}function v(t){return null===t||62===t?n(t):45===t?(e.consume(t),y):b(t)}function y(e){return null===e||62===e?n(e):b(e)}function b(t){return null===t?n(t):45===t?(e.consume(t),w):o(t)?(p=b,B(t)):(e.consume(t),b)}function w(t){return 45===t?(e.consume(t),U):b(t)}function _(t){return t===c.charCodeAt(d++)?(e.consume(t),d===c.length?x:_):n(t)}function x(t){return null===t?n(t):93===t?(e.consume(t),k):o(t)?(p=x,B(t)):(e.consume(t),x)}function k(t){return 93===t?(e.consume(t),E):x(t)}function E(t){return 62===t?U(t):93===t?(e.consume(t),E):x(t)}function C(t){return null===t||62===t?U(t):o(t)?(p=C,B(t)):(e.consume(t),C)}function S(t){return null===t?n(t):63===t?(e.consume(t),A):o(t)?(p=S,B(t)):(e.consume(t),S)}function A(e){return 62===e?U(e):S(e)}function T(t){return r(t)?(e.consume(t),O):n(t)}function O(t){return 45===t||i(t)?(e.consume(t),O):P(t)}function P(t){return o(t)?(p=P,B(t)):s(t)?(e.consume(t),P):U(t)}function D(t){return 45===t||i(t)?(e.consume(t),D):47===t||62===t||a(t)?R(t):n(t)}function R(t){return 47===t?(e.consume(t),U):58===t||95===t||r(t)?(e.consume(t),I):o(t)?(p=R,B(t)):s(t)?(e.consume(t),R):U(t)}function I(t){return 45===t||46===t||58===t||95===t||i(t)?(e.consume(t),I):M(t)}function M(t){return 61===t?(e.consume(t),L):o(t)?(p=M,B(t)):s(t)?(e.consume(t),M):R(t)}function L(t){return null===t||60===t||61===t||62===t||96===t?n(t):34===t||39===t?(e.consume(t),u=t,N):o(t)?(p=L,B(t)):s(t)?(e.consume(t),L):(e.consume(t),u=void 0,F)}function N(t){return t===u?(e.consume(t),j):null===t?n(t):o(t)?(p=N,B(t)):(e.consume(t),N)}function j(e){return 62===e||47===e||a(e)?R(e):n(e)}function F(t){return null===t||34===t||39===t||60===t||61===t||96===t?n(t):62===t||a(t)?R(t):(e.consume(t),F)}function B(t){return e.exit("htmlTextData"),e.enter("lineEnding"),e.consume(t),e.exit("lineEnding"),l(e,z,"linePrefix",f.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:4)}function z(t){return e.enter("htmlTextData"),p(t)}function U(r){return 62===r?(e.consume(r),e.exit("htmlTextData"),e.exit("htmlText"),t):n(r)}}};e.exports=u},73946:(e,t,n)=>{"use strict";var r=n(21551),i=n(94363),o=n(43416),a=n(3797),s=n(12869),l=n(9085),u=n(29145),c=n(64202),d=n(96894),p=n(70653),f={name:"labelEnd",tokenize:function(e,t,n){for(var r,i,o=this,s=o.events.length;s--;)if(("labelImage"===o.events[s][1].type||"labelLink"===o.events[s][1].type)&&!o.events[s][1]._balanced){r=o.events[s][1];break}return function(t){return r?r._inactive?u(t):(i=o.parser.defined.indexOf(a(o.sliceSerialize({start:r.end,end:o.now()})))>-1,e.enter("labelEnd"),e.enter("labelMarker"),e.consume(t),e.exit("labelMarker"),e.exit("labelEnd"),l):n(t)};function l(n){return 40===n?e.attempt(h,t,i?t:u)(n):91===n?e.attempt(m,t,i?e.attempt(g,t,u):u)(n):i?t(n):u(n)}function u(e){return r._balanced=!0,n(e)}},resolveTo:function(e,t){for(var n,r,a,u,c,d,p,f=e.length,h=0;f--;)if(u=e[f][1],c){if("link"===u.type||"labelLink"===u.type&&u._inactive)break;"enter"===e[f][0]&&"labelLink"===u.type&&(u._inactive=!0)}else if(d){if("enter"===e[f][0]&&("labelImage"===u.type||"labelLink"===u.type)&&!u._balanced&&(c=f,"labelLink"!==u.type)){h=2;break}}else"labelEnd"===u.type&&(d=f);return n={type:"labelLink"===e[c][1].type?"link":"image",start:l(e[c][1].start),end:l(e[e.length-1][1].end)},r={type:"label",start:l(e[c][1].start),end:l(e[d][1].end)},a={type:"labelText",start:l(e[c+h+2][1].end),end:l(e[d-2][1].start)},p=i(p=[["enter",n,t],["enter",r,t]],e.slice(c+1,c+h+3)),p=i(p,[["enter",a,t]]),p=i(p,s(t.parser.constructs.insideSpan.null,e.slice(c+h+4,d-3),t)),p=i(p,[["exit",a,t],e[d-2],e[d-1],["exit",r,t]]),p=i(p,e.slice(d+1)),p=i(p,[["exit",n,t]]),o(e,c,e.length,p),e},resolveAll:function(e){for(var t,n=-1;++n<e.length;)(t=e[n][1])._used||"labelImage"!==t.type&&"labelLink"!==t.type&&"labelEnd"!==t.type||(e.splice(n+1,"labelImage"===t.type?4:2),t.type="data",n++);return e}},h={tokenize:function(e,t,n){return function(t){return e.enter("resource"),e.enter("resourceMarker"),e.consume(t),e.exit("resourceMarker"),p(e,i)};function i(t){return 41===t?s(t):u(e,o,n,"resourceDestination","resourceDestinationLiteral","resourceDestinationLiteralMarker","resourceDestinationRaw","resourceDestinationString",3)(t)}function o(t){return r(t)?p(e,a)(t):s(t)}function a(t){return 34===t||39===t||40===t?d(e,p(e,s),n,"resourceTitle","resourceTitleMarker","resourceTitleString")(t):s(t)}function s(r){return 41===r?(e.enter("resourceMarker"),e.consume(r),e.exit("resourceMarker"),e.exit("resource"),t):n(r)}}},m={tokenize:function(e,t,n){var r=this;return function(t){return c.call(r,e,i,n,"reference","referenceMarker","referenceString")(t)};function i(e){return r.parser.defined.indexOf(a(r.sliceSerialize(r.events[r.events.length-1][1]).slice(1,-1)))<0?n(e):t(e)}}},g={tokenize:function(e,t,n){return function(t){return e.enter("reference"),e.enter("referenceMarker"),e.consume(t),e.exit("referenceMarker"),r};function r(r){return 93===r?(e.enter("referenceMarker"),e.consume(r),e.exit("referenceMarker"),e.exit("reference"),t):n(r)}}};e.exports=f},3216:(e,t,n)=>{"use strict";var r={name:"labelStartImage",tokenize:function(e,t,n){var r=this;return function(t){return e.enter("labelImage"),e.enter("labelImageMarker"),e.consume(t),e.exit("labelImageMarker"),i};function i(t){return 91===t?(e.enter("labelMarker"),e.consume(t),e.exit("labelMarker"),e.exit("labelImage"),o):n(t)}function o(e){return 94===e&&"_hiddenFootnoteSupport"in r.parser.constructs?n(e):t(e)}},resolveAll:n(73946).resolveAll};e.exports=r},71979:(e,t,n)=>{"use strict";var r={name:"labelStartLink",tokenize:function(e,t,n){var r=this;return function(t){return e.enter("labelLink"),e.enter("labelMarker"),e.consume(t),e.exit("labelMarker"),e.exit("labelLink"),i};function i(e){return 94===e&&"_hiddenFootnoteSupport"in r.parser.constructs?n(e):t(e)}},resolveAll:n(73946).resolveAll};e.exports=r},61692:(e,t,n)=>{"use strict";var r=n(32397),i={name:"lineEnding",tokenize:function(e,t){return function(n){return e.enter("lineEnding"),e.consume(n),e.exit("lineEnding"),r(e,t,"linePrefix")}}};e.exports=i},49393:(e,t,n)=>{"use strict";var r=n(41687),i=n(41727),o=n(24722),a=n(20037),s=n(32397),l=n(3645),u=n(99669),c={name:"list",tokenize:function(e,t,n){var s=this,c=o(s.events,"linePrefix"),p=0;return function(t){var i=s.containerState.type||(42===t||43===t||45===t?"listUnordered":"listOrdered");if("listUnordered"===i?!s.containerState.marker||t===s.containerState.marker:r(t)){if(s.containerState.type||(s.containerState.type=i,e.enter(i,{_container:!0})),"listUnordered"===i)return e.enter("listItemPrefix"),42===t||45===t?e.check(u,n,h)(t):h(t);if(!s.interrupt||49===t)return e.enter("listItemPrefix"),e.enter("listItemValue"),f(t)}return n(t)};function f(t){return r(t)&&++p<10?(e.consume(t),f):(!s.interrupt||p<2)&&(s.containerState.marker?t===s.containerState.marker:41===t||46===t)?(e.exit("listItemValue"),h(t)):n(t)}function h(t){return e.enter("listItemMarker"),e.consume(t),e.exit("listItemMarker"),s.containerState.marker=s.containerState.marker||t,e.check(l,s.interrupt?n:m,e.attempt(d,v,g))}function m(e){return s.containerState.initialBlankLine=!0,c++,v(e)}function g(t){return i(t)?(e.enter("listItemPrefixWhitespace"),e.consume(t),e.exit("listItemPrefixWhitespace"),v):n(t)}function v(n){return s.containerState.size=c+a(s.sliceStream(e.exit("listItemPrefix"))),t(n)}},continuation:{tokenize:function(e,t,n){var r=this;return r.containerState._closeFlow=void 0,e.check(l,(function(n){return r.containerState.furtherBlankLines=r.containerState.furtherBlankLines||r.containerState.initialBlankLine,s(e,t,"listItemIndent",r.containerState.size+1)(n)}),(function(n){return r.containerState.furtherBlankLines||!i(n)?(r.containerState.furtherBlankLines=r.containerState.initialBlankLine=void 0,o(n)):(r.containerState.furtherBlankLines=r.containerState.initialBlankLine=void 0,e.attempt(p,t,o)(n))}));function o(i){return r.containerState._closeFlow=!0,r.interrupt=void 0,s(e,e.attempt(c,t,n),"linePrefix",r.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:4)(i)}}},exit:function(e){e.exit(this.containerState.type)}},d={tokenize:function(e,t,n){var r=this;return s(e,(function(e){return i(e)||!o(r.events,"listItemPrefixWhitespace")?n(e):t(e)}),"listItemPrefixWhitespace",r.parser.constructs.disable.null.indexOf("codeIndented")>-1?void 0:5)},partial:!0},p={tokenize:function(e,t,n){var r=this;return s(e,(function(e){return o(r.events,"listItemIndent")===r.containerState.size?t(e):n(e)}),"listItemIndent",r.containerState.size+1)},partial:!0};e.exports=c},3645:(e,t,n)=>{"use strict";var r=n(72658),i=n(32397),o={tokenize:function(e,t,n){return i(e,(function(e){return null===e||r(e)?t(e):n(e)}),"linePrefix")},partial:!0};e.exports=o},77293:(e,t,n)=>{"use strict";var r=n(72658),i=n(9085),o=n(32397),a={name:"setextUnderline",tokenize:function(e,t,n){for(var i,a,s=this,l=s.events.length;l--;)if("lineEnding"!==s.events[l][1].type&&"linePrefix"!==s.events[l][1].type&&"content"!==s.events[l][1].type){a="paragraph"===s.events[l][1].type;break}return function(t){return s.lazy||!s.interrupt&&!a?n(t):(e.enter("setextHeadingLine"),e.enter("setextHeadingLineSequence"),i=t,u(t))};function u(t){return t===i?(e.consume(t),u):(e.exit("setextHeadingLineSequence"),o(e,c,"lineSuffix")(t))}function c(i){return null===i||r(i)?(e.exit("setextHeadingLine"),t(i)):n(i)}},resolveTo:function(e,t){for(var n,r,o,a,s=e.length;s--;)if("enter"===e[s][0]){if("content"===e[s][1].type){n=s;break}"paragraph"===e[s][1].type&&(r=s)}else"content"===e[s][1].type&&e.splice(s,1),o||"definition"!==e[s][1].type||(o=s);return a={type:"setextHeading",start:i(e[r][1].start),end:i(e[e.length-1][1].end)},e[r][1].type="setextHeadingText",o?(e.splice(r,0,["enter",a,t]),e.splice(o+1,0,["exit",e[n][1],t]),e[n][1].end=i(e[o][1].end)):e[n][1]=a,e.push(["exit",a,t]),e}};e.exports=a},99669:(e,t,n)=>{"use strict";var r=n(72658),i=n(41727),o=n(32397),a={name:"thematicBreak",tokenize:function(e,t,n){var a,s=0;return function(t){return e.enter("thematicBreak"),a=t,l(t)};function l(c){return c===a?(e.enter("thematicBreakSequence"),u(c)):i(c)?o(e,l,"whitespace")(c):s<3||null!==c&&!r(c)?n(c):(e.exit("thematicBreak"),t(c))}function u(t){return t===a?(e.consume(t),s++,u):(e.exit("thematicBreakSequence"),l(t))}}};e.exports=a},94363:(e,t,n)=>{"use strict";var r=n(43416);e.exports=function(e,t){return e.length?(r(e,e.length,0,t),e):t}},43416:(e,t,n)=>{"use strict";var r=n(36139);e.exports=function(e,t,n,i){var o,a=e.length,s=0;if(t=t<0?-t>a?0:a+t:t>a?a:t,n=n>0?n:0,i.length<1e4)(o=Array.from(i)).unshift(t,n),r.apply(e,o);else for(n&&r.apply(e,[t,n]);s<i.length;)(o=i.slice(s,s+1e4)).unshift(t,0),r.apply(e,o),s+=1e4,t+=1e4}},83585:(e,t,n)=>{"use strict";var r=n(21551),i=n(27079),o=n(3081);e.exports=function(e){return null===e||r(e)||o(e)?1:i(e)?2:void 0}},84e3:(e,t,n)=>{"use strict";var r=n(52686),i=n(43416),o=n(338);function a(e,t){var n,i,a,l;for(n in t)for(l in i=r.call(e,n)?e[n]:e[n]={},a=t[n])i[l]=s(o(a[l]),r.call(i,l)?i[l]:[])}function s(e,t){for(var n=-1,r=[];++n<e.length;)("after"===e[n].add?t:r).push(e[n]);return i(t,0,0,r),t}e.exports=function(e){for(var t={},n=-1;++n<e.length;)a(t,e[n]);return t}},29117:(e,t,n)=>{"use strict";var r=n(38492),i=n(72658),o=n(94363),a=n(43416),s=n(338),l=n(12869),u=n(73239),c=n(9085),d=n(69827);e.exports=function(e,t,n){var p=n?c(n):{line:1,column:1,offset:0},f={},h=[],m=[],g=[],v={consume:function(e){i(e)?(p.line++,p.column=1,p.offset+=-3===e?2:1,S()):-1!==e&&(p.column++,p.offset++),p._bufferIndex<0?p._index++:(p._bufferIndex++,p._bufferIndex===m[p._index].length&&(p._bufferIndex=-1,p._index++)),y.previous=e},enter:function(e,t){var n=t||{};return n.type=e,n.start=_(),y.events.push(["enter",n,y]),g.push(n),n},exit:function(e){var t=g.pop();return t.end=_(),y.events.push(["exit",t,y]),t},attempt:E((function(e,t){C(e,t.from)})),check:E(k),interrupt:E(k,{interrupt:!0}),lazy:E(k,{lazy:!0})},y={previous:null,events:[],parser:e,sliceStream:w,sliceSerialize:function(e){return u(w(e))},now:_,defineSkip:function(e){f[e.line]=e.column,S()},write:function(e){return m=o(m,e),function(){for(var e,t;p._index<m.length;)if("string"==typeof(t=m[p._index]))for(e=p._index,p._bufferIndex<0&&(p._bufferIndex=0);p._index===e&&p._bufferIndex<t.length;)x(t.charCodeAt(p._bufferIndex));else x(t)}(),null!==m[m.length-1]?[]:(C(t,0),y.events=l(h,y.events,y),y.events)}},b=t.tokenize.call(y,v);return t.resolveAll&&h.push(t),p._index=0,p._bufferIndex=-1,y;function w(e){return d(m,e)}function _(){return c(p)}function x(e){b=b(e)}function k(e,t){t.restore()}function E(e,t){return function(n,i,o){var a,l,u,c;return n.tokenize||"length"in n?d(s(n)):function(e){return e in n||null in n?d(n.null?s(n[e]).concat(s(n.null)):n[e])(e):o(e)};function d(e){return a=e,f(e[l=0])}function f(e){return function(n){var i,o,a,s,l;return i=_(),o=y.previous,a=y.currentConstruct,s=y.events.length,l=Array.from(g),c={restore:function(){p=i,y.previous=o,y.currentConstruct=a,y.events.length=s,g=l,S()},from:s},u=e,e.partial||(y.currentConstruct=e),e.name&&y.parser.constructs.disable.null.indexOf(e.name)>-1?m():e.tokenize.call(t?r({},y,t):y,v,h,m)(n)}}function h(t){return e(u,c),i}function m(e){return c.restore(),++l<a.length?f(a[l]):o}}}function C(e,t){e.resolveAll&&h.indexOf(e)<0&&h.push(e),e.resolve&&a(y.events,t,y.events.length-t,e.resolve(y.events.slice(t),y)),e.resolveTo&&(y.events=e.resolveTo(y.events,y))}function S(){p.line in f&&p.column<2&&(p.column=f[p.line],p.offset+=f[p.line]-1)}}},338:e=>{"use strict";e.exports=function(e){return null==e?[]:"length"in e?e:[e]}},2141:e=>{"use strict";e.exports=function(e,t){return e.column+=t,e.offset+=t,e._bufferIndex+=t,e}},3797:e=>{"use strict";e.exports=function(e){return e.replace(/[\t\n\r ]+/g," ").replace(/^ | $/g,"").toLowerCase().toUpperCase()}},24722:(e,t,n)=>{"use strict";var r=n(20037);e.exports=function(e,t){var n=e[e.length-1];return n&&n[1].type===t?r(n[2].sliceStream(n[1])):0}},43744:(e,t,n)=>{"use strict";var r=n(2756);e.exports=function(e){return function(t){return e.test(r(t))}}},12869:e=>{"use strict";e.exports=function(e,t,n){for(var r,i=[],o=-1;++o<e.length;)(r=e[o].resolveAll)&&i.indexOf(r)<0&&(t=r(t,n),i.push(r));return t}},24810:(e,t,n)=>{"use strict";var r=n(2756);e.exports=function(e,t){var n=parseInt(e,t);return n<9||11===n||n>13&&n<32||n>126&&n<160||n>55295&&n<57344||n>64975&&n<65008||65535==(65535&n)||65534==(65535&n)||n>1114111?"<22>":r(n)}},73239:(e,t,n)=>{"use strict";var r=n(2756);e.exports=function(e){for(var t,n,i,o=-1,a=[];++o<e.length;){if("string"==typeof(t=e[o]))n=t;else if(-5===t)n="\r";else if(-4===t)n="\n";else if(-3===t)n="\r\n";else if(-2===t)n="\t";else if(-1===t){if(i)continue;n=" "}else n=r(t);i=-2===t,a.push(n)}return a.join("")}},9085:(e,t,n)=>{"use strict";var r=n(38492);e.exports=function(e){return r({},e)}},20037:e=>{"use strict";e.exports=function(e){for(var t=-1,n=0;++t<e.length;)n+="string"==typeof e[t]?e[t].length:1;return n}},69827:e=>{"use strict";e.exports=function(e,t){var n,r=t.start._index,i=t.start._bufferIndex,o=t.end._index,a=t.end._bufferIndex;return r===o?n=[e[r].slice(i,a)]:(n=e.slice(r,o),i>-1&&(n[0]=n[0].slice(i)),a>0&&n.push(e[o].slice(0,a))),n}},96548:(e,t,n)=>{"use strict";var r=n(38492),i=n(43416),o=n(9085);function a(e,t){for(var n,r,o,a,s,l,u=e[t][1],c=e[t][2],d=t-1,p=[],f=u._tokenizer||c.parser[u.contentType](u.start),h=f.events,m=[],g={};u;){for(;e[++d][1]!==u;);p.push(d),u._tokenizer||(n=c.sliceStream(u),u.next||n.push(null),r&&f.defineSkip(u.start),u.isInFirstContentOfListItem&&(f._gfmTasklistFirstContentOfListItem=!0),f.write(n),u.isInFirstContentOfListItem&&(f._gfmTasklistFirstContentOfListItem=void 0)),r=u,u=u.next}for(u=r,o=h.length;o--;)"enter"===h[o][0]?a=!0:a&&h[o][1].type===h[o-1][1].type&&h[o][1].start.line!==h[o][1].end.line&&(v(h.slice(o+1,s)),u._tokenizer=u.next=void 0,u=u.previous,s=o+1);for(f.events=u._tokenizer=u.next=void 0,v(h.slice(0,s)),o=-1,l=0;++o<m.length;)g[l+m[o][0]]=l+m[o][1],l+=m[o][1]-m[o][0]-1;return g;function v(t){var n=p.pop();m.unshift([n,n+t.length-1]),i(e,n,2,t)}}e.exports=function(e){for(var t,n,s,l,u,c,d,p={},f=-1;++f<e.length;){for(;f in p;)f=p[f];if(t=e[f],f&&"chunkFlow"===t[1].type&&"listItemPrefix"===e[f-1][1].type&&((s=0)<(c=t[1]._tokenizer.events).length&&"lineEndingBlank"===c[s][1].type&&(s+=2),s<c.length&&"content"===c[s][1].type))for(;++s<c.length&&"content"!==c[s][1].type;)"chunkText"===c[s][1].type&&(c[s][1].isInFirstContentOfListItem=!0,s++);if("enter"===t[0])t[1].contentType&&(r(p,a(e,f)),f=p[f],d=!0);else if(t[1]._container||t[1]._movePreviousLineEndings){for(s=f,n=void 0;s--&&("lineEnding"===(l=e[s])[1].type||"lineEndingBlank"===l[1].type);)"enter"===l[0]&&(n&&(e[n][1].type="lineEndingBlank"),l[1].type="lineEnding",n=s);n&&(t[1].end=o(e[n][1].start),(u=e.slice(n,f)).unshift(t),i(e,n,f-n+1,u))}}return!d}},77339:(e,t,n)=>{e.exports=p,p.Minimatch=f;var r=function(){try{return n(21023)}catch(e){}}()||{sep:"/"};p.sep=r.sep;var i=p.GLOBSTAR=f.GLOBSTAR={},o=n(59766),a={"!":{open:"(?:(?!(?:",close:"))[^/]*?)"},"?":{open:"(?:",close:")?"},"+":{open:"(?:",close:")+"},"*":{open:"(?:",close:")*"},"@":{open:"(?:",close:")"}},s="[^/]",l=s+"*?",u="().*{}+?[]^$\\!".split("").reduce((function(e,t){return e[t]=!0,e}),{}),c=/\/+/;function d(e,t){t=t||{};var n={};return Object.keys(e).forEach((function(t){n[t]=e[t]})),Object.keys(t).forEach((function(e){n[e]=t[e]})),n}function p(e,t,n){return m(t),n||(n={}),!(!n.nocomment&&"#"===t.charAt(0))&&new f(t,n).match(e)}function f(e,t){if(!(this instanceof f))return new f(e,t);m(e),t||(t={}),e=e.trim(),t.allowWindowsEscape||"/"===r.sep||(e=e.split(r.sep).join("/")),this.options=t,this.set=[],this.pattern=e,this.regexp=null,this.negate=!1,this.comment=!1,this.empty=!1,this.partial=!!t.partial,this.make()}function h(e,t){return t||(t=this instanceof f?this.options:{}),e=void 0===e?this.pattern:e,m(e),t.nobrace||!/\{(?:(?!\{).)*\}/.test(e)?[e]:o(e)}p.filter=function(e,t){return t=t||{},function(n,r,i){return p(n,e,t)}},p.defaults=function(e){if(!e||"object"!=typeof e||!Object.keys(e).length)return p;var t=p,n=function(n,r,i){return t(n,r,d(e,i))};return(n.Minimatch=function(n,r){return new t.Minimatch(n,d(e,r))}).defaults=function(n){return t.defaults(d(e,n)).Minimatch},n.filter=function(n,r){return t.filter(n,d(e,r))},n.defaults=function(n){return t.defaults(d(e,n))},n.makeRe=function(n,r){return t.makeRe(n,d(e,r))},n.braceExpand=function(n,r){return t.braceExpand(n,d(e,r))},n.match=function(n,r,i){return t.match(n,r,d(e,i))},n},f.defaults=function(e){return p.defaults(e).Minimatch},f.prototype.debug=function(){},f.prototype.make=function(){var e=this.pattern,t=this.options;if(t.nocomment||"#"!==e.charAt(0))if(e){this.parseNegate();var n=this.globSet=this.braceExpand();t.debug&&(this.debug=function(){console.error.apply(console,arguments)}),this.debug(this.pattern,n),n=this.globParts=n.map((function(e){return e.split(c)})),this.debug(this.pattern,n),n=n.map((function(e,t,n){return e.map(this.parse,this)}),this),this.debug(this.pattern,n),n=n.filter((function(e){return-1===e.indexOf(!1)})),this.debug(this.pattern,n),this.set=n}else this.empty=!0;else this.comment=!0},f.prototype.parseNegate=function(){var e=this.pattern,t=!1,n=0;if(!this.options.nonegate){for(var r=0,i=e.length;r<i&&"!"===e.charAt(r);r++)t=!t,n++;n&&(this.pattern=e.substr(n)),this.negate=t}},p.braceExpand=function(e,t){return h(e,t)},f.prototype.braceExpand=h;var m=function(e){if("string"!=typeof e)throw new TypeError("invalid pattern");if(e.length>65536)throw new TypeError("pattern is too long")};f.prototype.parse=function(e,t){m(e);var n=this.options;if("**"===e){if(!n.noglobstar)return i;e="*"}if(""===e)return"";var r,o="",c=!!n.nocase,d=!1,p=[],f=[],h=!1,v=-1,y=-1,b="."===e.charAt(0)?"":n.dot?"(?!(?:^|\\/)\\.{1,2}(?:$|\\/))":"(?!\\.)",w=this;function _(){if(r){switch(r){case"*":o+=l,c=!0;break;case"?":o+=s,c=!0;break;default:o+="\\"+r}w.debug("clearStateChar %j %j",r,o),r=!1}}for(var x,k=0,E=e.length;k<E&&(x=e.charAt(k));k++)if(this.debug("%s\t%s %s %j",e,k,o,x),d&&u[x])o+="\\"+x,d=!1;else switch(x){case"/":return!1;case"\\":_(),d=!0;continue;case"?":case"*":case"+":case"@":case"!":if(this.debug("%s\t%s %s %j <-- stateChar",e,k,o,x),h){this.debug(" in class"),"!"===x&&k===y+1&&(x="^"),o+=x;continue}w.debug("call clearStateChar %j",r),_(),r=x,n.noext&&_();continue;case"(":if(h){o+="(";continue}if(!r){o+="\\(";continue}p.push({type:r,start:k-1,reStart:o.length,open:a[r].open,close:a[r].close}),o+="!"===r?"(?:(?!(?:":"(?:",this.debug("plType %j %j",r,o),r=!1;continue;case")":if(h||!p.length){o+="\\)";continue}_(),c=!0;var C=p.pop();o+=C.close,"!"===C.type&&f.push(C),C.reEnd=o.length;continue;case"|":if(h||!p.length||d){o+="\\|",d=!1;continue}_(),o+="|";continue;case"[":if(_(),h){o+="\\"+x;continue}h=!0,y=k,v=o.length,o+=x;continue;case"]":if(k===y+1||!h){o+="\\"+x,d=!1;continue}var S=e.substring(y+1,k);try{RegExp("["+S+"]")}catch(e){var A=this.parse(S,g);o=o.substr(0,v)+"\\["+A[0]+"\\]",c=c||A[1],h=!1;continue}c=!0,h=!1,o+=x;continue;default:_(),d?d=!1:!u[x]||"^"===x&&h||(o+="\\"),o+=x}for(h&&(S=e.substr(y+1),A=this.parse(S,g),o=o.substr(0,v)+"\\["+A[0],c=c||A[1]),C=p.pop();C;C=p.pop()){var T=o.slice(C.reStart+C.open.length);this.debug("setting tail",o,C),T=T.replace(/((?:\\{2}){0,64})(\\?)\|/g,(function(e,t,n){return n||(n="\\"),t+t+n+"|"})),this.debug("tail=%j\n %s",T,T,C,o);var O="*"===C.type?l:"?"===C.type?s:"\\"+C.type;c=!0,o=o.slice(0,C.reStart)+O+"\\("+T}_(),d&&(o+="\\\\");var P=!1;switch(o.charAt(0)){case"[":case".":case"(":P=!0}for(var D=f.length-1;D>-1;D--){var R=f[D],I=o.slice(0,R.reStart),M=o.slice(R.reStart,R.reEnd-8),L=o.slice(R.reEnd-8,R.reEnd),N=o.slice(R.reEnd);L+=N;var j=I.split("(").length-1,F=N;for(k=0;k<j;k++)F=F.replace(/\)[+*?]?/,"");var B="";""===(N=F)&&t!==g&&(B="$"),o=I+M+N+B+L}if(""!==o&&c&&(o="(?=.)"+o),P&&(o=b+o),t===g)return[o,c];if(!c)return e.replace(/\\(.)/g,"$1");var z=n.nocase?"i":"";try{var U=new RegExp("^"+o+"$",z)}catch(e){return new RegExp("$.")}return U._glob=e,U._src=o,U};var g={};p.makeRe=function(e,t){return new f(e,t||{}).makeRe()},f.prototype.makeRe=function(){if(this.regexp||!1===this.regexp)return this.regexp;var e=this.set;if(!e.length)return this.regexp=!1,this.regexp;var t=this.options,n=t.noglobstar?l:t.dot?"(?:(?!(?:\\/|^)(?:\\.{1,2})($|\\/)).)*?":"(?:(?!(?:\\/|^)\\.).)*?",r=t.nocase?"i":"",o=e.map((function(e){return e.map((function(e){return e===i?n:"string"==typeof e?e.replace(/[-[\]{}()*+?.,\\^$|#\s]/g,"\\$&"):e._src})).join("\\/")})).join("|");o="^(?:"+o+")$",this.negate&&(o="^(?!"+o+").*$");try{this.regexp=new RegExp(o,r)}catch(e){this.regexp=!1}return this.regexp},p.match=function(e,t,n){var r=new f(t,n=n||{});return e=e.filter((function(e){return r.match(e)})),r.options.nonull&&!e.length&&e.push(t),e},f.prototype.match=function(e,t){if(void 0===t&&(t=this.partial),this.debug("match",e,this.pattern),this.comment)return!1;if(this.empty)return""===e;if("/"===e&&t)return!0;var n=this.options;"/"!==r.sep&&(e=e.split(r.sep).join("/")),e=e.split(c),this.debug(this.pattern,"split",e);var i,o,a=this.set;for(this.debug(this.pattern,"set",a),o=e.length-1;o>=0&&!(i=e[o]);o--);for(o=0;o<a.length;o++){var s=a[o],l=e;if(n.matchBase&&1===s.length&&(l=[i]),this.matchOne(l,s,t))return!!n.flipNegate||!this.negate}return!n.flipNegate&&this.negate},f.prototype.matchOne=function(e,t,n){var r=this.options;this.debug("matchOne",{this:this,file:e,pattern:t}),this.debug("matchOne",e.length,t.length);for(var o=0,a=0,s=e.length,l=t.length;o<s&&a<l;o++,a++){this.debug("matchOne loop");var u,c=t[a],d=e[o];if(this.debug(t,c,d),!1===c)return!1;if(c===i){this.debug("GLOBSTAR",[t,c,d]);var p=o,f=a+1;if(f===l){for(this.debug("** at the end");o<s;o++)if("."===e[o]||".."===e[o]||!r.dot&&"."===e[o].charAt(0))return!1;return!0}for(;p<s;){var h=e[p];if(this.debug("\nglobstar while",e,p,t,f,h),this.matchOne(e.slice(p),t.slice(f),n))return this.debug("globstar found match!",p,s,h),!0;if("."===h||".."===h||!r.dot&&"."===h.charAt(0)){this.debug("dot detected!",e,p,t,f);break}this.debug("globstar swallow a segment, and continue"),p++}return!(!n||(this.debug("\n>>> no match, partial?",e,p,t,f),p!==s))}if("string"==typeof c?(u=d===c,this.debug("string match",c,d,u)):(u=d.match(c),this.debug("pattern match",c,d,u)),!u)return!1}if(o===s&&a===l)return!0;if(o===s)return n;if(a===l)return o===s-1&&""===e[o];throw new Error("wtf?")}},59766:(e,t,n)=>{var r=n(64114),i=n(82294);e.exports=function(e){return e?("{}"===e.substr(0,2)&&(e="\\{\\}"+e.substr(2)),v(function(e){return e.split("\\\\").join(o).split("\\{").join(a).split("\\}").join(s).split("\\,").join(l).split("\\.").join(u)}(e),!0).map(d)):[]};var o="\0SLASH"+Math.random()+"\0",a="\0OPEN"+Math.random()+"\0",s="\0CLOSE"+Math.random()+"\0",l="\0COMMA"+Math.random()+"\0",u="\0PERIOD"+Math.random()+"\0";function c(e){return parseInt(e,10)==e?parseInt(e,10):e.charCodeAt(0)}function d(e){return e.split(o).join("\\").split(a).join("{").split(s).join("}").split(l).join(",").split(u).join(".")}function p(e){if(!e)return[""];var t=[],n=i("{","}",e);if(!n)return e.split(",");var r=n.pre,o=n.body,a=n.post,s=r.split(",");s[s.length-1]+="{"+o+"}";var l=p(a);return a.length&&(s[s.length-1]+=l.shift(),s.push.apply(s,l)),t.push.apply(t,s),t}function f(e){return"{"+e+"}"}function h(e){return/^-?0\d/.test(e)}function m(e,t){return e<=t}function g(e,t){return e>=t}function v(e,t){var n=[],o=i("{","}",e);if(!o||/\$$/.test(o.pre))return[e];var a,l=/^-?\d+\.\.-?\d+(?:\.\.-?\d+)?$/.test(o.body),u=/^[a-zA-Z]\.\.[a-zA-Z](?:\.\.-?\d+)?$/.test(o.body),d=l||u,y=o.body.indexOf(",")>=0;if(!d&&!y)return o.post.match(/,.*\}/)?v(e=o.pre+"{"+o.body+s+o.post):[e];if(d)a=o.body.split(/\.\./);else if(1===(a=p(o.body)).length&&1===(a=v(a[0],!1).map(f)).length)return(_=o.post.length?v(o.post,!1):[""]).map((function(e){return o.pre+a[0]+e}));var b,w=o.pre,_=o.post.length?v(o.post,!1):[""];if(d){var x=c(a[0]),k=c(a[1]),E=Math.max(a[0].length,a[1].length),C=3==a.length?Math.abs(c(a[2])):1,S=m;k<x&&(C*=-1,S=g);var A=a.some(h);b=[];for(var T=x;S(T,k);T+=C){var O;if(u)"\\"===(O=String.fromCharCode(T))&&(O="");else if(O=String(T),A){var P=E-O.length;if(P>0){var D=new Array(P+1).join("0");O=T<0?"-"+D+O.slice(1):D+O}}b.push(O)}}else b=r(a,(function(e){return v(e,!1)}));for(var R=0;R<b.length;R++)for(var I=0;I<_.length;I++){var M=w+b[R]+_[I];(!t||d||M)&&n.push(M)}return n}},82512:(e,t,n)=>{"use strict";var r=n(6552),i=n(45982),o=n(72196),a=function(e){i(!1,e)},s=String.prototype.replace,l=String.prototype.split,u="||||",c=function(e){var t=e%100,n=t%10;return 11!==t&&1===n?0:2<=n&&n<=4&&!(t>=12&&t<=14)?1:2},d={pluralTypes:{arabic:function(e){if(e<3)return e;var t=e%100;return t>=3&&t<=10?3:t>=11?4:5},bosnian_serbian:c,chinese:function(){return 0},croatian:c,french:function(e){return e>=2?1:0},german:function(e){return 1!==e?1:0},russian:c,lithuanian:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=9&&(e%100<11||e%100>19)?1:2},czech:function(e){return 1===e?0:e>=2&&e<=4?1:2},polish:function(e){if(1===e)return 0;var t=e%10;return 2<=t&&t<=4&&(e%100<10||e%100>=20)?1:2},icelandic:function(e){return e%10!=1||e%100==11?1:0},slovenian:function(e){var t=e%100;return 1===t?0:2===t?1:3===t||4===t?2:3},romanian:function(e){if(1===e)return 0;var t=e%100;return 0===e||t>=2&&t<=19?1:2},ukrainian:c},pluralTypeToLanguages:{arabic:["ar"],bosnian_serbian:["bs-Latn-BA","bs-Cyrl-BA","srl-RS","sr-RS"],chinese:["id","id-ID","ja","ko","ko-KR","lo","ms","th","th-TH","zh"],croatian:["hr","hr-HR"],german:["fa","da","de","en","es","fi","el","he","hi-IN","hu","hu-HU","it","nl","no","pt","sv","tr"],french:["fr","tl","pt-br"],russian:["ru","ru-RU"],lithuanian:["lt"],czech:["cs","cs-CZ","sk"],polish:["pl"],icelandic:["is","mk"],slovenian:["sl-SL"],romanian:["ro"],ukrainian:["uk","ua"]}};function p(e){return e.replace(/[.*+?^${}()|[\]\\]/g,"\\$&")}var f,h=(f={},function(e,t){var n=f[t];return n&&!e.pluralTypes[n]&&(n=null,f[t]=n),n||(n=function(e,t){var n=function(e){for(var t={},n=r(e),i=0;i<n.length;i+=1)for(var o=n[i][0],a=n[i][1],s=0;s<a.length;s+=1)t[a[s]]=o;return t}(e.pluralTypeToLanguages);return n[t]||n[l.call(t,/-/,1)[0]]||n.en}(e,t))&&(f[t]=n),n}),m=/%\{(.*?)\}/g;function g(e,t,n,r,i,a){if("string"!=typeof e)throw new TypeError("Polyglot.transformPhrase expects argument #1 to be string");if(null==t)return e;var c=e,p=r||m,f=a||s,g="number"==typeof t?{smart_count:t}:t;if(null!=g.smart_count&&e){var v=i||d,y=l.call(e,u),b=function(e,t,n){return e.pluralTypes[t](n)}(v,h(v,n||"en"),g.smart_count);c=s.call(y[b]||y[0],/^[^\S]*|[^\S]*$/g,"")}return f.call(c,p,(function(e,t){return o(g,t)&&null!=g[t]?g[t]:e}))}function v(e){var t=e||{};this.phrases={},this.extend(t.phrases||{}),this.currentLocale=t.locale||"en";var n=t.allowMissing?g:null;this.onMissingKey="function"==typeof t.onMissingKey?t.onMissingKey:n,this.warn=t.warn||a,this.replaceImplementation=t.replace||s,this.tokenRegex=function(e){var t=e&&e.prefix||"%{",n=e&&e.suffix||"}";if(t===u||n===u)throw new RangeError('"'+u+'" token is reserved for pluralization');return new RegExp(p(t)+"(.*?)"+p(n),"g")}(t.interpolation),this.pluralRules=t.pluralRules||d}v.prototype.locale=function(e){return e&&(this.currentLocale=e),this.currentLocale},v.prototype.extend=function(e,t){for(var n=r(e||{}),i=0;i<n.length;i+=1){var o=n[i][0],a=n[i][1],s=t?t+"."+o:o;"object"==typeof a?this.extend(a,s):this.phrases[s]=a}},v.prototype.unset=function(e,t){if("string"==typeof e)delete this.phrases[e];else for(var n=r(e||{}),i=0;i<n.length;i+=1){var o=n[i][0],a=n[i][1],s=t?t+"."+o:o;"object"==typeof a?this.unset(a,s):delete this.phrases[s]}},v.prototype.clear=function(){this.phrases={}},v.prototype.replace=function(e){this.clear(),this.extend(e)},v.prototype.t=function(e,t){var n,r,i=null==t?{}:t;return"string"==typeof this.phrases[e]?n=this.phrases[e]:"string"==typeof i._?n=i._:this.onMissingKey?r=(0,this.onMissingKey)(e,i,this.currentLocale,this.tokenRegex,this.pluralRules,this.replaceImplementation):(this.warn('Missing translation for key: "'+e+'"'),r=e),"string"==typeof n&&(r=g(n,i,this.currentLocale,this.tokenRegex,this.pluralRules,this.replaceImplementation)),r},v.prototype.has=function(e){return o(this.phrases,e)},v.transformPhrase=function(e,t,n){return g(e,t,n)},e.exports=v},37320:e=>{"use strict";var t=Object.getOwnPropertySymbols,n=Object.prototype.hasOwnProperty,r=Object.prototype.propertyIsEnumerable;e.exports=function(){try{if(!Object.assign)return!1;var e=new String("abc");if(e[5]="de","5"===Object.getOwnPropertyNames(e)[0])return!1;for(var t={},n=0;n<10;n++)t["_"+String.fromCharCode(n)]=n;if("0123456789"!==Object.getOwnPropertyNames(t).map((function(e){return t[e]})).join(""))return!1;var r={};return"abcdefghijklmnopqrst".split("").forEach((function(e){r[e]=e})),"abcdefghijklmnopqrst"===Object.keys(Object.assign({},r)).join("")}catch(e){return!1}}()?Object.assign:function(e,i){for(var o,a,s=function(e){if(null==e)throw new TypeError("Object.assign cannot be called with null or undefined");return Object(e)}(e),l=1;l<arguments.length;l++){for(var u in o=Object(arguments[l]))n.call(o,u)&&(s[u]=o[u]);if(t){a=t(o);for(var c=0;c<a.length;c++)r.call(o,a[c])&&(s[a[c]]=o[a[c]])}}return s}},99500:(e,t,n)=>{var r="function"==typeof Map&&Map.prototype,i=Object.getOwnPropertyDescriptor&&r?Object.getOwnPropertyDescriptor(Map.prototype,"size"):null,o=r&&i&&"function"==typeof i.get?i.get:null,a=r&&Map.prototype.forEach,s="function"==typeof Set&&Set.prototype,l=Object.getOwnPropertyDescriptor&&s?Object.getOwnPropertyDescriptor(Set.prototype,"size"):null,u=s&&l&&"function"==typeof l.get?l.get:null,c=s&&Set.prototype.forEach,d="function"==typeof WeakMap&&WeakMap.prototype?WeakMap.prototype.has:null,p="function"==typeof WeakSet&&WeakSet.prototype?WeakSet.prototype.has:null,f="function"==typeof WeakRef&&WeakRef.prototype?WeakRef.prototype.deref:null,h=Boolean.prototype.valueOf,m=Object.prototype.toString,g=Function.prototype.toString,v=String.prototype.match,y=String.prototype.slice,b=String.prototype.replace,w=String.prototype.toUpperCase,_=String.prototype.toLowerCase,x=RegExp.prototype.test,k=Array.prototype.concat,E=Array.prototype.join,C=Array.prototype.slice,S=Math.floor,A="function"==typeof BigInt?BigInt.prototype.valueOf:null,T=Object.getOwnPropertySymbols,O="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?Symbol.prototype.toString:null,P="function"==typeof Symbol&&"object"==typeof Symbol.iterator,D="function"==typeof Symbol&&Symbol.toStringTag&&(Symbol.toStringTag,1)?Symbol.toStringTag:null,R=Object.prototype.propertyIsEnumerable,I=("function"==typeof Reflect?Reflect.getPrototypeOf:Object.getPrototypeOf)||([].__proto__===Array.prototype?function(e){return e.__proto__}:null);function M(e,t){if(e===1/0||e===-1/0||e!=e||e&&e>-1e3&&e<1e3||x.call(/e/,t))return t;var n=/[0-9](?=(?:[0-9]{3})+(?![0-9]))/g;if("number"==typeof e){var r=e<0?-S(-e):S(e);if(r!==e){var i=String(r),o=y.call(t,i.length+1);return b.call(i,n,"$&_")+"."+b.call(b.call(o,/([0-9]{3})/g,"$&_"),/_$/,"")}}return b.call(t,n,"$&_")}var L=n(53260),N=L.custom,j=q(N)?N:null;function F(e,t,n){var r="double"===(n.quoteStyle||t)?'"':"'";return r+e+r}function B(e){return b.call(String(e),/"/g,"&quot;")}function z(e){return!("[object Array]"!==H(e)||D&&"object"==typeof e&&D in e)}function U(e){return!("[object RegExp]"!==H(e)||D&&"object"==typeof e&&D in e)}function q(e){if(P)return e&&"object"==typeof e&&e instanceof Symbol;if("symbol"==typeof e)return!0;if(!e||"object"!=typeof e||!O)return!1;try{return O.call(e),!0}catch(e){}return!1}e.exports=function e(t,r,i,s){var l=r||{};if(W(l,"quoteStyle")&&"single"!==l.quoteStyle&&"double"!==l.quoteStyle)throw new TypeError('option "quoteStyle" must be "single" or "double"');if(W(l,"maxStringLength")&&("number"==typeof l.maxStringLength?l.maxStringLength<0&&l.maxStringLength!==1/0:null!==l.maxStringLength))throw new TypeError('option "maxStringLength", if provided, must be a positive integer, Infinity, or `null`');var m=!W(l,"customInspect")||l.customInspect;if("boolean"!=typeof m&&"symbol"!==m)throw new TypeError("option \"customInspect\", if provided, must be `true`, `false`, or `'symbol'`");if(W(l,"indent")&&null!==l.indent&&"\t"!==l.indent&&!(parseInt(l.indent,10)===l.indent&&l.indent>0))throw new TypeError('option "indent" must be "\\t", an integer > 0, or `null`');if(W(l,"numericSeparator")&&"boolean"!=typeof l.numericSeparator)throw new TypeError('option "numericSeparator", if provided, must be `true` or `false`');var w=l.numericSeparator;if(void 0===t)return"undefined";if(null===t)return"null";if("boolean"==typeof t)return t?"true":"false";if("string"==typeof t)return G(t,l);if("number"==typeof t){if(0===t)return 1/0/t>0?"0":"-0";var x=String(t);return w?M(t,x):x}if("bigint"==typeof t){var S=String(t)+"n";return w?M(t,S):S}var T=void 0===l.depth?5:l.depth;if(void 0===i&&(i=0),i>=T&&T>0&&"object"==typeof t)return z(t)?"[Array]":"[Object]";var N,$=function(e,t){var n;if("\t"===e.indent)n="\t";else{if(!("number"==typeof e.indent&&e.indent>0))return null;n=E.call(Array(e.indent+1)," ")}return{base:n,prev:E.call(Array(t+1),n)}}(l,i);if(void 0===s)s=[];else if(V(s,t)>=0)return"[Circular]";function K(t,n,r){if(n&&(s=C.call(s)).push(n),r){var o={depth:l.depth};return W(l,"quoteStyle")&&(o.quoteStyle=l.quoteStyle),e(t,o,i+1,s)}return e(t,l,i+1,s)}if("function"==typeof t&&!U(t)){var ee=function(e){if(e.name)return e.name;var t=v.call(g.call(e),/^function\s*([\w$]+)/);return t?t[1]:null}(t),te=J(t,K);return"[Function"+(ee?": "+ee:" (anonymous)")+"]"+(te.length>0?" { "+E.call(te,", ")+" }":"")}if(q(t)){var ne=P?b.call(String(t),/^(Symbol\(.*\))_[^)]*$/,"$1"):O.call(t);return"object"!=typeof t||P?ne:Y(ne)}if((N=t)&&"object"==typeof N&&("undefined"!=typeof HTMLElement&&N instanceof HTMLElement||"string"==typeof N.nodeName&&"function"==typeof N.getAttribute)){for(var re="<"+_.call(String(t.nodeName)),ie=t.attributes||[],oe=0;oe<ie.length;oe++)re+=" "+ie[oe].name+"="+F(B(ie[oe].value),"double",l);return re+=">",t.childNodes&&t.childNodes.length&&(re+="..."),re+"</"+_.call(String(t.nodeName))+">"}if(z(t)){if(0===t.length)return"[]";var ae=J(t,K);return $&&!function(e){for(var t=0;t<e.length;t++)if(V(e[t],"\n")>=0)return!1;return!0}(ae)?"["+Q(ae,$)+"]":"[ "+E.call(ae,", ")+" ]"}if(function(e){return!("[object Error]"!==H(e)||D&&"object"==typeof e&&D in e)}(t)){var se=J(t,K);return"cause"in Error.prototype||!("cause"in t)||R.call(t,"cause")?0===se.length?"["+String(t)+"]":"{ ["+String(t)+"] "+E.call(se,", ")+" }":"{ ["+String(t)+"] "+E.call(k.call("[cause]: "+K(t.cause),se),", ")+" }"}if("object"==typeof t&&m){if(j&&"function"==typeof t[j]&&L)return L(t,{depth:T-i});if("symbol"!==m&&"function"==typeof t.inspect)return t.inspect()}if(function(e){if(!o||!e||"object"!=typeof e)return!1;try{o.call(e);try{u.call(e)}catch(e){return!0}return e instanceof Map}catch(e){}return!1}(t)){var le=[];return a&&a.call(t,(function(e,n){le.push(K(n,t,!0)+" => "+K(e,t))})),X("Map",o.call(t),le,$)}if(function(e){if(!u||!e||"object"!=typeof e)return!1;try{u.call(e);try{o.call(e)}catch(e){return!0}return e instanceof Set}catch(e){}return!1}(t)){var ue=[];return c&&c.call(t,(function(e){ue.push(K(e,t))})),X("Set",u.call(t),ue,$)}if(function(e){if(!d||!e||"object"!=typeof e)return!1;try{d.call(e,d);try{p.call(e,p)}catch(e){return!0}return e instanceof WeakMap}catch(e){}return!1}(t))return Z("WeakMap");if(function(e){if(!p||!e||"object"!=typeof e)return!1;try{p.call(e,p);try{d.call(e,d)}catch(e){return!0}return e instanceof WeakSet}catch(e){}return!1}(t))return Z("WeakSet");if(function(e){if(!f||!e||"object"!=typeof e)return!1;try{return f.call(e),!0}catch(e){}return!1}(t))return Z("WeakRef");if(function(e){return!("[object Number]"!==H(e)||D&&"object"==typeof e&&D in e)}(t))return Y(K(Number(t)));if(function(e){if(!e||"object"!=typeof e||!A)return!1;try{return A.call(e),!0}catch(e){}return!1}(t))return Y(K(A.call(t)));if(function(e){return!("[object Boolean]"!==H(e)||D&&"object"==typeof e&&D in e)}(t))return Y(h.call(t));if(function(e){return!("[object String]"!==H(e)||D&&"object"==typeof e&&D in e)}(t))return Y(K(String(t)));if("undefined"!=typeof window&&t===window)return"{ [object Window] }";if(t===n.g)return"{ [object globalThis] }";if(!function(e){return!("[object Date]"!==H(e)||D&&"object"==typeof e&&D in e)}(t)&&!U(t)){var ce=J(t,K),de=I?I(t)===Object.prototype:t instanceof Object||t.constructor===Object,pe=t instanceof Object?"":"null prototype",fe=!de&&D&&Object(t)===t&&D in t?y.call(H(t),8,-1):pe?"Object":"",he=(de||"function"!=typeof t.constructor?"":t.constructor.name?t.constructor.name+" ":"")+(fe||pe?"["+E.call(k.call([],fe||[],pe||[]),": ")+"] ":"");return 0===ce.length?he+"{}":$?he+"{"+Q(ce,$)+"}":he+"{ "+E.call(ce,", ")+" }"}return String(t)};var $=Object.prototype.hasOwnProperty||function(e){return e in this};function W(e,t){return $.call(e,t)}function H(e){return m.call(e)}function V(e,t){if(e.indexOf)return e.indexOf(t);for(var n=0,r=e.length;n<r;n++)if(e[n]===t)return n;return-1}function G(e,t){if(e.length>t.maxStringLength){var n=e.length-t.maxStringLength,r="... "+n+" more character"+(n>1?"s":"");return G(y.call(e,0,t.maxStringLength),t)+r}return F(b.call(b.call(e,/(['\\])/g,"\\$1"),/[\x00-\x1f]/g,K),"single",t)}function K(e){var t=e.charCodeAt(0),n={8:"b",9:"t",10:"n",12:"f",13:"r"}[t];return n?"\\"+n:"\\x"+(t<16?"0":"")+w.call(t.toString(16))}function Y(e){return"Object("+e+")"}function Z(e){return e+" { ? }"}function X(e,t,n,r){return e+" ("+t+") {"+(r?Q(n,r):E.call(n,", "))+"}"}function Q(e,t){if(0===e.length)return"";var n="\n"+t.prev+t.base;return n+E.call(e,","+n)+"\n"+t.prev}function J(e,t){var n=z(e),r=[];if(n){r.length=e.length;for(var i=0;i<e.length;i++)r[i]=W(e,i)?t(e[i],e):""}var o,a="function"==typeof T?T(e):[];if(P){o={};for(var s=0;s<a.length;s++)o["$"+a[s]]=a[s]}for(var l in e)W(e,l)&&(n&&String(Number(l))===l&&l<e.length||P&&o["$"+l]instanceof Symbol||(x.call(/[^\w$]/,l)?r.push(t(l,e)+": "+t(e[l],e)):r.push(l+": "+t(e[l],e))));if("function"==typeof T)for(var u=0;u<a.length;u++)R.call(e,a[u])&&r.push("["+t(a[u])+"]: "+t(e[a[u]],e));return r}},75691:(e,t,n)=>{"use strict";var r;if(!Object.keys){var i=Object.prototype.hasOwnProperty,o=Object.prototype.toString,a=n(30801),s=Object.prototype.propertyIsEnumerable,l=!s.call({toString:null},"toString"),u=s.call((function(){}),"prototype"),c=["toString","toLocaleString","valueOf","hasOwnProperty","isPrototypeOf","propertyIsEnumerable","constructor"],d=function(e){var t=e.constructor;return t&&t.prototype===e},p={$applicationCache:!0,$console:!0,$external:!0,$frame:!0,$frameElement:!0,$frames:!0,$innerHeight:!0,$innerWidth:!0,$onmozfullscreenchange:!0,$onmozfullscreenerror:!0,$outerHeight:!0,$outerWidth:!0,$pageXOffset:!0,$pageYOffset:!0,$parent:!0,$scrollLeft:!0,$scrollTop:!0,$scrollX:!0,$scrollY:!0,$self:!0,$webkitIndexedDB:!0,$webkitStorageInfo:!0,$window:!0},f=function(){if("undefined"==typeof window)return!1;for(var e in window)try{if(!p["$"+e]&&i.call(window,e)&&null!==window[e]&&"object"==typeof window[e])try{d(window[e])}catch(e){return!0}}catch(e){return!0}return!1}();r=function(e){var t=null!==e&&"object"==typeof e,n="[object Function]"===o.call(e),r=a(e),s=t&&"[object String]"===o.call(e),p=[];if(!t&&!n&&!r)throw new TypeError("Object.keys called on a non-object");var h=u&&n;if(s&&e.length>0&&!i.call(e,0))for(var m=0;m<e.length;++m)p.push(String(m));if(r&&e.length>0)for(var g=0;g<e.length;++g)p.push(String(g));else for(var v in e)h&&"prototype"===v||!i.call(e,v)||p.push(String(v));if(l)for(var y=function(e){if("undefined"==typeof window||!f)return d(e);try{return d(e)}catch(e){return!1}}(e),b=0;b<c.length;++b)y&&"constructor"===c[b]||!i.call(e,c[b])||p.push(c[b]);return p}}e.exports=r},33464:(e,t,n)=>{"use strict";var r=Array.prototype.slice,i=n(30801),o=Object.keys,a=o?function(e){return o(e)}:n(75691),s=Object.keys;a.shim=function(){if(Object.keys){var e=function(){var e=Object.keys(arguments);return e&&e.length===arguments.length}(1,2);e||(Object.keys=function(e){return i(e)?s(r.call(e)):s(e)})}else Object.keys=a;return Object.keys||a},e.exports=a},30801:e=>{"use strict";var t=Object.prototype.toString;e.exports=function(e){var n=t.call(e),r="[object Arguments]"===n;return r||(r="[object Array]"!==n&&null!==e&&"object"==typeof e&&"number"==typeof e.length&&e.length>=0&&"[object Function]"===t.call(e.callee)),r}},31968:(e,t,n)=>{"use strict";var r=n(42977),i=n(62680),o=i("Object.prototype.propertyIsEnumerable"),a=i("Array.prototype.push");e.exports=function(e){var t=r(e),n=[];for(var i in t)o(t,i)&&a(n,[i,t[i]]);return n}},6552:(e,t,n)=>{"use strict";var r=n(14926),i=n(89429),o=n(31968),a=n(554),s=n(30660),l=i(a(),Object);r(l,{getPolyfill:a,implementation:o,shim:s}),e.exports=l},554:(e,t,n)=>{"use strict";var r=n(31968);e.exports=function(){return"function"==typeof Object.entries?Object.entries:r}},30660:(e,t,n)=>{"use strict";var r=n(554),i=n(14926);e.exports=function(){var e=r();return i(Object,{entries:e},{entries:function(){return Object.entries!==e}}),e}},68108:e=>{"use strict";var t;e.exports=function(e){var n,r="&"+e+";";return(t=t||document.createElement("i")).innerHTML=r,(59!==(n=t.textContent).charCodeAt(n.length-1)||"semi"===e)&&(n!==r&&n)}},97827:(e,t,n)=>{"use strict";const{DOCUMENT_MODE:r}=n(95218),i="html",o=["+//silmaril//dtd html pro v0r11 19970101//","-//as//dtd html 3.0 aswedit + extensions//","-//advasoft ltd//dtd html 3.0 aswedit + extensions//","-//ietf//dtd html 2.0 level 1//","-//ietf//dtd html 2.0 level 2//","-//ietf//dtd html 2.0 strict level 1//","-//ietf//dtd html 2.0 strict level 2//","-//ietf//dtd html 2.0 strict//","-//ietf//dtd html 2.0//","-//ietf//dtd html 2.1e//","-//ietf//dtd html 3.0//","-//ietf//dtd html 3.2 final//","-//ietf//dtd html 3.2//","-//ietf//dtd html 3//","-//ietf//dtd html level 0//","-//ietf//dtd html level 1//","-//ietf//dtd html level 2//","-//ietf//dtd html level 3//","-//ietf//dtd html strict level 0//","-//ietf//dtd html strict level 1//","-//ietf//dtd html strict level 2//","-//ietf//dtd html strict level 3//","-//ietf//dtd html strict//","-//ietf//dtd html//","-//metrius//dtd metrius presentational//","-//microsoft//dtd internet explorer 2.0 html strict//","-//microsoft//dtd internet explorer 2.0 html//","-//microsoft//dtd internet explorer 2.0 tables//","-//microsoft//dtd internet explorer 3.0 html strict//","-//microsoft//dtd internet explorer 3.0 html//","-//microsoft//dtd internet explorer 3.0 tables//","-//netscape comm. corp.//dtd html//","-//netscape comm. corp.//dtd strict html//","-//o'reilly and associates//dtd html 2.0//","-//o'reilly and associates//dtd html extended 1.0//","-//o'reilly and associates//dtd html extended relaxed 1.0//","-//sq//dtd html 2.0 hotmetal + extensions//","-//softquad software//dtd hotmetal pro 6.0::19990601::extensions to html 4.0//","-//softquad//dtd hotmetal pro 4.0::19971010::extensions to html 4.0//","-//spyglass//dtd html 2.0 extended//","-//sun microsystems corp.//dtd hotjava html//","-//sun microsystems corp.//dtd hotjava strict html//","-//w3c//dtd html 3 1995-03-24//","-//w3c//dtd html 3.2 draft//","-//w3c//dtd html 3.2 final//","-//w3c//dtd html 3.2//","-//w3c//dtd html 3.2s draft//","-//w3c//dtd html 4.0 frameset//","-//w3c//dtd html 4.0 transitional//","-//w3c//dtd html experimental 19960712//","-//w3c//dtd html experimental 970421//","-//w3c//dtd w3 html//","-//w3o//dtd w3 html 3.0//","-//webtechs//dtd mozilla html 2.0//","-//webtechs//dtd mozilla html//"],a=o.concat(["-//w3c//dtd html 4.01 frameset//","-//w3c//dtd html 4.01 transitional//"]),s=["-//w3o//dtd w3 html strict 3.0//en//","-/w3c/dtd html 4.0 transitional/en","html"],l=["-//w3c//dtd xhtml 1.0 frameset//","-//w3c//dtd xhtml 1.0 transitional//"],u=l.concat(["-//w3c//dtd html 4.01 frameset//","-//w3c//dtd html 4.01 transitional//"]);function c(e){const t=-1!==e.indexOf('"')?"'":'"';return t+e+t}function d(e,t){for(let n=0;n<t.length;n++)if(0===e.indexOf(t[n]))return!0;return!1}t.isConforming=function(e){return e.name===i&&null===e.publicId&&(null===e.systemId||"about:legacy-compat"===e.systemId)},t.getDocumentMode=function(e){if(e.name!==i)return r.QUIRKS;const t=e.systemId;if(t&&"http://www.ibm.com/data/dtd/v11/ibmxhtml1-transitional.dtd"===t.toLowerCase())return r.QUIRKS;let n=e.publicId;if(null!==n){if(n=n.toLowerCase(),s.indexOf(n)>-1)return r.QUIRKS;let e=null===t?a:o;if(d(n,e))return r.QUIRKS;if(e=null===t?l:u,d(n,e))return r.LIMITED_QUIRKS}return r.NO_QUIRKS},t.serializeContent=function(e,t,n){let r="!DOCTYPE ";return e&&(r+=e),t?r+=" PUBLIC "+c(t):n&&(r+=" SYSTEM"),null!==n&&(r+=" "+c(n)),r}},61445:e=>{"use strict";e.exports={controlCharacterInInputStream:"control-character-in-input-stream",noncharacterInInputStream:"noncharacter-in-input-stream",surrogateInInputStream:"surrogate-in-input-stream",nonVoidHtmlElementStartTagWithTrailingSolidus:"non-void-html-element-start-tag-with-trailing-solidus",endTagWithAttributes:"end-tag-with-attributes",endTagWithTrailingSolidus:"end-tag-with-trailing-solidus",unexpectedSolidusInTag:"unexpected-solidus-in-tag",unexpectedNullCharacter:"unexpected-null-character",unexpectedQuestionMarkInsteadOfTagName:"unexpected-question-mark-instead-of-tag-name",invalidFirstCharacterOfTagName:"invalid-first-character-of-tag-name",unexpectedEqualsSignBeforeAttributeName:"unexpected-equals-sign-before-attribute-name",missingEndTagName:"missing-end-tag-name",unexpectedCharacterInAttributeName:"unexpected-character-in-attribute-name",unknownNamedCharacterReference:"unknown-named-character-reference",missingSemicolonAfterCharacterReference:"missing-semicolon-after-character-reference",unexpectedCharacterAfterDoctypeSystemIdentifier:"unexpected-character-after-doctype-system-identifier",unexpectedCharacterInUnquotedAttributeValue:"unexpected-character-in-unquoted-attribute-value",eofBeforeTagName:"eof-before-tag-name",eofInTag:"eof-in-tag",missingAttributeValue:"missing-attribute-value",missingWhitespaceBetweenAttributes:"missing-whitespace-between-attributes",missingWhitespaceAfterDoctypePublicKeyword:"missing-whitespace-after-doctype-public-keyword",missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers:"missing-whitespace-between-doctype-public-and-system-identifiers",missingWhitespaceAfterDoctypeSystemKeyword:"missing-whitespace-after-doctype-system-keyword",missingQuoteBeforeDoctypePublicIdentifier:"missing-quote-before-doctype-public-identifier",missingQuoteBeforeDoctypeSystemIdentifier:"missing-quote-before-doctype-system-identifier",missingDoctypePublicIdentifier:"missing-doctype-public-identifier",missingDoctypeSystemIdentifier:"missing-doctype-system-identifier",abruptDoctypePublicIdentifier:"abrupt-doctype-public-identifier",abruptDoctypeSystemIdentifier:"abrupt-doctype-system-identifier",cdataInHtmlContent:"cdata-in-html-content",incorrectlyOpenedComment:"incorrectly-opened-comment",eofInScriptHtmlCommentLikeText:"eof-in-script-html-comment-like-text",eofInDoctype:"eof-in-doctype",nestedComment:"nested-comment",abruptClosingOfEmptyComment:"abrupt-closing-of-empty-comment",eofInComment:"eof-in-comment",incorrectlyClosedComment:"incorrectly-closed-comment",eofInCdata:"eof-in-cdata",absenceOfDigitsInNumericCharacterReference:"absence-of-digits-in-numeric-character-reference",nullCharacterReference:"null-character-reference",surrogateCharacterReference:"surrogate-character-reference",characterReferenceOutsideUnicodeRange:"character-reference-outside-unicode-range",controlCharacterReference:"control-character-reference",noncharacterCharacterReference:"noncharacter-character-reference",missingWhitespaceBeforeDoctypeName:"missing-whitespace-before-doctype-name",missingDoctypeName:"missing-doctype-name",invalidCharacterSequenceAfterDoctypeName:"invalid-character-sequence-after-doctype-name",duplicateAttribute:"duplicate-attribute",nonConformingDoctype:"non-conforming-doctype",missingDoctype:"missing-doctype",misplacedDoctype:"misplaced-doctype",endTagWithoutMatchingOpenElement:"end-tag-without-matching-open-element",closingOfElementWithOpenChildElements:"closing-of-element-with-open-child-elements",disallowedContentInNoscriptInHead:"disallowed-content-in-noscript-in-head",openElementsLeftAfterEof:"open-elements-left-after-eof",abandonedHeadElementChild:"abandoned-head-element-child",misplacedStartTagForHeadElement:"misplaced-start-tag-for-head-element",nestedNoscriptInHead:"nested-noscript-in-head",eofInElementThatCanContainOnlyText:"eof-in-element-that-can-contain-only-text"}},52710:(e,t,n)=>{"use strict";const r=n(3183),i=n(95218),o=i.TAG_NAMES,a=i.NAMESPACES,s=i.ATTRS,l={attributename:"attributeName",attributetype:"attributeType",basefrequency:"baseFrequency",baseprofile:"baseProfile",calcmode:"calcMode",clippathunits:"clipPathUnits",diffuseconstant:"diffuseConstant",edgemode:"edgeMode",filterunits:"filterUnits",glyphref:"glyphRef",gradienttransform:"gradientTransform",gradientunits:"gradientUnits",kernelmatrix:"kernelMatrix",kernelunitlength:"kernelUnitLength",keypoints:"keyPoints",keysplines:"keySplines",keytimes:"keyTimes",lengthadjust:"lengthAdjust",limitingconeangle:"limitingConeAngle",markerheight:"markerHeight",markerunits:"markerUnits",markerwidth:"markerWidth",maskcontentunits:"maskContentUnits",maskunits:"maskUnits",numoctaves:"numOctaves",pathlength:"pathLength",patterncontentunits:"patternContentUnits",patterntransform:"patternTransform",patternunits:"patternUnits",pointsatx:"pointsAtX",pointsaty:"pointsAtY",pointsatz:"pointsAtZ",preservealpha:"preserveAlpha",preserveaspectratio:"preserveAspectRatio",primitiveunits:"primitiveUnits",refx:"refX",refy:"refY",repeatcount:"repeatCount",repeatdur:"repeatDur",requiredextensions:"requiredExtensions",requiredfeatures:"requiredFeatures",specularconstant:"specularConstant",specularexponent:"specularExponent",spreadmethod:"spreadMethod",startoffset:"startOffset",stddeviation:"stdDeviation",stitchtiles:"stitchTiles",surfacescale:"surfaceScale",systemlanguage:"systemLanguage",tablevalues:"tableValues",targetx:"targetX",targety:"targetY",textlength:"textLength",viewbox:"viewBox",viewtarget:"viewTarget",xchannelselector:"xChannelSelector",ychannelselector:"yChannelSelector",zoomandpan:"zoomAndPan"},u={"xlink:actuate":{prefix:"xlink",name:"actuate",namespace:a.XLINK},"xlink:arcrole":{prefix:"xlink",name:"arcrole",namespace:a.XLINK},"xlink:href":{prefix:"xlink",name:"href",namespace:a.XLINK},"xlink:role":{prefix:"xlink",name:"role",namespace:a.XLINK},"xlink:show":{prefix:"xlink",name:"show",namespace:a.XLINK},"xlink:title":{prefix:"xlink",name:"title",namespace:a.XLINK},"xlink:type":{prefix:"xlink",name:"type",namespace:a.XLINK},"xml:base":{prefix:"xml",name:"base",namespace:a.XML},"xml:lang":{prefix:"xml",name:"lang",namespace:a.XML},"xml:space":{prefix:"xml",name:"space",namespace:a.XML},xmlns:{prefix:"",name:"xmlns",namespace:a.XMLNS},"xmlns:xlink":{prefix:"xmlns",name:"xlink",namespace:a.XMLNS}},c=t.SVG_TAG_NAMES_ADJUSTMENT_MAP={altglyph:"altGlyph",altglyphdef:"altGlyphDef",altglyphitem:"altGlyphItem",animatecolor:"animateColor",animatemotion:"animateMotion",animatetransform:"animateTransform",clippath:"clipPath",feblend:"feBlend",fecolormatrix:"feColorMatrix",fecomponenttransfer:"feComponentTransfer",fecomposite:"feComposite",feconvolvematrix:"feConvolveMatrix",fediffuselighting:"feDiffuseLighting",fedisplacementmap:"feDisplacementMap",fedistantlight:"feDistantLight",feflood:"feFlood",fefunca:"feFuncA",fefuncb:"feFuncB",fefuncg:"feFuncG",fefuncr:"feFuncR",fegaussianblur:"feGaussianBlur",feimage:"feImage",femerge:"feMerge",femergenode:"feMergeNode",femorphology:"feMorphology",feoffset:"feOffset",fepointlight:"fePointLight",fespecularlighting:"feSpecularLighting",fespotlight:"feSpotLight",fetile:"feTile",feturbulence:"feTurbulence",foreignobject:"foreignObject",glyphref:"glyphRef",lineargradient:"linearGradient",radialgradient:"radialGradient",textpath:"textPath"},d={[o.B]:!0,[o.BIG]:!0,[o.BLOCKQUOTE]:!0,[o.BODY]:!0,[o.BR]:!0,[o.CENTER]:!0,[o.CODE]:!0,[o.DD]:!0,[o.DIV]:!0,[o.DL]:!0,[o.DT]:!0,[o.EM]:!0,[o.EMBED]:!0,[o.H1]:!0,[o.H2]:!0,[o.H3]:!0,[o.H4]:!0,[o.H5]:!0,[o.H6]:!0,[o.HEAD]:!0,[o.HR]:!0,[o.I]:!0,[o.IMG]:!0,[o.LI]:!0,[o.LISTING]:!0,[o.MENU]:!0,[o.META]:!0,[o.NOBR]:!0,[o.OL]:!0,[o.P]:!0,[o.PRE]:!0,[o.RUBY]:!0,[o.S]:!0,[o.SMALL]:!0,[o.SPAN]:!0,[o.STRONG]:!0,[o.STRIKE]:!0,[o.SUB]:!0,[o.SUP]:!0,[o.TABLE]:!0,[o.TT]:!0,[o.U]:!0,[o.UL]:!0,[o.VAR]:!0};t.causesExit=function(e){const t=e.tagName;return!(t!==o.FONT||null===r.getTokenAttr(e,s.COLOR)&&null===r.getTokenAttr(e,s.SIZE)&&null===r.getTokenAttr(e,s.FACE))||d[t]},t.adjustTokenMathMLAttrs=function(e){for(let t=0;t<e.attrs.length;t++)if("definitionurl"===e.attrs[t].name){e.attrs[t].name="definitionURL";break}},t.adjustTokenSVGAttrs=function(e){for(let t=0;t<e.attrs.length;t++){const n=l[e.attrs[t].name];n&&(e.attrs[t].name=n)}},t.adjustTokenXMLAttrs=function(e){for(let t=0;t<e.attrs.length;t++){const n=u[e.attrs[t].name];n&&(e.attrs[t].prefix=n.prefix,e.attrs[t].name=n.name,e.attrs[t].namespace=n.namespace)}},t.adjustTokenSVGTagName=function(e){const t=c[e.tagName];t&&(e.tagName=t)},t.isIntegrationPoint=function(e,t,n,r){return!(r&&r!==a.HTML||!function(e,t,n){if(t===a.MATHML&&e===o.ANNOTATION_XML)for(let e=0;e<n.length;e++)if(n[e].name===s.ENCODING){const t=n[e].value.toLowerCase();return"text/html"===t||"application/xhtml+xml"===t}return t===a.SVG&&(e===o.FOREIGN_OBJECT||e===o.DESC||e===o.TITLE)}(e,t,n))||!(r&&r!==a.MATHML||!function(e,t){return t===a.MATHML&&(e===o.MI||e===o.MO||e===o.MN||e===o.MS||e===o.MTEXT)}(e,t))}},95218:(e,t)=>{"use strict";const n=t.NAMESPACES={HTML:"http://www.w3.org/1999/xhtml",MATHML:"http://www.w3.org/1998/Math/MathML",SVG:"http://www.w3.org/2000/svg",XLINK:"http://www.w3.org/1999/xlink",XML:"http://www.w3.org/XML/1998/namespace",XMLNS:"http://www.w3.org/2000/xmlns/"};t.ATTRS={TYPE:"type",ACTION:"action",ENCODING:"encoding",PROMPT:"prompt",NAME:"name",COLOR:"color",FACE:"face",SIZE:"size"},t.DOCUMENT_MODE={NO_QUIRKS:"no-quirks",QUIRKS:"quirks",LIMITED_QUIRKS:"limited-quirks"};const r=t.TAG_NAMES={A:"a",ADDRESS:"address",ANNOTATION_XML:"annotation-xml",APPLET:"applet",AREA:"area",ARTICLE:"article",ASIDE:"aside",B:"b",BASE:"base",BASEFONT:"basefont",BGSOUND:"bgsound",BIG:"big",BLOCKQUOTE:"blockquote",BODY:"body",BR:"br",BUTTON:"button",CAPTION:"caption",CENTER:"center",CODE:"code",COL:"col",COLGROUP:"colgroup",DD:"dd",DESC:"desc",DETAILS:"details",DIALOG:"dialog",DIR:"dir",DIV:"div",DL:"dl",DT:"dt",EM:"em",EMBED:"embed",FIELDSET:"fieldset",FIGCAPTION:"figcaption",FIGURE:"figure",FONT:"font",FOOTER:"footer",FOREIGN_OBJECT:"foreignObject",FORM:"form",FRAME:"frame",FRAMESET:"frameset",H1:"h1",H2:"h2",H3:"h3",H4:"h4",H5:"h5",H6:"h6",HEAD:"head",HEADER:"header",HGROUP:"hgroup",HR:"hr",HTML:"html",I:"i",IMG:"img",IMAGE:"image",INPUT:"input",IFRAME:"iframe",KEYGEN:"keygen",LABEL:"label",LI:"li",LINK:"link",LISTING:"listing",MAIN:"main",MALIGNMARK:"malignmark",MARQUEE:"marquee",MATH:"math",MENU:"menu",META:"meta",MGLYPH:"mglyph",MI:"mi",MO:"mo",MN:"mn",MS:"ms",MTEXT:"mtext",NAV:"nav",NOBR:"nobr",NOFRAMES:"noframes",NOEMBED:"noembed",NOSCRIPT:"noscript",OBJECT:"object",OL:"ol",OPTGROUP:"optgroup",OPTION:"option",P:"p",PARAM:"param",PLAINTEXT:"plaintext",PRE:"pre",RB:"rb",RP:"rp",RT:"rt",RTC:"rtc",RUBY:"ruby",S:"s",SCRIPT:"script",SECTION:"section",SELECT:"select",SOURCE:"source",SMALL:"small",SPAN:"span",STRIKE:"strike",STRONG:"strong",STYLE:"style",SUB:"sub",SUMMARY:"summary",SUP:"sup",TABLE:"table",TBODY:"tbody",TEMPLATE:"template",TEXTAREA:"textarea",TFOOT:"tfoot",TD:"td",TH:"th",THEAD:"thead",TITLE:"title",TR:"tr",TRACK:"track",TT:"tt",U:"u",UL:"ul",SVG:"svg",VAR:"var",WBR:"wbr",XMP:"xmp"};t.SPECIAL_ELEMENTS={[n.HTML]:{[r.ADDRESS]:!0,[r.APPLET]:!0,[r.AREA]:!0,[r.ARTICLE]:!0,[r.ASIDE]:!0,[r.BASE]:!0,[r.BASEFONT]:!0,[r.BGSOUND]:!0,[r.BLOCKQUOTE]:!0,[r.BODY]:!0,[r.BR]:!0,[r.BUTTON]:!0,[r.CAPTION]:!0,[r.CENTER]:!0,[r.COL]:!0,[r.COLGROUP]:!0,[r.DD]:!0,[r.DETAILS]:!0,[r.DIR]:!0,[r.DIV]:!0,[r.DL]:!0,[r.DT]:!0,[r.EMBED]:!0,[r.FIELDSET]:!0,[r.FIGCAPTION]:!0,[r.FIGURE]:!0,[r.FOOTER]:!0,[r.FORM]:!0,[r.FRAME]:!0,[r.FRAMESET]:!0,[r.H1]:!0,[r.H2]:!0,[r.H3]:!0,[r.H4]:!0,[r.H5]:!0,[r.H6]:!0,[r.HEAD]:!0,[r.HEADER]:!0,[r.HGROUP]:!0,[r.HR]:!0,[r.HTML]:!0,[r.IFRAME]:!0,[r.IMG]:!0,[r.INPUT]:!0,[r.LI]:!0,[r.LINK]:!0,[r.LISTING]:!0,[r.MAIN]:!0,[r.MARQUEE]:!0,[r.MENU]:!0,[r.META]:!0,[r.NAV]:!0,[r.NOEMBED]:!0,[r.NOFRAMES]:!0,[r.NOSCRIPT]:!0,[r.OBJECT]:!0,[r.OL]:!0,[r.P]:!0,[r.PARAM]:!0,[r.PLAINTEXT]:!0,[r.PRE]:!0,[r.SCRIPT]:!0,[r.SECTION]:!0,[r.SELECT]:!0,[r.SOURCE]:!0,[r.STYLE]:!0,[r.SUMMARY]:!0,[r.TABLE]:!0,[r.TBODY]:!0,[r.TD]:!0,[r.TEMPLATE]:!0,[r.TEXTAREA]:!0,[r.TFOOT]:!0,[r.TH]:!0,[r.THEAD]:!0,[r.TITLE]:!0,[r.TR]:!0,[r.TRACK]:!0,[r.UL]:!0,[r.WBR]:!0,[r.XMP]:!0},[n.MATHML]:{[r.MI]:!0,[r.MO]:!0,[r.MN]:!0,[r.MS]:!0,[r.MTEXT]:!0,[r.ANNOTATION_XML]:!0},[n.SVG]:{[r.TITLE]:!0,[r.FOREIGN_OBJECT]:!0,[r.DESC]:!0}}},13114:(e,t)=>{"use strict";const n=[65534,65535,131070,131071,196606,196607,262142,262143,327678,327679,393214,393215,458750,458751,524286,524287,589822,589823,655358,655359,720894,720895,786430,786431,851966,851967,917502,917503,983038,983039,1048574,1048575,1114110,1114111];t.REPLACEMENT_CHARACTER="<22>",t.CODE_POINTS={EOF:-1,NULL:0,TABULATION:9,CARRIAGE_RETURN:13,LINE_FEED:10,FORM_FEED:12,SPACE:32,EXCLAMATION_MARK:33,QUOTATION_MARK:34,NUMBER_SIGN:35,AMPERSAND:38,APOSTROPHE:39,HYPHEN_MINUS:45,SOLIDUS:47,DIGIT_0:48,DIGIT_9:57,SEMICOLON:59,LESS_THAN_SIGN:60,EQUALS_SIGN:61,GREATER_THAN_SIGN:62,QUESTION_MARK:63,LATIN_CAPITAL_A:65,LATIN_CAPITAL_F:70,LATIN_CAPITAL_X:88,LATIN_CAPITAL_Z:90,RIGHT_SQUARE_BRACKET:93,GRAVE_ACCENT:96,LATIN_SMALL_A:97,LATIN_SMALL_F:102,LATIN_SMALL_X:120,LATIN_SMALL_Z:122,REPLACEMENT_CHARACTER:65533},t.CODE_POINT_SEQUENCES={DASH_DASH_STRING:[45,45],DOCTYPE_STRING:[68,79,67,84,89,80,69],CDATA_START_STRING:[91,67,68,65,84,65,91],SCRIPT_STRING:[115,99,114,105,112,116],PUBLIC_STRING:[80,85,66,76,73,67],SYSTEM_STRING:[83,89,83,84,69,77]},t.isSurrogate=function(e){return e>=55296&&e<=57343},t.isSurrogatePair=function(e){return e>=56320&&e<=57343},t.getSurrogatePairCodePoint=function(e,t){return 1024*(e-55296)+9216+t},t.isControlCodePoint=function(e){return 32!==e&&10!==e&&13!==e&&9!==e&&12!==e&&e>=1&&e<=31||e>=127&&e<=159},t.isUndefinedCodePoint=function(e){return e>=64976&&e<=65007||n.indexOf(e)>-1}},24369:(e,t,n)=>{"use strict";const r=n(60814);e.exports=class extends r{constructor(e,t){super(e),this.posTracker=null,this.onParseError=t.onParseError}_setErrorLocation(e){e.startLine=e.endLine=this.posTracker.line,e.startCol=e.endCol=this.posTracker.col,e.startOffset=e.endOffset=this.posTracker.offset}_reportError(e){const t={code:e,startLine:-1,startCol:-1,startOffset:-1,endLine:-1,endCol:-1,endOffset:-1};this._setErrorLocation(t),this.onParseError(t)}_getOverriddenMethods(e){return{_err(t){e._reportError(t)}}}}},80171:(e,t,n)=>{"use strict";const r=n(24369),i=n(29954),o=n(23454),a=n(60814);e.exports=class extends r{constructor(e,t){super(e,t),this.opts=t,this.ctLoc=null,this.locBeforeToken=!1}_setErrorLocation(e){this.ctLoc&&(e.startLine=this.ctLoc.startLine,e.startCol=this.ctLoc.startCol,e.startOffset=this.ctLoc.startOffset,e.endLine=this.locBeforeToken?this.ctLoc.startLine:this.ctLoc.endLine,e.endCol=this.locBeforeToken?this.ctLoc.startCol:this.ctLoc.endCol,e.endOffset=this.locBeforeToken?this.ctLoc.startOffset:this.ctLoc.endOffset)}_getOverriddenMethods(e,t){return{_bootstrap(n,r){t._bootstrap.call(this,n,r),a.install(this.tokenizer,i,e.opts),a.install(this.tokenizer,o)},_processInputToken(n){e.ctLoc=n.location,t._processInputToken.call(this,n)},_err(t,n){e.locBeforeToken=n&&n.beforeToken,e._reportError(t)}}}}},88184:(e,t,n)=>{"use strict";const r=n(24369),i=n(40279),o=n(60814);e.exports=class extends r{constructor(e,t){super(e,t),this.posTracker=o.install(e,i),this.lastErrOffset=-1}_reportError(e){this.lastErrOffset!==this.posTracker.offset&&(this.lastErrOffset=this.posTracker.offset,super._reportError(e))}}},29954:(e,t,n)=>{"use strict";const r=n(24369),i=n(88184),o=n(60814);e.exports=class extends r{constructor(e,t){super(e,t);const n=o.install(e.preprocessor,i,t);this.posTracker=n.posTracker}}},4007:(e,t,n)=>{"use strict";const r=n(60814);e.exports=class extends r{constructor(e,t){super(e),this.onItemPop=t.onItemPop}_getOverriddenMethods(e,t){return{pop(){e.onItemPop(this.current),t.pop.call(this)},popAllUpToHtmlElement(){for(let t=this.stackTop;t>0;t--)e.onItemPop(this.items[t]);t.popAllUpToHtmlElement.call(this)},remove(n){e.onItemPop(this.current),t.remove.call(this,n)}}}}},39938:(e,t,n)=>{"use strict";const r=n(60814),i=n(3183),o=n(23454),a=n(4007),s=n(95218).TAG_NAMES;e.exports=class extends r{constructor(e){super(e),this.parser=e,this.treeAdapter=this.parser.treeAdapter,this.posTracker=null,this.lastStartTagToken=null,this.lastFosterParentingLocation=null,this.currentToken=null}_setStartLocation(e){let t=null;this.lastStartTagToken&&(t=Object.assign({},this.lastStartTagToken.location),t.startTag=this.lastStartTagToken.location),this.treeAdapter.setNodeSourceCodeLocation(e,t)}_setEndLocation(e,t){const n=this.treeAdapter.getNodeSourceCodeLocation(e);if(n&&t.location){const r=t.location,o=this.treeAdapter.getTagName(e);t.type===i.END_TAG_TOKEN&&o===t.tagName?(n.endTag=Object.assign({},r),n.endLine=r.endLine,n.endCol=r.endCol,n.endOffset=r.endOffset):(n.endLine=r.startLine,n.endCol=r.startCol,n.endOffset=r.startOffset)}}_getOverriddenMethods(e,t){return{_bootstrap(n,i){t._bootstrap.call(this,n,i),e.lastStartTagToken=null,e.lastFosterParentingLocation=null,e.currentToken=null;const s=r.install(this.tokenizer,o);e.posTracker=s.posTracker,r.install(this.openElements,a,{onItemPop:function(t){e._setEndLocation(t,e.currentToken)}})},_runParsingLoop(n){t._runParsingLoop.call(this,n);for(let t=this.openElements.stackTop;t>=0;t--)e._setEndLocation(this.openElements.items[t],e.currentToken)},_processTokenInForeignContent(n){e.currentToken=n,t._processTokenInForeignContent.call(this,n)},_processToken(n){if(e.currentToken=n,t._processToken.call(this,n),n.type===i.END_TAG_TOKEN&&(n.tagName===s.HTML||n.tagName===s.BODY&&this.openElements.hasInScope(s.BODY)))for(let t=this.openElements.stackTop;t>=0;t--){const r=this.openElements.items[t];if(this.treeAdapter.getTagName(r)===n.tagName){e._setEndLocation(r,n);break}}},_setDocumentType(e){t._setDocumentType.call(this,e);const n=this.treeAdapter.getChildNodes(this.document),r=n.length;for(let t=0;t<r;t++){const r=n[t];if(this.treeAdapter.isDocumentTypeNode(r)){this.treeAdapter.setNodeSourceCodeLocation(r,e.location);break}}},_attachElementToTree(n){e._setStartLocation(n),e.lastStartTagToken=null,t._attachElementToTree.call(this,n)},_appendElement(n,r){e.lastStartTagToken=n,t._appendElement.call(this,n,r)},_insertElement(n,r){e.lastStartTagToken=n,t._insertElement.call(this,n,r)},_insertTemplate(n){e.lastStartTagToken=n,t._insertTemplate.call(this,n);const r=this.treeAdapter.getTemplateContent(this.openElements.current);this.treeAdapter.setNodeSourceCodeLocation(r,null)},_insertFakeRootElement(){t._insertFakeRootElement.call(this),this.treeAdapter.setNodeSourceCodeLocation(this.openElements.current,null)},_appendCommentNode(e,n){t._appendCommentNode.call(this,e,n);const r=this.treeAdapter.getChildNodes(n),i=r[r.length-1];this.treeAdapter.setNodeSourceCodeLocation(i,e.location)},_findFosterParentingLocation(){return e.lastFosterParentingLocation=t._findFosterParentingLocation.call(this),e.lastFosterParentingLocation},_insertCharacters(n){t._insertCharacters.call(this,n);const r=this._shouldFosterParentOnInsertion(),i=r&&e.lastFosterParentingLocation.parent||this.openElements.currentTmplContent||this.openElements.current,o=this.treeAdapter.getChildNodes(i),a=r&&e.lastFosterParentingLocation.beforeElement?o.indexOf(e.lastFosterParentingLocation.beforeElement)-1:o.length-1,s=o[a],l=this.treeAdapter.getNodeSourceCodeLocation(s);l?(l.endLine=n.location.endLine,l.endCol=n.location.endCol,l.endOffset=n.location.endOffset):this.treeAdapter.setNodeSourceCodeLocation(s,n.location)}}}}},23454:(e,t,n)=>{"use strict";const r=n(60814),i=n(3183),o=n(40279);e.exports=class extends r{constructor(e){super(e),this.tokenizer=e,this.posTracker=r.install(e.preprocessor,o),this.currentAttrLocation=null,this.ctLoc=null}_getCurrentLocation(){return{startLine:this.posTracker.line,startCol:this.posTracker.col,startOffset:this.posTracker.offset,endLine:-1,endCol:-1,endOffset:-1}}_attachCurrentAttrLocationInfo(){this.currentAttrLocation.endLine=this.posTracker.line,this.currentAttrLocation.endCol=this.posTracker.col,this.currentAttrLocation.endOffset=this.posTracker.offset;const e=this.tokenizer.currentToken,t=this.tokenizer.currentAttr;e.location.attrs||(e.location.attrs=Object.create(null)),e.location.attrs[t.name]=this.currentAttrLocation}_getOverriddenMethods(e,t){const n={_createStartTagToken(){t._createStartTagToken.call(this),this.currentToken.location=e.ctLoc},_createEndTagToken(){t._createEndTagToken.call(this),this.currentToken.location=e.ctLoc},_createCommentToken(){t._createCommentToken.call(this),this.currentToken.location=e.ctLoc},_createDoctypeToken(n){t._createDoctypeToken.call(this,n),this.currentToken.location=e.ctLoc},_createCharacterToken(n,r){t._createCharacterToken.call(this,n,r),this.currentCharacterToken.location=e.ctLoc},_createEOFToken(){t._createEOFToken.call(this),this.currentToken.location=e._getCurrentLocation()},_createAttr(n){t._createAttr.call(this,n),e.currentAttrLocation=e._getCurrentLocation()},_leaveAttrName(n){t._leaveAttrName.call(this,n),e._attachCurrentAttrLocationInfo()},_leaveAttrValue(n){t._leaveAttrValue.call(this,n),e._attachCurrentAttrLocationInfo()},_emitCurrentToken(){const n=this.currentToken.location;this.currentCharacterToken&&(this.currentCharacterToken.location.endLine=n.startLine,this.currentCharacterToken.location.endCol=n.startCol,this.currentCharacterToken.location.endOffset=n.startOffset),this.currentToken.type===i.EOF_TOKEN?(n.endLine=n.startLine,n.endCol=n.startCol,n.endOffset=n.startOffset):(n.endLine=e.posTracker.line,n.endCol=e.posTracker.col+1,n.endOffset=e.posTracker.offset+1),t._emitCurrentToken.call(this)},_emitCurrentCharacterToken(){const n=this.currentCharacterToken&&this.currentCharacterToken.location;n&&-1===n.endOffset&&(n.endLine=e.posTracker.line,n.endCol=e.posTracker.col,n.endOffset=e.posTracker.offset),t._emitCurrentCharacterToken.call(this)}};return Object.keys(i.MODE).forEach((r=>{const o=i.MODE[r];n[o]=function(n){e.ctLoc=e._getCurrentLocation(),t[o].call(this,n)}})),n}}},40279:(e,t,n)=>{"use strict";const r=n(60814);e.exports=class extends r{constructor(e){super(e),this.preprocessor=e,this.isEol=!1,this.lineStartPos=0,this.droppedBufferSize=0,this.offset=0,this.col=0,this.line=1}_getOverriddenMethods(e,t){return{advance(){const n=this.pos+1,r=this.html[n];return e.isEol&&(e.isEol=!1,e.line++,e.lineStartPos=n),("\n"===r||"\r"===r&&"\n"!==this.html[n+1])&&(e.isEol=!0),e.col=n-e.lineStartPos+1,e.offset=e.droppedBufferSize+n,t.advance.call(this)},retreat(){t.retreat.call(this),e.isEol=!1,e.col=this.pos-e.lineStartPos+1},dropParsedChunk(){const n=this.pos;t.dropParsedChunk.call(this);const r=n-this.pos;e.lineStartPos-=r,e.droppedBufferSize+=r,e.offset=e.droppedBufferSize+this.pos}}}}},43652:e=>{"use strict";class t{constructor(e){this.length=0,this.entries=[],this.treeAdapter=e,this.bookmark=null}_getNoahArkConditionCandidates(e){const n=[];if(this.length>=3){const r=this.treeAdapter.getAttrList(e).length,i=this.treeAdapter.getTagName(e),o=this.treeAdapter.getNamespaceURI(e);for(let e=this.length-1;e>=0;e--){const a=this.entries[e];if(a.type===t.MARKER_ENTRY)break;const s=a.element,l=this.treeAdapter.getAttrList(s);this.treeAdapter.getTagName(s)===i&&this.treeAdapter.getNamespaceURI(s)===o&&l.length===r&&n.push({idx:e,attrs:l})}}return n.length<3?[]:n}_ensureNoahArkCondition(e){const t=this._getNoahArkConditionCandidates(e);let n=t.length;if(n){const r=this.treeAdapter.getAttrList(e),i=r.length,o=Object.create(null);for(let e=0;e<i;e++){const t=r[e];o[t.name]=t.value}for(let e=0;e<i;e++)for(let r=0;r<n;r++){const i=t[r].attrs[e];if(o[i.name]!==i.value&&(t.splice(r,1),n--),t.length<3)return}for(let e=n-1;e>=2;e--)this.entries.splice(t[e].idx,1),this.length--}}insertMarker(){this.entries.push({type:t.MARKER_ENTRY}),this.length++}pushElement(e,n){this._ensureNoahArkCondition(e),this.entries.push({type:t.ELEMENT_ENTRY,element:e,token:n}),this.length++}insertElementAfterBookmark(e,n){let r=this.length-1;for(;r>=0&&this.entries[r]!==this.bookmark;r--);this.entries.splice(r+1,0,{type:t.ELEMENT_ENTRY,element:e,token:n}),this.length++}removeEntry(e){for(let t=this.length-1;t>=0;t--)if(this.entries[t]===e){this.entries.splice(t,1),this.length--;break}}clearToLastMarker(){for(;this.length;){const e=this.entries.pop();if(this.length--,e.type===t.MARKER_ENTRY)break}}getElementEntryInScopeWithTagName(e){for(let n=this.length-1;n>=0;n--){const r=this.entries[n];if(r.type===t.MARKER_ENTRY)return null;if(this.treeAdapter.getTagName(r.element)===e)return r}return null}getElementEntry(e){for(let n=this.length-1;n>=0;n--){const r=this.entries[n];if(r.type===t.ELEMENT_ENTRY&&r.element===e)return r}return null}}t.MARKER_ENTRY="MARKER_ENTRY",t.ELEMENT_ENTRY="ELEMENT_ENTRY",e.exports=t},68834:(e,t,n)=>{"use strict";const r=n(3183),i=n(23203),o=n(43652),a=n(39938),s=n(80171),l=n(60814),u=n(99132),c=n(58395),d=n(97827),p=n(52710),f=n(61445),h=n(13114),m=n(95218),g=m.TAG_NAMES,v=m.NAMESPACES,y=m.ATTRS,b={scriptingEnabled:!0,sourceCodeLocationInfo:!1,onParseError:null,treeAdapter:u},w="hidden",_=8,x=3,k="INITIAL_MODE",E="BEFORE_HTML_MODE",C="BEFORE_HEAD_MODE",S="IN_HEAD_MODE",A="IN_HEAD_NO_SCRIPT_MODE",T="AFTER_HEAD_MODE",O="IN_BODY_MODE",P="TEXT_MODE",D="IN_TABLE_MODE",R="IN_TABLE_TEXT_MODE",I="IN_CAPTION_MODE",M="IN_COLUMN_GROUP_MODE",L="IN_TABLE_BODY_MODE",N="IN_ROW_MODE",j="IN_CELL_MODE",F="IN_SELECT_MODE",B="IN_SELECT_IN_TABLE_MODE",z="IN_TEMPLATE_MODE",U="AFTER_BODY_MODE",q="IN_FRAMESET_MODE",$="AFTER_FRAMESET_MODE",W="AFTER_AFTER_BODY_MODE",H="AFTER_AFTER_FRAMESET_MODE",V={[g.TR]:N,[g.TBODY]:L,[g.THEAD]:L,[g.TFOOT]:L,[g.CAPTION]:I,[g.COLGROUP]:M,[g.TABLE]:D,[g.BODY]:O,[g.FRAMESET]:q},G={[g.CAPTION]:D,[g.COLGROUP]:D,[g.TBODY]:D,[g.TFOOT]:D,[g.THEAD]:D,[g.COL]:M,[g.TR]:L,[g.TD]:N,[g.TH]:N},K={[k]:{[r.CHARACTER_TOKEN]:le,[r.NULL_CHARACTER_TOKEN]:le,[r.WHITESPACE_CHARACTER_TOKEN]:ne,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:function(e,t){e._setDocumentType(t);const n=t.forceQuirks?m.DOCUMENT_MODE.QUIRKS:d.getDocumentMode(t);d.isConforming(t)||e._err(f.nonConformingDoctype),e.treeAdapter.setDocumentMode(e.document,n),e.insertionMode=E},[r.START_TAG_TOKEN]:le,[r.END_TAG_TOKEN]:le,[r.EOF_TOKEN]:le},[E]:{[r.CHARACTER_TOKEN]:ue,[r.NULL_CHARACTER_TOKEN]:ue,[r.WHITESPACE_CHARACTER_TOKEN]:ne,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){t.tagName===g.HTML?(e._insertElement(t,v.HTML),e.insertionMode=C):ue(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n!==g.HTML&&n!==g.HEAD&&n!==g.BODY&&n!==g.BR||ue(e,t)},[r.EOF_TOKEN]:ue},[C]:{[r.CHARACTER_TOKEN]:ce,[r.NULL_CHARACTER_TOKEN]:ce,[r.WHITESPACE_CHARACTER_TOKEN]:ne,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:re,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.HEAD?(e._insertElement(t,v.HTML),e.headElement=e.openElements.current,e.insertionMode=S):ce(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HEAD||n===g.BODY||n===g.HTML||n===g.BR?ce(e,t):e._err(f.endTagWithoutMatchingOpenElement)},[r.EOF_TOKEN]:ce},[S]:{[r.CHARACTER_TOKEN]:fe,[r.NULL_CHARACTER_TOKEN]:fe,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:re,[r.START_TAG_TOKEN]:de,[r.END_TAG_TOKEN]:pe,[r.EOF_TOKEN]:fe},[A]:{[r.CHARACTER_TOKEN]:he,[r.NULL_CHARACTER_TOKEN]:he,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:re,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.BASEFONT||n===g.BGSOUND||n===g.HEAD||n===g.LINK||n===g.META||n===g.NOFRAMES||n===g.STYLE?de(e,t):n===g.NOSCRIPT?e._err(f.nestedNoscriptInHead):he(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.NOSCRIPT?(e.openElements.pop(),e.insertionMode=S):n===g.BR?he(e,t):e._err(f.endTagWithoutMatchingOpenElement)},[r.EOF_TOKEN]:he},[T]:{[r.CHARACTER_TOKEN]:me,[r.NULL_CHARACTER_TOKEN]:me,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:re,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.BODY?(e._insertElement(t,v.HTML),e.framesetOk=!1,e.insertionMode=O):n===g.FRAMESET?(e._insertElement(t,v.HTML),e.insertionMode=q):n===g.BASE||n===g.BASEFONT||n===g.BGSOUND||n===g.LINK||n===g.META||n===g.NOFRAMES||n===g.SCRIPT||n===g.STYLE||n===g.TEMPLATE||n===g.TITLE?(e._err(f.abandonedHeadElementChild),e.openElements.push(e.headElement),de(e,t),e.openElements.remove(e.headElement)):n===g.HEAD?e._err(f.misplacedStartTagForHeadElement):me(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.BODY||n===g.HTML||n===g.BR?me(e,t):n===g.TEMPLATE?pe(e,t):e._err(f.endTagWithoutMatchingOpenElement)},[r.EOF_TOKEN]:me},[O]:{[r.CHARACTER_TOKEN]:ve,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:Te,[r.END_TAG_TOKEN]:Re,[r.EOF_TOKEN]:Ie},[P]:{[r.CHARACTER_TOKEN]:ae,[r.NULL_CHARACTER_TOKEN]:ae,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ne,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:ne,[r.END_TAG_TOKEN]:function(e,t){t.tagName===g.SCRIPT&&(e.pendingScript=e.openElements.current),e.openElements.pop(),e.insertionMode=e.originalInsertionMode},[r.EOF_TOKEN]:function(e,t){e._err(f.eofInElementThatCanContainOnlyText),e.openElements.pop(),e.insertionMode=e.originalInsertionMode,e._processToken(t)}},[D]:{[r.CHARACTER_TOKEN]:Me,[r.NULL_CHARACTER_TOKEN]:Me,[r.WHITESPACE_CHARACTER_TOKEN]:Me,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:Le,[r.END_TAG_TOKEN]:Ne,[r.EOF_TOKEN]:Ie},[R]:{[r.CHARACTER_TOKEN]:function(e,t){e.pendingCharacterTokens.push(t),e.hasNonWhitespacePendingCharacterToken=!0},[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:function(e,t){e.pendingCharacterTokens.push(t)},[r.COMMENT_TOKEN]:Fe,[r.DOCTYPE_TOKEN]:Fe,[r.START_TAG_TOKEN]:Fe,[r.END_TAG_TOKEN]:Fe,[r.EOF_TOKEN]:Fe},[I]:{[r.CHARACTER_TOKEN]:ve,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.CAPTION||n===g.COL||n===g.COLGROUP||n===g.TBODY||n===g.TD||n===g.TFOOT||n===g.TH||n===g.THEAD||n===g.TR?e.openElements.hasInTableScope(g.CAPTION)&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilTagNamePopped(g.CAPTION),e.activeFormattingElements.clearToLastMarker(),e.insertionMode=D,e._processToken(t)):Te(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.CAPTION||n===g.TABLE?e.openElements.hasInTableScope(g.CAPTION)&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilTagNamePopped(g.CAPTION),e.activeFormattingElements.clearToLastMarker(),e.insertionMode=D,n===g.TABLE&&e._processToken(t)):n!==g.BODY&&n!==g.COL&&n!==g.COLGROUP&&n!==g.HTML&&n!==g.TBODY&&n!==g.TD&&n!==g.TFOOT&&n!==g.TH&&n!==g.THEAD&&n!==g.TR&&Re(e,t)},[r.EOF_TOKEN]:Ie},[M]:{[r.CHARACTER_TOKEN]:Be,[r.NULL_CHARACTER_TOKEN]:Be,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.COL?(e._appendElement(t,v.HTML),t.ackSelfClosing=!0):n===g.TEMPLATE?de(e,t):Be(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.COLGROUP?e.openElements.currentTagName===g.COLGROUP&&(e.openElements.pop(),e.insertionMode=D):n===g.TEMPLATE?pe(e,t):n!==g.COL&&Be(e,t)},[r.EOF_TOKEN]:Ie},[L]:{[r.CHARACTER_TOKEN]:Me,[r.NULL_CHARACTER_TOKEN]:Me,[r.WHITESPACE_CHARACTER_TOKEN]:Me,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.TR?(e.openElements.clearBackToTableBodyContext(),e._insertElement(t,v.HTML),e.insertionMode=N):n===g.TH||n===g.TD?(e.openElements.clearBackToTableBodyContext(),e._insertFakeElement(g.TR),e.insertionMode=N,e._processToken(t)):n===g.CAPTION||n===g.COL||n===g.COLGROUP||n===g.TBODY||n===g.TFOOT||n===g.THEAD?e.openElements.hasTableBodyContextInTableScope()&&(e.openElements.clearBackToTableBodyContext(),e.openElements.pop(),e.insertionMode=D,e._processToken(t)):Le(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.TBODY||n===g.TFOOT||n===g.THEAD?e.openElements.hasInTableScope(n)&&(e.openElements.clearBackToTableBodyContext(),e.openElements.pop(),e.insertionMode=D):n===g.TABLE?e.openElements.hasTableBodyContextInTableScope()&&(e.openElements.clearBackToTableBodyContext(),e.openElements.pop(),e.insertionMode=D,e._processToken(t)):(n!==g.BODY&&n!==g.CAPTION&&n!==g.COL&&n!==g.COLGROUP||n!==g.HTML&&n!==g.TD&&n!==g.TH&&n!==g.TR)&&Ne(e,t)},[r.EOF_TOKEN]:Ie},[N]:{[r.CHARACTER_TOKEN]:Me,[r.NULL_CHARACTER_TOKEN]:Me,[r.WHITESPACE_CHARACTER_TOKEN]:Me,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.TH||n===g.TD?(e.openElements.clearBackToTableRowContext(),e._insertElement(t,v.HTML),e.insertionMode=j,e.activeFormattingElements.insertMarker()):n===g.CAPTION||n===g.COL||n===g.COLGROUP||n===g.TBODY||n===g.TFOOT||n===g.THEAD||n===g.TR?e.openElements.hasInTableScope(g.TR)&&(e.openElements.clearBackToTableRowContext(),e.openElements.pop(),e.insertionMode=L,e._processToken(t)):Le(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.TR?e.openElements.hasInTableScope(g.TR)&&(e.openElements.clearBackToTableRowContext(),e.openElements.pop(),e.insertionMode=L):n===g.TABLE?e.openElements.hasInTableScope(g.TR)&&(e.openElements.clearBackToTableRowContext(),e.openElements.pop(),e.insertionMode=L,e._processToken(t)):n===g.TBODY||n===g.TFOOT||n===g.THEAD?(e.openElements.hasInTableScope(n)||e.openElements.hasInTableScope(g.TR))&&(e.openElements.clearBackToTableRowContext(),e.openElements.pop(),e.insertionMode=L,e._processToken(t)):(n!==g.BODY&&n!==g.CAPTION&&n!==g.COL&&n!==g.COLGROUP||n!==g.HTML&&n!==g.TD&&n!==g.TH)&&Ne(e,t)},[r.EOF_TOKEN]:Ie},[j]:{[r.CHARACTER_TOKEN]:ve,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.CAPTION||n===g.COL||n===g.COLGROUP||n===g.TBODY||n===g.TD||n===g.TFOOT||n===g.TH||n===g.THEAD||n===g.TR?(e.openElements.hasInTableScope(g.TD)||e.openElements.hasInTableScope(g.TH))&&(e._closeTableCell(),e._processToken(t)):Te(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.TD||n===g.TH?e.openElements.hasInTableScope(n)&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilTagNamePopped(n),e.activeFormattingElements.clearToLastMarker(),e.insertionMode=N):n===g.TABLE||n===g.TBODY||n===g.TFOOT||n===g.THEAD||n===g.TR?e.openElements.hasInTableScope(n)&&(e._closeTableCell(),e._processToken(t)):n!==g.BODY&&n!==g.CAPTION&&n!==g.COL&&n!==g.COLGROUP&&n!==g.HTML&&Re(e,t)},[r.EOF_TOKEN]:Ie},[F]:{[r.CHARACTER_TOKEN]:ae,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:ze,[r.END_TAG_TOKEN]:Ue,[r.EOF_TOKEN]:Ie},[B]:{[r.CHARACTER_TOKEN]:ae,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.CAPTION||n===g.TABLE||n===g.TBODY||n===g.TFOOT||n===g.THEAD||n===g.TR||n===g.TD||n===g.TH?(e.openElements.popUntilTagNamePopped(g.SELECT),e._resetInsertionMode(),e._processToken(t)):ze(e,t)},[r.END_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.CAPTION||n===g.TABLE||n===g.TBODY||n===g.TFOOT||n===g.THEAD||n===g.TR||n===g.TD||n===g.TH?e.openElements.hasInTableScope(n)&&(e.openElements.popUntilTagNamePopped(g.SELECT),e._resetInsertionMode(),e._processToken(t)):Ue(e,t)},[r.EOF_TOKEN]:Ie},[z]:{[r.CHARACTER_TOKEN]:ve,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;if(n===g.BASE||n===g.BASEFONT||n===g.BGSOUND||n===g.LINK||n===g.META||n===g.NOFRAMES||n===g.SCRIPT||n===g.STYLE||n===g.TEMPLATE||n===g.TITLE)de(e,t);else{const r=G[n]||O;e._popTmplInsertionMode(),e._pushTmplInsertionMode(r),e.insertionMode=r,e._processToken(t)}},[r.END_TAG_TOKEN]:function(e,t){t.tagName===g.TEMPLATE&&pe(e,t)},[r.EOF_TOKEN]:qe},[U]:{[r.CHARACTER_TOKEN]:$e,[r.NULL_CHARACTER_TOKEN]:$e,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:function(e,t){e._appendCommentNode(t,e.openElements.items[0])},[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){t.tagName===g.HTML?Te(e,t):$e(e,t)},[r.END_TAG_TOKEN]:function(e,t){t.tagName===g.HTML?e.fragmentContext||(e.insertionMode=W):$e(e,t)},[r.EOF_TOKEN]:se},[q]:{[r.CHARACTER_TOKEN]:ne,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.FRAMESET?e._insertElement(t,v.HTML):n===g.FRAME?(e._appendElement(t,v.HTML),t.ackSelfClosing=!0):n===g.NOFRAMES&&de(e,t)},[r.END_TAG_TOKEN]:function(e,t){t.tagName!==g.FRAMESET||e.openElements.isRootHtmlElementCurrent()||(e.openElements.pop(),e.fragmentContext||e.openElements.currentTagName===g.FRAMESET||(e.insertionMode=$))},[r.EOF_TOKEN]:se},[$]:{[r.CHARACTER_TOKEN]:ne,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ae,[r.COMMENT_TOKEN]:ie,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.NOFRAMES&&de(e,t)},[r.END_TAG_TOKEN]:function(e,t){t.tagName===g.HTML&&(e.insertionMode=H)},[r.EOF_TOKEN]:se},[W]:{[r.CHARACTER_TOKEN]:We,[r.NULL_CHARACTER_TOKEN]:We,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:oe,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){t.tagName===g.HTML?Te(e,t):We(e,t)},[r.END_TAG_TOKEN]:We,[r.EOF_TOKEN]:se},[H]:{[r.CHARACTER_TOKEN]:ne,[r.NULL_CHARACTER_TOKEN]:ne,[r.WHITESPACE_CHARACTER_TOKEN]:ge,[r.COMMENT_TOKEN]:oe,[r.DOCTYPE_TOKEN]:ne,[r.START_TAG_TOKEN]:function(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.NOFRAMES&&de(e,t)},[r.END_TAG_TOKEN]:ne,[r.EOF_TOKEN]:se}};function Y(e,t){let n=e.activeFormattingElements.getElementEntryInScopeWithTagName(t.tagName);return n?e.openElements.contains(n.element)?e.openElements.hasInScope(t.tagName)||(n=null):(e.activeFormattingElements.removeEntry(n),n=null):De(e,t),n}function Z(e,t){let n=null;for(let r=e.openElements.stackTop;r>=0;r--){const i=e.openElements.items[r];if(i===t.element)break;e._isSpecialElement(i)&&(n=i)}return n||(e.openElements.popUntilElementPopped(t.element),e.activeFormattingElements.removeEntry(t)),n}function X(e,t,n){let r=t,i=e.openElements.getCommonAncestor(t);for(let o=0,a=i;a!==n;o++,a=i){i=e.openElements.getCommonAncestor(a);const n=e.activeFormattingElements.getElementEntry(a),s=n&&o>=x;!n||s?(s&&e.activeFormattingElements.removeEntry(n),e.openElements.remove(a)):(a=Q(e,n),r===t&&(e.activeFormattingElements.bookmark=n),e.treeAdapter.detachNode(r),e.treeAdapter.appendChild(a,r),r=a)}return r}function Q(e,t){const n=e.treeAdapter.getNamespaceURI(t.element),r=e.treeAdapter.createElement(t.token.tagName,n,t.token.attrs);return e.openElements.replace(t.element,r),t.element=r,r}function J(e,t,n){if(e._isElementCausesFosterParenting(t))e._fosterParentElement(n);else{const r=e.treeAdapter.getTagName(t),i=e.treeAdapter.getNamespaceURI(t);r===g.TEMPLATE&&i===v.HTML&&(t=e.treeAdapter.getTemplateContent(t)),e.treeAdapter.appendChild(t,n)}}function ee(e,t,n){const r=e.treeAdapter.getNamespaceURI(n.element),i=n.token,o=e.treeAdapter.createElement(i.tagName,r,i.attrs);e._adoptNodes(t,o),e.treeAdapter.appendChild(t,o),e.activeFormattingElements.insertElementAfterBookmark(o,n.token),e.activeFormattingElements.removeEntry(n),e.openElements.remove(n.element),e.openElements.insertAfter(t,o)}function te(e,t){let n;for(let r=0;r<_&&(n=Y(e,t),n);r++){const t=Z(e,n);if(!t)break;e.activeFormattingElements.bookmark=n;const r=X(e,t,n.element),i=e.openElements.getCommonAncestor(n.element);e.treeAdapter.detachNode(r),J(e,i,r),ee(e,t,n)}}function ne(){}function re(e){e._err(f.misplacedDoctype)}function ie(e,t){e._appendCommentNode(t,e.openElements.currentTmplContent||e.openElements.current)}function oe(e,t){e._appendCommentNode(t,e.document)}function ae(e,t){e._insertCharacters(t)}function se(e){e.stopped=!0}function le(e,t){e._err(f.missingDoctype,{beforeToken:!0}),e.treeAdapter.setDocumentMode(e.document,m.DOCUMENT_MODE.QUIRKS),e.insertionMode=E,e._processToken(t)}function ue(e,t){e._insertFakeRootElement(),e.insertionMode=C,e._processToken(t)}function ce(e,t){e._insertFakeElement(g.HEAD),e.headElement=e.openElements.current,e.insertionMode=S,e._processToken(t)}function de(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.BASE||n===g.BASEFONT||n===g.BGSOUND||n===g.LINK||n===g.META?(e._appendElement(t,v.HTML),t.ackSelfClosing=!0):n===g.TITLE?e._switchToTextParsing(t,r.MODE.RCDATA):n===g.NOSCRIPT?e.options.scriptingEnabled?e._switchToTextParsing(t,r.MODE.RAWTEXT):(e._insertElement(t,v.HTML),e.insertionMode=A):n===g.NOFRAMES||n===g.STYLE?e._switchToTextParsing(t,r.MODE.RAWTEXT):n===g.SCRIPT?e._switchToTextParsing(t,r.MODE.SCRIPT_DATA):n===g.TEMPLATE?(e._insertTemplate(t,v.HTML),e.activeFormattingElements.insertMarker(),e.framesetOk=!1,e.insertionMode=z,e._pushTmplInsertionMode(z)):n===g.HEAD?e._err(f.misplacedStartTagForHeadElement):fe(e,t)}function pe(e,t){const n=t.tagName;n===g.HEAD?(e.openElements.pop(),e.insertionMode=T):n===g.BODY||n===g.BR||n===g.HTML?fe(e,t):n===g.TEMPLATE&&e.openElements.tmplCount>0?(e.openElements.generateImpliedEndTagsThoroughly(),e.openElements.currentTagName!==g.TEMPLATE&&e._err(f.closingOfElementWithOpenChildElements),e.openElements.popUntilTagNamePopped(g.TEMPLATE),e.activeFormattingElements.clearToLastMarker(),e._popTmplInsertionMode(),e._resetInsertionMode()):e._err(f.endTagWithoutMatchingOpenElement)}function fe(e,t){e.openElements.pop(),e.insertionMode=T,e._processToken(t)}function he(e,t){const n=t.type===r.EOF_TOKEN?f.openElementsLeftAfterEof:f.disallowedContentInNoscriptInHead;e._err(n),e.openElements.pop(),e.insertionMode=S,e._processToken(t)}function me(e,t){e._insertFakeElement(g.BODY),e.insertionMode=O,e._processToken(t)}function ge(e,t){e._reconstructActiveFormattingElements(),e._insertCharacters(t)}function ve(e,t){e._reconstructActiveFormattingElements(),e._insertCharacters(t),e.framesetOk=!1}function ye(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML)}function be(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML),e.skipNextNewLine=!0,e.framesetOk=!1}function we(e,t){e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML),e.activeFormattingElements.pushElement(e.openElements.current,t)}function _e(e,t){e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML),e.activeFormattingElements.insertMarker(),e.framesetOk=!1}function xe(e,t){e._reconstructActiveFormattingElements(),e._appendElement(t,v.HTML),e.framesetOk=!1,t.ackSelfClosing=!0}function ke(e,t){e._appendElement(t,v.HTML),t.ackSelfClosing=!0}function Ee(e,t){e._switchToTextParsing(t,r.MODE.RAWTEXT)}function Ce(e,t){e.openElements.currentTagName===g.OPTION&&e.openElements.pop(),e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML)}function Se(e,t){e.openElements.hasInScope(g.RUBY)&&e.openElements.generateImpliedEndTags(),e._insertElement(t,v.HTML)}function Ae(e,t){e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML)}function Te(e,t){const n=t.tagName;switch(n.length){case 1:n===g.I||n===g.S||n===g.B||n===g.U?we(e,t):n===g.P?ye(e,t):n===g.A?function(e,t){const n=e.activeFormattingElements.getElementEntryInScopeWithTagName(g.A);n&&(te(e,t),e.openElements.remove(n.element),e.activeFormattingElements.removeEntry(n)),e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML),e.activeFormattingElements.pushElement(e.openElements.current,t)}(e,t):Ae(e,t);break;case 2:n===g.DL||n===g.OL||n===g.UL?ye(e,t):n===g.H1||n===g.H2||n===g.H3||n===g.H4||n===g.H5||n===g.H6?function(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement();const n=e.openElements.currentTagName;n!==g.H1&&n!==g.H2&&n!==g.H3&&n!==g.H4&&n!==g.H5&&n!==g.H6||e.openElements.pop(),e._insertElement(t,v.HTML)}(e,t):n===g.LI||n===g.DD||n===g.DT?function(e,t){e.framesetOk=!1;const n=t.tagName;for(let t=e.openElements.stackTop;t>=0;t--){const r=e.openElements.items[t],i=e.treeAdapter.getTagName(r);let o=null;if(n===g.LI&&i===g.LI?o=g.LI:n!==g.DD&&n!==g.DT||i!==g.DD&&i!==g.DT||(o=i),o){e.openElements.generateImpliedEndTagsWithExclusion(o),e.openElements.popUntilTagNamePopped(o);break}if(i!==g.ADDRESS&&i!==g.DIV&&i!==g.P&&e._isSpecialElement(r))break}e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML)}(e,t):n===g.EM||n===g.TT?we(e,t):n===g.BR?xe(e,t):n===g.HR?function(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._appendElement(t,v.HTML),e.framesetOk=!1,e.ackSelfClosing=!0}(e,t):n===g.RB?Se(e,t):n===g.RT||n===g.RP?function(e,t){e.openElements.hasInScope(g.RUBY)&&e.openElements.generateImpliedEndTagsWithExclusion(g.RTC),e._insertElement(t,v.HTML)}(e,t):n!==g.TH&&n!==g.TD&&n!==g.TR&&Ae(e,t);break;case 3:n===g.DIV||n===g.DIR||n===g.NAV?ye(e,t):n===g.PRE?be(e,t):n===g.BIG?we(e,t):n===g.IMG||n===g.WBR?xe(e,t):n===g.XMP?function(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._reconstructActiveFormattingElements(),e.framesetOk=!1,e._switchToTextParsing(t,r.MODE.RAWTEXT)}(e,t):n===g.SVG?function(e,t){e._reconstructActiveFormattingElements(),p.adjustTokenSVGAttrs(t),p.adjustTokenXMLAttrs(t),t.selfClosing?e._appendElement(t,v.SVG):e._insertElement(t,v.SVG),t.ackSelfClosing=!0}(e,t):n===g.RTC?Se(e,t):n!==g.COL&&Ae(e,t);break;case 4:n===g.HTML?function(e,t){0===e.openElements.tmplCount&&e.treeAdapter.adoptAttributes(e.openElements.items[0],t.attrs)}(e,t):n===g.BASE||n===g.LINK||n===g.META?de(e,t):n===g.BODY?function(e,t){const n=e.openElements.tryPeekProperlyNestedBodyElement();n&&0===e.openElements.tmplCount&&(e.framesetOk=!1,e.treeAdapter.adoptAttributes(n,t.attrs))}(e,t):n===g.MAIN||n===g.MENU?ye(e,t):n===g.FORM?function(e,t){const n=e.openElements.tmplCount>0;e.formElement&&!n||(e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML),n||(e.formElement=e.openElements.current))}(e,t):n===g.CODE||n===g.FONT?we(e,t):n===g.NOBR?function(e,t){e._reconstructActiveFormattingElements(),e.openElements.hasInScope(g.NOBR)&&(te(e,t),e._reconstructActiveFormattingElements()),e._insertElement(t,v.HTML),e.activeFormattingElements.pushElement(e.openElements.current,t)}(e,t):n===g.AREA?xe(e,t):n===g.MATH?function(e,t){e._reconstructActiveFormattingElements(),p.adjustTokenMathMLAttrs(t),p.adjustTokenXMLAttrs(t),t.selfClosing?e._appendElement(t,v.MATHML):e._insertElement(t,v.MATHML),t.ackSelfClosing=!0}(e,t):n===g.MENU?function(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML)}(e,t):n!==g.HEAD&&Ae(e,t);break;case 5:n===g.STYLE||n===g.TITLE?de(e,t):n===g.ASIDE?ye(e,t):n===g.SMALL?we(e,t):n===g.TABLE?function(e,t){e.treeAdapter.getDocumentMode(e.document)!==m.DOCUMENT_MODE.QUIRKS&&e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML),e.framesetOk=!1,e.insertionMode=D}(e,t):n===g.EMBED?xe(e,t):n===g.INPUT?function(e,t){e._reconstructActiveFormattingElements(),e._appendElement(t,v.HTML);const n=r.getTokenAttr(t,y.TYPE);n&&n.toLowerCase()===w||(e.framesetOk=!1),t.ackSelfClosing=!0}(e,t):n===g.PARAM||n===g.TRACK?ke(e,t):n===g.IMAGE?function(e,t){t.tagName=g.IMG,xe(e,t)}(e,t):n!==g.FRAME&&n!==g.TBODY&&n!==g.TFOOT&&n!==g.THEAD&&Ae(e,t);break;case 6:n===g.SCRIPT?de(e,t):n===g.CENTER||n===g.FIGURE||n===g.FOOTER||n===g.HEADER||n===g.HGROUP||n===g.DIALOG?ye(e,t):n===g.BUTTON?function(e,t){e.openElements.hasInScope(g.BUTTON)&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilTagNamePopped(g.BUTTON)),e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML),e.framesetOk=!1}(e,t):n===g.STRIKE||n===g.STRONG?we(e,t):n===g.APPLET||n===g.OBJECT?_e(e,t):n===g.KEYGEN?xe(e,t):n===g.SOURCE?ke(e,t):n===g.IFRAME?function(e,t){e.framesetOk=!1,e._switchToTextParsing(t,r.MODE.RAWTEXT)}(e,t):n===g.SELECT?function(e,t){e._reconstructActiveFormattingElements(),e._insertElement(t,v.HTML),e.framesetOk=!1,e.insertionMode===D||e.insertionMode===I||e.insertionMode===L||e.insertionMode===N||e.insertionMode===j?e.insertionMode=B:e.insertionMode=F}(e,t):n===g.OPTION?Ce(e,t):Ae(e,t);break;case 7:n===g.BGSOUND?de(e,t):n===g.DETAILS||n===g.ADDRESS||n===g.ARTICLE||n===g.SECTION||n===g.SUMMARY?ye(e,t):n===g.LISTING?be(e,t):n===g.MARQUEE?_e(e,t):n===g.NOEMBED?Ee(e,t):n!==g.CAPTION&&Ae(e,t);break;case 8:n===g.BASEFONT?de(e,t):n===g.FRAMESET?function(e,t){const n=e.openElements.tryPeekProperlyNestedBodyElement();e.framesetOk&&n&&(e.treeAdapter.detachNode(n),e.openElements.popAllUpToHtmlElement(),e._insertElement(t,v.HTML),e.insertionMode=q)}(e,t):n===g.FIELDSET?ye(e,t):n===g.TEXTAREA?function(e,t){e._insertElement(t,v.HTML),e.skipNextNewLine=!0,e.tokenizer.state=r.MODE.RCDATA,e.originalInsertionMode=e.insertionMode,e.framesetOk=!1,e.insertionMode=P}(e,t):n===g.TEMPLATE?de(e,t):n===g.NOSCRIPT?e.options.scriptingEnabled?Ee(e,t):Ae(e,t):n===g.OPTGROUP?Ce(e,t):n!==g.COLGROUP&&Ae(e,t);break;case 9:n===g.PLAINTEXT?function(e,t){e.openElements.hasInButtonScope(g.P)&&e._closePElement(),e._insertElement(t,v.HTML),e.tokenizer.state=r.MODE.PLAINTEXT}(e,t):Ae(e,t);break;case 10:n===g.BLOCKQUOTE||n===g.FIGCAPTION?ye(e,t):Ae(e,t);break;default:Ae(e,t)}}function Oe(e,t){const n=t.tagName;e.openElements.hasInScope(n)&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilTagNamePopped(n))}function Pe(e,t){const n=t.tagName;e.openElements.hasInScope(n)&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilTagNamePopped(n),e.activeFormattingElements.clearToLastMarker())}function De(e,t){const n=t.tagName;for(let t=e.openElements.stackTop;t>0;t--){const r=e.openElements.items[t];if(e.treeAdapter.getTagName(r)===n){e.openElements.generateImpliedEndTagsWithExclusion(n),e.openElements.popUntilElementPopped(r);break}if(e._isSpecialElement(r))break}}function Re(e,t){const n=t.tagName;switch(n.length){case 1:n===g.A||n===g.B||n===g.I||n===g.S||n===g.U?te(e,t):n===g.P?function(e){e.openElements.hasInButtonScope(g.P)||e._insertFakeElement(g.P),e._closePElement()}(e):De(e,t);break;case 2:n===g.DL||n===g.UL||n===g.OL?Oe(e,t):n===g.LI?function(e){e.openElements.hasInListItemScope(g.LI)&&(e.openElements.generateImpliedEndTagsWithExclusion(g.LI),e.openElements.popUntilTagNamePopped(g.LI))}(e):n===g.DD||n===g.DT?function(e,t){const n=t.tagName;e.openElements.hasInScope(n)&&(e.openElements.generateImpliedEndTagsWithExclusion(n),e.openElements.popUntilTagNamePopped(n))}(e,t):n===g.H1||n===g.H2||n===g.H3||n===g.H4||n===g.H5||n===g.H6?function(e){e.openElements.hasNumberedHeaderInScope()&&(e.openElements.generateImpliedEndTags(),e.openElements.popUntilNumberedHeaderPopped())}(e):n===g.BR?function(e){e._reconstructActiveFormattingElements(),e._insertFakeElement(g.BR),e.openElements.pop(),e.framesetOk=!1}(e):n===g.EM||n===g.TT?te(e,t):De(e,t);break;case 3:n===g.BIG?te(e,t):n===g.DIR||n===g.DIV||n===g.NAV||n===g.PRE?Oe(e,t):De(e,t);break;case 4:n===g.BODY?function(e){e.openElements.hasInScope(g.BODY)&&(e.insertionMode=U)}(e):n===g.HTML?function(e,t){e.openElements.hasInScope(g.BODY)&&(e.insertionMode=U,e._processToken(t))}(e,t):n===g.FORM?function(e){const t=e.openElements.tmplCount>0,n=e.formElement;t||(e.formElement=null),(n||t)&&e.openElements.hasInScope(g.FORM)&&(e.openElements.generateImpliedEndTags(),t?e.openElements.popUntilTagNamePopped(g.FORM):e.openElements.remove(n))}(e):n===g.CODE||n===g.FONT||n===g.NOBR?te(e,t):n===g.MAIN||n===g.MENU?Oe(e,t):De(e,t);break;case 5:n===g.ASIDE?Oe(e,t):n===g.SMALL?te(e,t):De(e,t);break;case 6:n===g.CENTER||n===g.FIGURE||n===g.FOOTER||n===g.HEADER||n===g.HGROUP||n===g.DIALOG?Oe(e,t):n===g.APPLET||n===g.OBJECT?Pe(e,t):n===g.STRIKE||n===g.STRONG?te(e,t):De(e,t);break;case 7:n===g.ADDRESS||n===g.ARTICLE||n===g.DETAILS||n===g.SECTION||n===g.SUMMARY||n===g.LISTING?Oe(e,t):n===g.MARQUEE?Pe(e,t):De(e,t);break;case 8:n===g.FIELDSET?Oe(e,t):n===g.TEMPLATE?pe(e,t):De(e,t);break;case 10:n===g.BLOCKQUOTE||n===g.FIGCAPTION?Oe(e,t):De(e,t);break;default:De(e,t)}}function Ie(e,t){e.tmplInsertionModeStackTop>-1?qe(e,t):e.stopped=!0}function Me(e,t){const n=e.openElements.currentTagName;n===g.TABLE||n===g.TBODY||n===g.TFOOT||n===g.THEAD||n===g.TR?(e.pendingCharacterTokens=[],e.hasNonWhitespacePendingCharacterToken=!1,e.originalInsertionMode=e.insertionMode,e.insertionMode=R,e._processToken(t)):je(e,t)}function Le(e,t){const n=t.tagName;switch(n.length){case 2:n===g.TD||n===g.TH||n===g.TR?function(e,t){e.openElements.clearBackToTableContext(),e._insertFakeElement(g.TBODY),e.insertionMode=L,e._processToken(t)}(e,t):je(e,t);break;case 3:n===g.COL?function(e,t){e.openElements.clearBackToTableContext(),e._insertFakeElement(g.COLGROUP),e.insertionMode=M,e._processToken(t)}(e,t):je(e,t);break;case 4:n===g.FORM?function(e,t){e.formElement||0!==e.openElements.tmplCount||(e._insertElement(t,v.HTML),e.formElement=e.openElements.current,e.openElements.pop())}(e,t):je(e,t);break;case 5:n===g.TABLE?function(e,t){e.openElements.hasInTableScope(g.TABLE)&&(e.openElements.popUntilTagNamePopped(g.TABLE),e._resetInsertionMode(),e._processToken(t))}(e,t):n===g.STYLE?de(e,t):n===g.TBODY||n===g.TFOOT||n===g.THEAD?function(e,t){e.openElements.clearBackToTableContext(),e._insertElement(t,v.HTML),e.insertionMode=L}(e,t):n===g.INPUT?function(e,t){const n=r.getTokenAttr(t,y.TYPE);n&&n.toLowerCase()===w?e._appendElement(t,v.HTML):je(e,t),t.ackSelfClosing=!0}(e,t):je(e,t);break;case 6:n===g.SCRIPT?de(e,t):je(e,t);break;case 7:n===g.CAPTION?function(e,t){e.openElements.clearBackToTableContext(),e.activeFormattingElements.insertMarker(),e._insertElement(t,v.HTML),e.insertionMode=I}(e,t):je(e,t);break;case 8:n===g.COLGROUP?function(e,t){e.openElements.clearBackToTableContext(),e._insertElement(t,v.HTML),e.insertionMode=M}(e,t):n===g.TEMPLATE?de(e,t):je(e,t);break;default:je(e,t)}}function Ne(e,t){const n=t.tagName;n===g.TABLE?e.openElements.hasInTableScope(g.TABLE)&&(e.openElements.popUntilTagNamePopped(g.TABLE),e._resetInsertionMode()):n===g.TEMPLATE?pe(e,t):n!==g.BODY&&n!==g.CAPTION&&n!==g.COL&&n!==g.COLGROUP&&n!==g.HTML&&n!==g.TBODY&&n!==g.TD&&n!==g.TFOOT&&n!==g.TH&&n!==g.THEAD&&n!==g.TR&&je(e,t)}function je(e,t){const n=e.fosterParentingEnabled;e.fosterParentingEnabled=!0,e._processTokenInBodyMode(t),e.fosterParentingEnabled=n}function Fe(e,t){let n=0;if(e.hasNonWhitespacePendingCharacterToken)for(;n<e.pendingCharacterTokens.length;n++)je(e,e.pendingCharacterTokens[n]);else for(;n<e.pendingCharacterTokens.length;n++)e._insertCharacters(e.pendingCharacterTokens[n]);e.insertionMode=e.originalInsertionMode,e._processToken(t)}function Be(e,t){e.openElements.currentTagName===g.COLGROUP&&(e.openElements.pop(),e.insertionMode=D,e._processToken(t))}function ze(e,t){const n=t.tagName;n===g.HTML?Te(e,t):n===g.OPTION?(e.openElements.currentTagName===g.OPTION&&e.openElements.pop(),e._insertElement(t,v.HTML)):n===g.OPTGROUP?(e.openElements.currentTagName===g.OPTION&&e.openElements.pop(),e.openElements.currentTagName===g.OPTGROUP&&e.openElements.pop(),e._insertElement(t,v.HTML)):n===g.INPUT||n===g.KEYGEN||n===g.TEXTAREA||n===g.SELECT?e.openElements.hasInSelectScope(g.SELECT)&&(e.openElements.popUntilTagNamePopped(g.SELECT),e._resetInsertionMode(),n!==g.SELECT&&e._processToken(t)):n!==g.SCRIPT&&n!==g.TEMPLATE||de(e,t)}function Ue(e,t){const n=t.tagName;if(n===g.OPTGROUP){const t=e.openElements.items[e.openElements.stackTop-1],n=t&&e.treeAdapter.getTagName(t);e.openElements.currentTagName===g.OPTION&&n===g.OPTGROUP&&e.openElements.pop(),e.openElements.currentTagName===g.OPTGROUP&&e.openElements.pop()}else n===g.OPTION?e.openElements.currentTagName===g.OPTION&&e.openElements.pop():n===g.SELECT&&e.openElements.hasInSelectScope(g.SELECT)?(e.openElements.popUntilTagNamePopped(g.SELECT),e._resetInsertionMode()):n===g.TEMPLATE&&pe(e,t)}function qe(e,t){e.openElements.tmplCount>0?(e.openElements.popUntilTagNamePopped(g.TEMPLATE),e.activeFormattingElements.clearToLastMarker(),e._popTmplInsertionMode(),e._resetInsertionMode(),e._processToken(t)):e.stopped=!0}function $e(e,t){e.insertionMode=O,e._processToken(t)}function We(e,t){e.insertionMode=O,e._processToken(t)}e.exports=class{constructor(e){this.options=c(b,e),this.treeAdapter=this.options.treeAdapter,this.pendingScript=null,this.options.sourceCodeLocationInfo&&l.install(this,a),this.options.onParseError&&l.install(this,s,{onParseError:this.options.onParseError})}parse(e){const t=this.treeAdapter.createDocument();return this._bootstrap(t,null),this.tokenizer.write(e,!0),this._runParsingLoop(null),t}parseFragment(e,t){t||(t=this.treeAdapter.createElement(g.TEMPLATE,v.HTML,[]));const n=this.treeAdapter.createElement("documentmock",v.HTML,[]);this._bootstrap(n,t),this.treeAdapter.getTagName(t)===g.TEMPLATE&&this._pushTmplInsertionMode(z),this._initTokenizerForFragmentParsing(),this._insertFakeRootElement(),this._resetInsertionMode(),this._findFormInFragmentContext(),this.tokenizer.write(e,!0),this._runParsingLoop(null);const r=this.treeAdapter.getFirstChild(n),i=this.treeAdapter.createDocumentFragment();return this._adoptNodes(r,i),i}_bootstrap(e,t){this.tokenizer=new r(this.options),this.stopped=!1,this.insertionMode=k,this.originalInsertionMode="",this.document=e,this.fragmentContext=t,this.headElement=null,this.formElement=null,this.openElements=new i(this.document,this.treeAdapter),this.activeFormattingElements=new o(this.treeAdapter),this.tmplInsertionModeStack=[],this.tmplInsertionModeStackTop=-1,this.currentTmplInsertionMode=null,this.pendingCharacterTokens=[],this.hasNonWhitespacePendingCharacterToken=!1,this.framesetOk=!0,this.skipNextNewLine=!1,this.fosterParentingEnabled=!1}_err(){}_runParsingLoop(e){for(;!this.stopped;){this._setupTokenizerCDATAMode();const t=this.tokenizer.getNextToken();if(t.type===r.HIBERNATION_TOKEN)break;if(this.skipNextNewLine&&(this.skipNextNewLine=!1,t.type===r.WHITESPACE_CHARACTER_TOKEN&&"\n"===t.chars[0])){if(1===t.chars.length)continue;t.chars=t.chars.substr(1)}if(this._processInputToken(t),e&&this.pendingScript)break}}runParsingLoopForCurrentChunk(e,t){if(this._runParsingLoop(t),t&&this.pendingScript){const e=this.pendingScript;return this.pendingScript=null,void t(e)}e&&e()}_setupTokenizerCDATAMode(){const e=this._getAdjustedCurrentElement();this.tokenizer.allowCDATA=e&&e!==this.document&&this.treeAdapter.getNamespaceURI(e)!==v.HTML&&!this._isIntegrationPoint(e)}_switchToTextParsing(e,t){this._insertElement(e,v.HTML),this.tokenizer.state=t,this.originalInsertionMode=this.insertionMode,this.insertionMode=P}switchToPlaintextParsing(){this.insertionMode=P,this.originalInsertionMode=O,this.tokenizer.state=r.MODE.PLAINTEXT}_getAdjustedCurrentElement(){return 0===this.openElements.stackTop&&this.fragmentContext?this.fragmentContext:this.openElements.current}_findFormInFragmentContext(){let e=this.fragmentContext;do{if(this.treeAdapter.getTagName(e)===g.FORM){this.formElement=e;break}e=this.treeAdapter.getParentNode(e)}while(e)}_initTokenizerForFragmentParsing(){if(this.treeAdapter.getNamespaceURI(this.fragmentContext)===v.HTML){const e=this.treeAdapter.getTagName(this.fragmentContext);e===g.TITLE||e===g.TEXTAREA?this.tokenizer.state=r.MODE.RCDATA:e===g.STYLE||e===g.XMP||e===g.IFRAME||e===g.NOEMBED||e===g.NOFRAMES||e===g.NOSCRIPT?this.tokenizer.state=r.MODE.RAWTEXT:e===g.SCRIPT?this.tokenizer.state=r.MODE.SCRIPT_DATA:e===g.PLAINTEXT&&(this.tokenizer.state=r.MODE.PLAINTEXT)}}_setDocumentType(e){const t=e.name||"",n=e.publicId||"",r=e.systemId||"";this.treeAdapter.setDocumentType(this.document,t,n,r)}_attachElementToTree(e){if(this._shouldFosterParentOnInsertion())this._fosterParentElement(e);else{const t=this.openElements.currentTmplContent||this.openElements.current;this.treeAdapter.appendChild(t,e)}}_appendElement(e,t){const n=this.treeAdapter.createElement(e.tagName,t,e.attrs);this._attachElementToTree(n)}_insertElement(e,t){const n=this.treeAdapter.createElement(e.tagName,t,e.attrs);this._attachElementToTree(n),this.openElements.push(n)}_insertFakeElement(e){const t=this.treeAdapter.createElement(e,v.HTML,[]);this._attachElementToTree(t),this.openElements.push(t)}_insertTemplate(e){const t=this.treeAdapter.createElement(e.tagName,v.HTML,e.attrs),n=this.treeAdapter.createDocumentFragment();this.treeAdapter.setTemplateContent(t,n),this._attachElementToTree(t),this.openElements.push(t)}_insertFakeRootElement(){const e=this.treeAdapter.createElement(g.HTML,v.HTML,[]);this.treeAdapter.appendChild(this.openElements.current,e),this.openElements.push(e)}_appendCommentNode(e,t){const n=this.treeAdapter.createCommentNode(e.data);this.treeAdapter.appendChild(t,n)}_insertCharacters(e){if(this._shouldFosterParentOnInsertion())this._fosterParentText(e.chars);else{const t=this.openElements.currentTmplContent||this.openElements.current;this.treeAdapter.insertText(t,e.chars)}}_adoptNodes(e,t){for(let n=this.treeAdapter.getFirstChild(e);n;n=this.treeAdapter.getFirstChild(e))this.treeAdapter.detachNode(n),this.treeAdapter.appendChild(t,n)}_shouldProcessTokenInForeignContent(e){const t=this._getAdjustedCurrentElement();if(!t||t===this.document)return!1;const n=this.treeAdapter.getNamespaceURI(t);if(n===v.HTML)return!1;if(this.treeAdapter.getTagName(t)===g.ANNOTATION_XML&&n===v.MATHML&&e.type===r.START_TAG_TOKEN&&e.tagName===g.SVG)return!1;const i=e.type===r.CHARACTER_TOKEN||e.type===r.NULL_CHARACTER_TOKEN||e.type===r.WHITESPACE_CHARACTER_TOKEN;return!((e.type===r.START_TAG_TOKEN&&e.tagName!==g.MGLYPH&&e.tagName!==g.MALIGNMARK||i)&&this._isIntegrationPoint(t,v.MATHML)||(e.type===r.START_TAG_TOKEN||i)&&this._isIntegrationPoint(t,v.HTML)||e.type===r.EOF_TOKEN)}_processToken(e){K[this.insertionMode][e.type](this,e)}_processTokenInBodyMode(e){K[O][e.type](this,e)}_processTokenInForeignContent(e){e.type===r.CHARACTER_TOKEN?function(e,t){e._insertCharacters(t),e.framesetOk=!1}(this,e):e.type===r.NULL_CHARACTER_TOKEN?function(e,t){t.chars=h.REPLACEMENT_CHARACTER,e._insertCharacters(t)}(this,e):e.type===r.WHITESPACE_CHARACTER_TOKEN?ae(this,e):e.type===r.COMMENT_TOKEN?ie(this,e):e.type===r.START_TAG_TOKEN?function(e,t){if(p.causesExit(t)&&!e.fragmentContext){for(;e.treeAdapter.getNamespaceURI(e.openElements.current)!==v.HTML&&!e._isIntegrationPoint(e.openElements.current);)e.openElements.pop();e._processToken(t)}else{const n=e._getAdjustedCurrentElement(),r=e.treeAdapter.getNamespaceURI(n);r===v.MATHML?p.adjustTokenMathMLAttrs(t):r===v.SVG&&(p.adjustTokenSVGTagName(t),p.adjustTokenSVGAttrs(t)),p.adjustTokenXMLAttrs(t),t.selfClosing?e._appendElement(t,r):e._insertElement(t,r),t.ackSelfClosing=!0}}(this,e):e.type===r.END_TAG_TOKEN&&function(e,t){for(let n=e.openElements.stackTop;n>0;n--){const r=e.openElements.items[n];if(e.treeAdapter.getNamespaceURI(r)===v.HTML){e._processToken(t);break}if(e.treeAdapter.getTagName(r).toLowerCase()===t.tagName){e.openElements.popUntilElementPopped(r);break}}}(this,e)}_processInputToken(e){this._shouldProcessTokenInForeignContent(e)?this._processTokenInForeignContent(e):this._processToken(e),e.type===r.START_TAG_TOKEN&&e.selfClosing&&!e.ackSelfClosing&&this._err(f.nonVoidHtmlElementStartTagWithTrailingSolidus)}_isIntegrationPoint(e,t){const n=this.treeAdapter.getTagName(e),r=this.treeAdapter.getNamespaceURI(e),i=this.treeAdapter.getAttrList(e);return p.isIntegrationPoint(n,r,i,t)}_reconstructActiveFormattingElements(){const e=this.activeFormattingElements.length;if(e){let t=e,n=null;do{if(t--,n=this.activeFormattingElements.entries[t],n.type===o.MARKER_ENTRY||this.openElements.contains(n.element)){t++;break}}while(t>0);for(let r=t;r<e;r++)n=this.activeFormattingElements.entries[r],this._insertElement(n.token,this.treeAdapter.getNamespaceURI(n.element)),n.element=this.openElements.current}}_closeTableCell(){this.openElements.generateImpliedEndTags(),this.openElements.popUntilTableCellPopped(),this.activeFormattingElements.clearToLastMarker(),this.insertionMode=N}_closePElement(){this.openElements.generateImpliedEndTagsWithExclusion(g.P),this.openElements.popUntilTagNamePopped(g.P)}_resetInsertionMode(){for(let e=this.openElements.stackTop,t=!1;e>=0;e--){let n=this.openElements.items[e];0===e&&(t=!0,this.fragmentContext&&(n=this.fragmentContext));const r=this.treeAdapter.getTagName(n),i=V[r];if(i){this.insertionMode=i;break}if(!(t||r!==g.TD&&r!==g.TH)){this.insertionMode=j;break}if(!t&&r===g.HEAD){this.insertionMode=S;break}if(r===g.SELECT){this._resetInsertionModeForSelect(e);break}if(r===g.TEMPLATE){this.insertionMode=this.currentTmplInsertionMode;break}if(r===g.HTML){this.insertionMode=this.headElement?T:C;break}if(t){this.insertionMode=O;break}}}_resetInsertionModeForSelect(e){if(e>0)for(let t=e-1;t>0;t--){const e=this.openElements.items[t],n=this.treeAdapter.getTagName(e);if(n===g.TEMPLATE)break;if(n===g.TABLE)return void(this.insertionMode=B)}this.insertionMode=F}_pushTmplInsertionMode(e){this.tmplInsertionModeStack.push(e),this.tmplInsertionModeStackTop++,this.currentTmplInsertionMode=e}_popTmplInsertionMode(){this.tmplInsertionModeStack.pop(),this.tmplInsertionModeStackTop--,this.currentTmplInsertionMode=this.tmplInsertionModeStack[this.tmplInsertionModeStackTop]}_isElementCausesFosterParenting(e){const t=this.treeAdapter.getTagName(e);return t===g.TABLE||t===g.TBODY||t===g.TFOOT||t===g.THEAD||t===g.TR}_shouldFosterParentOnInsertion(){return this.fosterParentingEnabled&&this._isElementCausesFosterParenting(this.openElements.current)}_findFosterParentingLocation(){const e={parent:null,beforeElement:null};for(let t=this.openElements.stackTop;t>=0;t--){const n=this.openElements.items[t],r=this.treeAdapter.getTagName(n),i=this.treeAdapter.getNamespaceURI(n);if(r===g.TEMPLATE&&i===v.HTML){e.parent=this.treeAdapter.getTemplateContent(n);break}if(r===g.TABLE){e.parent=this.treeAdapter.getParentNode(n),e.parent?e.beforeElement=n:e.parent=this.openElements.items[t-1];break}}return e.parent||(e.parent=this.openElements.items[0]),e}_fosterParentElement(e){const t=this._findFosterParentingLocation();t.beforeElement?this.treeAdapter.insertBefore(t.parent,e,t.beforeElement):this.treeAdapter.appendChild(t.parent,e)}_fosterParentText(e){const t=this._findFosterParentingLocation();t.beforeElement?this.treeAdapter.insertTextBefore(t.parent,e,t.beforeElement):this.treeAdapter.insertText(t.parent,e)}_isSpecialElement(e){const t=this.treeAdapter.getTagName(e),n=this.treeAdapter.getNamespaceURI(e);return m.SPECIAL_ELEMENTS[n][t]}}},23203:(e,t,n)=>{"use strict";const r=n(95218),i=r.TAG_NAMES,o=r.NAMESPACES;function a(e){switch(e.length){case 1:return e===i.P;case 2:return e===i.RB||e===i.RP||e===i.RT||e===i.DD||e===i.DT||e===i.LI;case 3:return e===i.RTC;case 6:return e===i.OPTION;case 8:return e===i.OPTGROUP}return!1}function s(e){switch(e.length){case 1:return e===i.P;case 2:return e===i.RB||e===i.RP||e===i.RT||e===i.DD||e===i.DT||e===i.LI||e===i.TD||e===i.TH||e===i.TR;case 3:return e===i.RTC;case 5:return e===i.TBODY||e===i.TFOOT||e===i.THEAD;case 6:return e===i.OPTION;case 7:return e===i.CAPTION;case 8:return e===i.OPTGROUP||e===i.COLGROUP}return!1}function l(e,t){switch(e.length){case 2:if(e===i.TD||e===i.TH)return t===o.HTML;if(e===i.MI||e===i.MO||e===i.MN||e===i.MS)return t===o.MATHML;break;case 4:if(e===i.HTML)return t===o.HTML;if(e===i.DESC)return t===o.SVG;break;case 5:if(e===i.TABLE)return t===o.HTML;if(e===i.MTEXT)return t===o.MATHML;if(e===i.TITLE)return t===o.SVG;break;case 6:return(e===i.APPLET||e===i.OBJECT)&&t===o.HTML;case 7:return(e===i.CAPTION||e===i.MARQUEE)&&t===o.HTML;case 8:return e===i.TEMPLATE&&t===o.HTML;case 13:return e===i.FOREIGN_OBJECT&&t===o.SVG;case 14:return e===i.ANNOTATION_XML&&t===o.MATHML}return!1}e.exports=class{constructor(e,t){this.stackTop=-1,this.items=[],this.current=e,this.currentTagName=null,this.currentTmplContent=null,this.tmplCount=0,this.treeAdapter=t}_indexOf(e){let t=-1;for(let n=this.stackTop;n>=0;n--)if(this.items[n]===e){t=n;break}return t}_isInTemplate(){return this.currentTagName===i.TEMPLATE&&this.treeAdapter.getNamespaceURI(this.current)===o.HTML}_updateCurrentElement(){this.current=this.items[this.stackTop],this.currentTagName=this.current&&this.treeAdapter.getTagName(this.current),this.currentTmplContent=this._isInTemplate()?this.treeAdapter.getTemplateContent(this.current):null}push(e){this.items[++this.stackTop]=e,this._updateCurrentElement(),this._isInTemplate()&&this.tmplCount++}pop(){this.stackTop--,this.tmplCount>0&&this._isInTemplate()&&this.tmplCount--,this._updateCurrentElement()}replace(e,t){const n=this._indexOf(e);this.items[n]=t,n===this.stackTop&&this._updateCurrentElement()}insertAfter(e,t){const n=this._indexOf(e)+1;this.items.splice(n,0,t),n===++this.stackTop&&this._updateCurrentElement()}popUntilTagNamePopped(e){for(;this.stackTop>-1;){const t=this.currentTagName,n=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),t===e&&n===o.HTML)break}}popUntilElementPopped(e){for(;this.stackTop>-1;){const t=this.current;if(this.pop(),t===e)break}}popUntilNumberedHeaderPopped(){for(;this.stackTop>-1;){const e=this.currentTagName,t=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),e===i.H1||e===i.H2||e===i.H3||e===i.H4||e===i.H5||e===i.H6&&t===o.HTML)break}}popUntilTableCellPopped(){for(;this.stackTop>-1;){const e=this.currentTagName,t=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),e===i.TD||e===i.TH&&t===o.HTML)break}}popAllUpToHtmlElement(){this.stackTop=0,this._updateCurrentElement()}clearBackToTableContext(){for(;this.currentTagName!==i.TABLE&&this.currentTagName!==i.TEMPLATE&&this.currentTagName!==i.HTML||this.treeAdapter.getNamespaceURI(this.current)!==o.HTML;)this.pop()}clearBackToTableBodyContext(){for(;this.currentTagName!==i.TBODY&&this.currentTagName!==i.TFOOT&&this.currentTagName!==i.THEAD&&this.currentTagName!==i.TEMPLATE&&this.currentTagName!==i.HTML||this.treeAdapter.getNamespaceURI(this.current)!==o.HTML;)this.pop()}clearBackToTableRowContext(){for(;this.currentTagName!==i.TR&&this.currentTagName!==i.TEMPLATE&&this.currentTagName!==i.HTML||this.treeAdapter.getNamespaceURI(this.current)!==o.HTML;)this.pop()}remove(e){for(let t=this.stackTop;t>=0;t--)if(this.items[t]===e){this.items.splice(t,1),this.stackTop--,this._updateCurrentElement();break}}tryPeekProperlyNestedBodyElement(){const e=this.items[1];return e&&this.treeAdapter.getTagName(e)===i.BODY?e:null}contains(e){return this._indexOf(e)>-1}getCommonAncestor(e){let t=this._indexOf(e);return--t>=0?this.items[t]:null}isRootHtmlElementCurrent(){return 0===this.stackTop&&this.currentTagName===i.HTML}hasInScope(e){for(let t=this.stackTop;t>=0;t--){const n=this.treeAdapter.getTagName(this.items[t]),r=this.treeAdapter.getNamespaceURI(this.items[t]);if(n===e&&r===o.HTML)return!0;if(l(n,r))return!1}return!0}hasNumberedHeaderInScope(){for(let e=this.stackTop;e>=0;e--){const t=this.treeAdapter.getTagName(this.items[e]),n=this.treeAdapter.getNamespaceURI(this.items[e]);if((t===i.H1||t===i.H2||t===i.H3||t===i.H4||t===i.H5||t===i.H6)&&n===o.HTML)return!0;if(l(t,n))return!1}return!0}hasInListItemScope(e){for(let t=this.stackTop;t>=0;t--){const n=this.treeAdapter.getTagName(this.items[t]),r=this.treeAdapter.getNamespaceURI(this.items[t]);if(n===e&&r===o.HTML)return!0;if((n===i.UL||n===i.OL)&&r===o.HTML||l(n,r))return!1}return!0}hasInButtonScope(e){for(let t=this.stackTop;t>=0;t--){const n=this.treeAdapter.getTagName(this.items[t]),r=this.treeAdapter.getNamespaceURI(this.items[t]);if(n===e&&r===o.HTML)return!0;if(n===i.BUTTON&&r===o.HTML||l(n,r))return!1}return!0}hasInTableScope(e){for(let t=this.stackTop;t>=0;t--){const n=this.treeAdapter.getTagName(this.items[t]);if(this.treeAdapter.getNamespaceURI(this.items[t])===o.HTML){if(n===e)return!0;if(n===i.TABLE||n===i.TEMPLATE||n===i.HTML)return!1}}return!0}hasTableBodyContextInTableScope(){for(let e=this.stackTop;e>=0;e--){const t=this.treeAdapter.getTagName(this.items[e]);if(this.treeAdapter.getNamespaceURI(this.items[e])===o.HTML){if(t===i.TBODY||t===i.THEAD||t===i.TFOOT)return!0;if(t===i.TABLE||t===i.HTML)return!1}}return!0}hasInSelectScope(e){for(let t=this.stackTop;t>=0;t--){const n=this.treeAdapter.getTagName(this.items[t]);if(this.treeAdapter.getNamespaceURI(this.items[t])===o.HTML){if(n===e)return!0;if(n!==i.OPTION&&n!==i.OPTGROUP)return!1}}return!0}generateImpliedEndTags(){for(;a(this.currentTagName);)this.pop()}generateImpliedEndTagsThoroughly(){for(;s(this.currentTagName);)this.pop()}generateImpliedEndTagsWithExclusion(e){for(;a(this.currentTagName)&&this.currentTagName!==e;)this.pop()}}},3183:(e,t,n)=>{"use strict";const r=n(27567),i=n(13114),o=n(84906),a=n(61445),s=i.CODE_POINTS,l=i.CODE_POINT_SEQUENCES,u={128:8364,130:8218,131:402,132:8222,133:8230,134:8224,135:8225,136:710,137:8240,138:352,139:8249,140:338,142:381,145:8216,146:8217,147:8220,148:8221,149:8226,150:8211,151:8212,152:732,153:8482,154:353,155:8250,156:339,158:382,159:376},c="DATA_STATE",d="RCDATA_STATE",p="RAWTEXT_STATE",f="SCRIPT_DATA_STATE",h="PLAINTEXT_STATE",m="TAG_OPEN_STATE",g="END_TAG_OPEN_STATE",v="TAG_NAME_STATE",y="RCDATA_LESS_THAN_SIGN_STATE",b="RCDATA_END_TAG_OPEN_STATE",w="RCDATA_END_TAG_NAME_STATE",_="RAWTEXT_LESS_THAN_SIGN_STATE",x="RAWTEXT_END_TAG_OPEN_STATE",k="RAWTEXT_END_TAG_NAME_STATE",E="SCRIPT_DATA_LESS_THAN_SIGN_STATE",C="SCRIPT_DATA_END_TAG_OPEN_STATE",S="SCRIPT_DATA_END_TAG_NAME_STATE",A="SCRIPT_DATA_ESCAPE_START_STATE",T="SCRIPT_DATA_ESCAPE_START_DASH_STATE",O="SCRIPT_DATA_ESCAPED_STATE",P="SCRIPT_DATA_ESCAPED_DASH_STATE",D="SCRIPT_DATA_ESCAPED_DASH_DASH_STATE",R="SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE",I="SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE",M="SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE",L="SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE",N="SCRIPT_DATA_DOUBLE_ESCAPED_STATE",j="SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE",F="SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE",B="SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE",z="SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE",U="BEFORE_ATTRIBUTE_NAME_STATE",q="ATTRIBUTE_NAME_STATE",$="AFTER_ATTRIBUTE_NAME_STATE",W="BEFORE_ATTRIBUTE_VALUE_STATE",H="ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE",V="ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE",G="ATTRIBUTE_VALUE_UNQUOTED_STATE",K="AFTER_ATTRIBUTE_VALUE_QUOTED_STATE",Y="SELF_CLOSING_START_TAG_STATE",Z="BOGUS_COMMENT_STATE",X="MARKUP_DECLARATION_OPEN_STATE",Q="COMMENT_START_STATE",J="COMMENT_START_DASH_STATE",ee="COMMENT_STATE",te="COMMENT_LESS_THAN_SIGN_STATE",ne="COMMENT_LESS_THAN_SIGN_BANG_STATE",re="COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE",ie="COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE",oe="COMMENT_END_DASH_STATE",ae="COMMENT_END_STATE",se="COMMENT_END_BANG_STATE",le="DOCTYPE_STATE",ue="BEFORE_DOCTYPE_NAME_STATE",ce="DOCTYPE_NAME_STATE",de="AFTER_DOCTYPE_NAME_STATE",pe="AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE",fe="BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE",he="DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE",me="DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE",ge="AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE",ve="BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE",ye="AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE",be="BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE",we="DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE",_e="DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE",xe="AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE",ke="BOGUS_DOCTYPE_STATE",Ee="CDATA_SECTION_STATE",Ce="CDATA_SECTION_BRACKET_STATE",Se="CDATA_SECTION_END_STATE",Ae="CHARACTER_REFERENCE_STATE",Te="NAMED_CHARACTER_REFERENCE_STATE",Oe="AMBIGUOS_AMPERSAND_STATE",Pe="NUMERIC_CHARACTER_REFERENCE_STATE",De="HEXADEMICAL_CHARACTER_REFERENCE_START_STATE",Re="DECIMAL_CHARACTER_REFERENCE_START_STATE",Ie="HEXADEMICAL_CHARACTER_REFERENCE_STATE",Me="DECIMAL_CHARACTER_REFERENCE_STATE",Le="NUMERIC_CHARACTER_REFERENCE_END_STATE";function Ne(e){return e===s.SPACE||e===s.LINE_FEED||e===s.TABULATION||e===s.FORM_FEED}function je(e){return e>=s.DIGIT_0&&e<=s.DIGIT_9}function Fe(e){return e>=s.LATIN_CAPITAL_A&&e<=s.LATIN_CAPITAL_Z}function Be(e){return e>=s.LATIN_SMALL_A&&e<=s.LATIN_SMALL_Z}function ze(e){return Be(e)||Fe(e)}function Ue(e){return ze(e)||je(e)}function qe(e){return e>=s.LATIN_CAPITAL_A&&e<=s.LATIN_CAPITAL_F}function $e(e){return e>=s.LATIN_SMALL_A&&e<=s.LATIN_SMALL_F}function We(e){return e+32}function He(e){return e<=65535?String.fromCharCode(e):(e-=65536,String.fromCharCode(e>>>10&1023|55296)+String.fromCharCode(56320|1023&e))}function Ve(e){return String.fromCharCode(We(e))}function Ge(e,t){const n=o[++e];let r=++e,i=r+n-1;for(;r<=i;){const e=r+i>>>1,a=o[e];if(a<t)r=e+1;else{if(!(a>t))return o[e+n];i=e-1}}return-1}class Ke{constructor(){this.preprocessor=new r,this.tokenQueue=[],this.allowCDATA=!1,this.state=c,this.returnState="",this.charRefCode=-1,this.tempBuff=[],this.lastStartTagName="",this.consumedAfterSnapshot=-1,this.active=!1,this.currentCharacterToken=null,this.currentToken=null,this.currentAttr=null}_err(){}_errOnNextCodePoint(e){this._consume(),this._err(e),this._unconsume()}getNextToken(){for(;!this.tokenQueue.length&&this.active;){this.consumedAfterSnapshot=0;const e=this._consume();this._ensureHibernation()||this[this.state](e)}return this.tokenQueue.shift()}write(e,t){this.active=!0,this.preprocessor.write(e,t)}insertHtmlAtCurrentPos(e){this.active=!0,this.preprocessor.insertHtmlAtCurrentPos(e)}_ensureHibernation(){if(this.preprocessor.endOfChunkHit){for(;this.consumedAfterSnapshot>0;this.consumedAfterSnapshot--)this.preprocessor.retreat();return this.active=!1,this.tokenQueue.push({type:Ke.HIBERNATION_TOKEN}),!0}return!1}_consume(){return this.consumedAfterSnapshot++,this.preprocessor.advance()}_unconsume(){this.consumedAfterSnapshot--,this.preprocessor.retreat()}_reconsumeInState(e){this.state=e,this._unconsume()}_consumeSequenceIfMatch(e,t,n){let r=0,i=!0;const o=e.length;let a,l=0,u=t;for(;l<o;l++){if(l>0&&(u=this._consume(),r++),u===s.EOF){i=!1;break}if(a=e[l],u!==a&&(n||u!==We(a))){i=!1;break}}if(!i)for(;r--;)this._unconsume();return i}_isTempBufferEqualToScriptString(){if(this.tempBuff.length!==l.SCRIPT_STRING.length)return!1;for(let e=0;e<this.tempBuff.length;e++)if(this.tempBuff[e]!==l.SCRIPT_STRING[e])return!1;return!0}_createStartTagToken(){this.currentToken={type:Ke.START_TAG_TOKEN,tagName:"",selfClosing:!1,ackSelfClosing:!1,attrs:[]}}_createEndTagToken(){this.currentToken={type:Ke.END_TAG_TOKEN,tagName:"",selfClosing:!1,attrs:[]}}_createCommentToken(){this.currentToken={type:Ke.COMMENT_TOKEN,data:""}}_createDoctypeToken(e){this.currentToken={type:Ke.DOCTYPE_TOKEN,name:e,forceQuirks:!1,publicId:null,systemId:null}}_createCharacterToken(e,t){this.currentCharacterToken={type:e,chars:t}}_createEOFToken(){this.currentToken={type:Ke.EOF_TOKEN}}_createAttr(e){this.currentAttr={name:e,value:""}}_leaveAttrName(e){null===Ke.getTokenAttr(this.currentToken,this.currentAttr.name)?this.currentToken.attrs.push(this.currentAttr):this._err(a.duplicateAttribute),this.state=e}_leaveAttrValue(e){this.state=e}_emitCurrentToken(){this._emitCurrentCharacterToken();const e=this.currentToken;this.currentToken=null,e.type===Ke.START_TAG_TOKEN?this.lastStartTagName=e.tagName:e.type===Ke.END_TAG_TOKEN&&(e.attrs.length>0&&this._err(a.endTagWithAttributes),e.selfClosing&&this._err(a.endTagWithTrailingSolidus)),this.tokenQueue.push(e)}_emitCurrentCharacterToken(){this.currentCharacterToken&&(this.tokenQueue.push(this.currentCharacterToken),this.currentCharacterToken=null)}_emitEOFToken(){this._createEOFToken(),this._emitCurrentToken()}_appendCharToCurrentCharacterToken(e,t){this.currentCharacterToken&&this.currentCharacterToken.type!==e&&this._emitCurrentCharacterToken(),this.currentCharacterToken?this.currentCharacterToken.chars+=t:this._createCharacterToken(e,t)}_emitCodePoint(e){let t=Ke.CHARACTER_TOKEN;Ne(e)?t=Ke.WHITESPACE_CHARACTER_TOKEN:e===s.NULL&&(t=Ke.NULL_CHARACTER_TOKEN),this._appendCharToCurrentCharacterToken(t,He(e))}_emitSeveralCodePoints(e){for(let t=0;t<e.length;t++)this._emitCodePoint(e[t])}_emitChars(e){this._appendCharToCurrentCharacterToken(Ke.CHARACTER_TOKEN,e)}_matchNamedCharacterReference(e){let t=null,n=1,r=Ge(0,e);for(this.tempBuff.push(e);r>-1;){const e=o[r],i=e<7;i&&1&e&&(t=2&e?[o[++r],o[++r]]:[o[++r]],n=0);const a=this._consume();if(this.tempBuff.push(a),n++,a===s.EOF)break;r=i?4&e?Ge(r,a):-1:a===e?++r:-1}for(;n--;)this.tempBuff.pop(),this._unconsume();return t}_isCharacterReferenceInAttribute(){return this.returnState===H||this.returnState===V||this.returnState===G}_isCharacterReferenceAttributeQuirk(e){if(!e&&this._isCharacterReferenceInAttribute()){const e=this._consume();return this._unconsume(),e===s.EQUALS_SIGN||Ue(e)}return!1}_flushCodePointsConsumedAsCharacterReference(){if(this._isCharacterReferenceInAttribute())for(let e=0;e<this.tempBuff.length;e++)this.currentAttr.value+=He(this.tempBuff[e]);else this._emitSeveralCodePoints(this.tempBuff);this.tempBuff=[]}[c](e){this.preprocessor.dropParsedChunk(),e===s.LESS_THAN_SIGN?this.state=m:e===s.AMPERSAND?(this.returnState=c,this.state=Ae):e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitCodePoint(e)):e===s.EOF?this._emitEOFToken():this._emitCodePoint(e)}[d](e){this.preprocessor.dropParsedChunk(),e===s.AMPERSAND?(this.returnState=d,this.state=Ae):e===s.LESS_THAN_SIGN?this.state=y:e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?this._emitEOFToken():this._emitCodePoint(e)}[p](e){this.preprocessor.dropParsedChunk(),e===s.LESS_THAN_SIGN?this.state=_:e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?this._emitEOFToken():this._emitCodePoint(e)}[f](e){this.preprocessor.dropParsedChunk(),e===s.LESS_THAN_SIGN?this.state=E:e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?this._emitEOFToken():this._emitCodePoint(e)}[h](e){this.preprocessor.dropParsedChunk(),e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?this._emitEOFToken():this._emitCodePoint(e)}[m](e){e===s.EXCLAMATION_MARK?this.state=X:e===s.SOLIDUS?this.state=g:ze(e)?(this._createStartTagToken(),this._reconsumeInState(v)):e===s.QUESTION_MARK?(this._err(a.unexpectedQuestionMarkInsteadOfTagName),this._createCommentToken(),this._reconsumeInState(Z)):e===s.EOF?(this._err(a.eofBeforeTagName),this._emitChars("<"),this._emitEOFToken()):(this._err(a.invalidFirstCharacterOfTagName),this._emitChars("<"),this._reconsumeInState(c))}[g](e){ze(e)?(this._createEndTagToken(),this._reconsumeInState(v)):e===s.GREATER_THAN_SIGN?(this._err(a.missingEndTagName),this.state=c):e===s.EOF?(this._err(a.eofBeforeTagName),this._emitChars("</"),this._emitEOFToken()):(this._err(a.invalidFirstCharacterOfTagName),this._createCommentToken(),this._reconsumeInState(Z))}[v](e){Ne(e)?this.state=U:e===s.SOLIDUS?this.state=Y:e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):Fe(e)?this.currentToken.tagName+=Ve(e):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.tagName+=i.REPLACEMENT_CHARACTER):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):this.currentToken.tagName+=He(e)}[y](e){e===s.SOLIDUS?(this.tempBuff=[],this.state=b):(this._emitChars("<"),this._reconsumeInState(d))}[b](e){ze(e)?(this._createEndTagToken(),this._reconsumeInState(w)):(this._emitChars("</"),this._reconsumeInState(d))}[w](e){if(Fe(e))this.currentToken.tagName+=Ve(e),this.tempBuff.push(e);else if(Be(e))this.currentToken.tagName+=He(e),this.tempBuff.push(e);else{if(this.lastStartTagName===this.currentToken.tagName){if(Ne(e))return void(this.state=U);if(e===s.SOLIDUS)return void(this.state=Y);if(e===s.GREATER_THAN_SIGN)return this.state=c,void this._emitCurrentToken()}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(d)}}[_](e){e===s.SOLIDUS?(this.tempBuff=[],this.state=x):(this._emitChars("<"),this._reconsumeInState(p))}[x](e){ze(e)?(this._createEndTagToken(),this._reconsumeInState(k)):(this._emitChars("</"),this._reconsumeInState(p))}[k](e){if(Fe(e))this.currentToken.tagName+=Ve(e),this.tempBuff.push(e);else if(Be(e))this.currentToken.tagName+=He(e),this.tempBuff.push(e);else{if(this.lastStartTagName===this.currentToken.tagName){if(Ne(e))return void(this.state=U);if(e===s.SOLIDUS)return void(this.state=Y);if(e===s.GREATER_THAN_SIGN)return this._emitCurrentToken(),void(this.state=c)}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(p)}}[E](e){e===s.SOLIDUS?(this.tempBuff=[],this.state=C):e===s.EXCLAMATION_MARK?(this.state=A,this._emitChars("<!")):(this._emitChars("<"),this._reconsumeInState(f))}[C](e){ze(e)?(this._createEndTagToken(),this._reconsumeInState(S)):(this._emitChars("</"),this._reconsumeInState(f))}[S](e){if(Fe(e))this.currentToken.tagName+=Ve(e),this.tempBuff.push(e);else if(Be(e))this.currentToken.tagName+=He(e),this.tempBuff.push(e);else{if(this.lastStartTagName===this.currentToken.tagName){if(Ne(e))return void(this.state=U);if(e===s.SOLIDUS)return void(this.state=Y);if(e===s.GREATER_THAN_SIGN)return this._emitCurrentToken(),void(this.state=c)}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(f)}}[A](e){e===s.HYPHEN_MINUS?(this.state=T,this._emitChars("-")):this._reconsumeInState(f)}[T](e){e===s.HYPHEN_MINUS?(this.state=D,this._emitChars("-")):this._reconsumeInState(f)}[O](e){e===s.HYPHEN_MINUS?(this.state=P,this._emitChars("-")):e===s.LESS_THAN_SIGN?this.state=R:e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?(this._err(a.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):this._emitCodePoint(e)}[P](e){e===s.HYPHEN_MINUS?(this.state=D,this._emitChars("-")):e===s.LESS_THAN_SIGN?this.state=R:e===s.NULL?(this._err(a.unexpectedNullCharacter),this.state=O,this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?(this._err(a.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=O,this._emitCodePoint(e))}[D](e){e===s.HYPHEN_MINUS?this._emitChars("-"):e===s.LESS_THAN_SIGN?this.state=R:e===s.GREATER_THAN_SIGN?(this.state=f,this._emitChars(">")):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.state=O,this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?(this._err(a.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=O,this._emitCodePoint(e))}[R](e){e===s.SOLIDUS?(this.tempBuff=[],this.state=I):ze(e)?(this.tempBuff=[],this._emitChars("<"),this._reconsumeInState(L)):(this._emitChars("<"),this._reconsumeInState(O))}[I](e){ze(e)?(this._createEndTagToken(),this._reconsumeInState(M)):(this._emitChars("</"),this._reconsumeInState(O))}[M](e){if(Fe(e))this.currentToken.tagName+=Ve(e),this.tempBuff.push(e);else if(Be(e))this.currentToken.tagName+=He(e),this.tempBuff.push(e);else{if(this.lastStartTagName===this.currentToken.tagName){if(Ne(e))return void(this.state=U);if(e===s.SOLIDUS)return void(this.state=Y);if(e===s.GREATER_THAN_SIGN)return this._emitCurrentToken(),void(this.state=c)}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(O)}}[L](e){Ne(e)||e===s.SOLIDUS||e===s.GREATER_THAN_SIGN?(this.state=this._isTempBufferEqualToScriptString()?N:O,this._emitCodePoint(e)):Fe(e)?(this.tempBuff.push(We(e)),this._emitCodePoint(e)):Be(e)?(this.tempBuff.push(e),this._emitCodePoint(e)):this._reconsumeInState(O)}[N](e){e===s.HYPHEN_MINUS?(this.state=j,this._emitChars("-")):e===s.LESS_THAN_SIGN?(this.state=B,this._emitChars("<")):e===s.NULL?(this._err(a.unexpectedNullCharacter),this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?(this._err(a.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):this._emitCodePoint(e)}[j](e){e===s.HYPHEN_MINUS?(this.state=F,this._emitChars("-")):e===s.LESS_THAN_SIGN?(this.state=B,this._emitChars("<")):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.state=N,this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?(this._err(a.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=N,this._emitCodePoint(e))}[F](e){e===s.HYPHEN_MINUS?this._emitChars("-"):e===s.LESS_THAN_SIGN?(this.state=B,this._emitChars("<")):e===s.GREATER_THAN_SIGN?(this.state=f,this._emitChars(">")):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.state=N,this._emitChars(i.REPLACEMENT_CHARACTER)):e===s.EOF?(this._err(a.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=N,this._emitCodePoint(e))}[B](e){e===s.SOLIDUS?(this.tempBuff=[],this.state=z,this._emitChars("/")):this._reconsumeInState(N)}[z](e){Ne(e)||e===s.SOLIDUS||e===s.GREATER_THAN_SIGN?(this.state=this._isTempBufferEqualToScriptString()?O:N,this._emitCodePoint(e)):Fe(e)?(this.tempBuff.push(We(e)),this._emitCodePoint(e)):Be(e)?(this.tempBuff.push(e),this._emitCodePoint(e)):this._reconsumeInState(N)}[U](e){Ne(e)||(e===s.SOLIDUS||e===s.GREATER_THAN_SIGN||e===s.EOF?this._reconsumeInState($):e===s.EQUALS_SIGN?(this._err(a.unexpectedEqualsSignBeforeAttributeName),this._createAttr("="),this.state=q):(this._createAttr(""),this._reconsumeInState(q)))}[q](e){Ne(e)||e===s.SOLIDUS||e===s.GREATER_THAN_SIGN||e===s.EOF?(this._leaveAttrName($),this._unconsume()):e===s.EQUALS_SIGN?this._leaveAttrName(W):Fe(e)?this.currentAttr.name+=Ve(e):e===s.QUOTATION_MARK||e===s.APOSTROPHE||e===s.LESS_THAN_SIGN?(this._err(a.unexpectedCharacterInAttributeName),this.currentAttr.name+=He(e)):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentAttr.name+=i.REPLACEMENT_CHARACTER):this.currentAttr.name+=He(e)}[$](e){Ne(e)||(e===s.SOLIDUS?this.state=Y:e===s.EQUALS_SIGN?this.state=W:e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):(this._createAttr(""),this._reconsumeInState(q)))}[W](e){Ne(e)||(e===s.QUOTATION_MARK?this.state=H:e===s.APOSTROPHE?this.state=V:e===s.GREATER_THAN_SIGN?(this._err(a.missingAttributeValue),this.state=c,this._emitCurrentToken()):this._reconsumeInState(G))}[H](e){e===s.QUOTATION_MARK?this.state=K:e===s.AMPERSAND?(this.returnState=H,this.state=Ae):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentAttr.value+=i.REPLACEMENT_CHARACTER):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):this.currentAttr.value+=He(e)}[V](e){e===s.APOSTROPHE?this.state=K:e===s.AMPERSAND?(this.returnState=V,this.state=Ae):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentAttr.value+=i.REPLACEMENT_CHARACTER):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):this.currentAttr.value+=He(e)}[G](e){Ne(e)?this._leaveAttrValue(U):e===s.AMPERSAND?(this.returnState=G,this.state=Ae):e===s.GREATER_THAN_SIGN?(this._leaveAttrValue(c),this._emitCurrentToken()):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentAttr.value+=i.REPLACEMENT_CHARACTER):e===s.QUOTATION_MARK||e===s.APOSTROPHE||e===s.LESS_THAN_SIGN||e===s.EQUALS_SIGN||e===s.GRAVE_ACCENT?(this._err(a.unexpectedCharacterInUnquotedAttributeValue),this.currentAttr.value+=He(e)):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):this.currentAttr.value+=He(e)}[K](e){Ne(e)?this._leaveAttrValue(U):e===s.SOLIDUS?this._leaveAttrValue(Y):e===s.GREATER_THAN_SIGN?(this._leaveAttrValue(c),this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):(this._err(a.missingWhitespaceBetweenAttributes),this._reconsumeInState(U))}[Y](e){e===s.GREATER_THAN_SIGN?(this.currentToken.selfClosing=!0,this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInTag),this._emitEOFToken()):(this._err(a.unexpectedSolidusInTag),this._reconsumeInState(U))}[Z](e){e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):e===s.EOF?(this._emitCurrentToken(),this._emitEOFToken()):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.data+=i.REPLACEMENT_CHARACTER):this.currentToken.data+=He(e)}[X](e){this._consumeSequenceIfMatch(l.DASH_DASH_STRING,e,!0)?(this._createCommentToken(),this.state=Q):this._consumeSequenceIfMatch(l.DOCTYPE_STRING,e,!1)?this.state=le:this._consumeSequenceIfMatch(l.CDATA_START_STRING,e,!0)?this.allowCDATA?this.state=Ee:(this._err(a.cdataInHtmlContent),this._createCommentToken(),this.currentToken.data="[CDATA[",this.state=Z):this._ensureHibernation()||(this._err(a.incorrectlyOpenedComment),this._createCommentToken(),this._reconsumeInState(Z))}[Q](e){e===s.HYPHEN_MINUS?this.state=J:e===s.GREATER_THAN_SIGN?(this._err(a.abruptClosingOfEmptyComment),this.state=c,this._emitCurrentToken()):this._reconsumeInState(ee)}[J](e){e===s.HYPHEN_MINUS?this.state=ae:e===s.GREATER_THAN_SIGN?(this._err(a.abruptClosingOfEmptyComment),this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="-",this._reconsumeInState(ee))}[ee](e){e===s.HYPHEN_MINUS?this.state=oe:e===s.LESS_THAN_SIGN?(this.currentToken.data+="<",this.state=te):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.data+=i.REPLACEMENT_CHARACTER):e===s.EOF?(this._err(a.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.data+=He(e)}[te](e){e===s.EXCLAMATION_MARK?(this.currentToken.data+="!",this.state=ne):e===s.LESS_THAN_SIGN?this.currentToken.data+="!":this._reconsumeInState(ee)}[ne](e){e===s.HYPHEN_MINUS?this.state=re:this._reconsumeInState(ee)}[re](e){e===s.HYPHEN_MINUS?this.state=ie:this._reconsumeInState(oe)}[ie](e){e!==s.GREATER_THAN_SIGN&&e!==s.EOF&&this._err(a.nestedComment),this._reconsumeInState(ae)}[oe](e){e===s.HYPHEN_MINUS?this.state=ae:e===s.EOF?(this._err(a.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="-",this._reconsumeInState(ee))}[ae](e){e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):e===s.EXCLAMATION_MARK?this.state=se:e===s.HYPHEN_MINUS?this.currentToken.data+="-":e===s.EOF?(this._err(a.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="--",this._reconsumeInState(ee))}[se](e){e===s.HYPHEN_MINUS?(this.currentToken.data+="--!",this.state=oe):e===s.GREATER_THAN_SIGN?(this._err(a.incorrectlyClosedComment),this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="--!",this._reconsumeInState(ee))}[le](e){Ne(e)?this.state=ue:e===s.GREATER_THAN_SIGN?this._reconsumeInState(ue):e===s.EOF?(this._err(a.eofInDoctype),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingWhitespaceBeforeDoctypeName),this._reconsumeInState(ue))}[ue](e){Ne(e)||(Fe(e)?(this._createDoctypeToken(Ve(e)),this.state=ce):e===s.NULL?(this._err(a.unexpectedNullCharacter),this._createDoctypeToken(i.REPLACEMENT_CHARACTER),this.state=ce):e===s.GREATER_THAN_SIGN?(this._err(a.missingDoctypeName),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=c):e===s.EOF?(this._err(a.eofInDoctype),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._createDoctypeToken(He(e)),this.state=ce))}[ce](e){Ne(e)?this.state=de:e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):Fe(e)?this.currentToken.name+=Ve(e):e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.name+=i.REPLACEMENT_CHARACTER):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.name+=He(e)}[de](e){Ne(e)||(e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this._consumeSequenceIfMatch(l.PUBLIC_STRING,e,!1)?this.state=pe:this._consumeSequenceIfMatch(l.SYSTEM_STRING,e,!1)?this.state=ye:this._ensureHibernation()||(this._err(a.invalidCharacterSequenceAfterDoctypeName),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke)))}[pe](e){Ne(e)?this.state=fe:e===s.QUOTATION_MARK?(this._err(a.missingWhitespaceAfterDoctypePublicKeyword),this.currentToken.publicId="",this.state=he):e===s.APOSTROPHE?(this._err(a.missingWhitespaceAfterDoctypePublicKeyword),this.currentToken.publicId="",this.state=me):e===s.GREATER_THAN_SIGN?(this._err(a.missingDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingQuoteBeforeDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke))}[fe](e){Ne(e)||(e===s.QUOTATION_MARK?(this.currentToken.publicId="",this.state=he):e===s.APOSTROPHE?(this.currentToken.publicId="",this.state=me):e===s.GREATER_THAN_SIGN?(this._err(a.missingDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingQuoteBeforeDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke)))}[he](e){e===s.QUOTATION_MARK?this.state=ge:e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.publicId+=i.REPLACEMENT_CHARACTER):e===s.GREATER_THAN_SIGN?(this._err(a.abruptDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=c):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.publicId+=He(e)}[me](e){e===s.APOSTROPHE?this.state=ge:e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.publicId+=i.REPLACEMENT_CHARACTER):e===s.GREATER_THAN_SIGN?(this._err(a.abruptDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=c):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.publicId+=He(e)}[ge](e){Ne(e)?this.state=ve:e===s.GREATER_THAN_SIGN?(this.state=c,this._emitCurrentToken()):e===s.QUOTATION_MARK?(this._err(a.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers),this.currentToken.systemId="",this.state=we):e===s.APOSTROPHE?(this._err(a.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers),this.currentToken.systemId="",this.state=_e):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke))}[ve](e){Ne(e)||(e===s.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=c):e===s.QUOTATION_MARK?(this.currentToken.systemId="",this.state=we):e===s.APOSTROPHE?(this.currentToken.systemId="",this.state=_e):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke)))}[ye](e){Ne(e)?this.state=be:e===s.QUOTATION_MARK?(this._err(a.missingWhitespaceAfterDoctypeSystemKeyword),this.currentToken.systemId="",this.state=we):e===s.APOSTROPHE?(this._err(a.missingWhitespaceAfterDoctypeSystemKeyword),this.currentToken.systemId="",this.state=_e):e===s.GREATER_THAN_SIGN?(this._err(a.missingDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke))}[be](e){Ne(e)||(e===s.QUOTATION_MARK?(this.currentToken.systemId="",this.state=we):e===s.APOSTROPHE?(this.currentToken.systemId="",this.state=_e):e===s.GREATER_THAN_SIGN?(this._err(a.missingDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this.state=c,this._emitCurrentToken()):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(ke)))}[we](e){e===s.QUOTATION_MARK?this.state=xe:e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.systemId+=i.REPLACEMENT_CHARACTER):e===s.GREATER_THAN_SIGN?(this._err(a.abruptDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=c):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.systemId+=He(e)}[_e](e){e===s.APOSTROPHE?this.state=xe:e===s.NULL?(this._err(a.unexpectedNullCharacter),this.currentToken.systemId+=i.REPLACEMENT_CHARACTER):e===s.GREATER_THAN_SIGN?(this._err(a.abruptDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=c):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.systemId+=He(e)}[xe](e){Ne(e)||(e===s.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=c):e===s.EOF?(this._err(a.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(a.unexpectedCharacterAfterDoctypeSystemIdentifier),this._reconsumeInState(ke)))}[ke](e){e===s.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=c):e===s.NULL?this._err(a.unexpectedNullCharacter):e===s.EOF&&(this._emitCurrentToken(),this._emitEOFToken())}[Ee](e){e===s.RIGHT_SQUARE_BRACKET?this.state=Ce:e===s.EOF?(this._err(a.eofInCdata),this._emitEOFToken()):this._emitCodePoint(e)}[Ce](e){e===s.RIGHT_SQUARE_BRACKET?this.state=Se:(this._emitChars("]"),this._reconsumeInState(Ee))}[Se](e){e===s.GREATER_THAN_SIGN?this.state=c:e===s.RIGHT_SQUARE_BRACKET?this._emitChars("]"):(this._emitChars("]]"),this._reconsumeInState(Ee))}[Ae](e){this.tempBuff=[s.AMPERSAND],e===s.NUMBER_SIGN?(this.tempBuff.push(e),this.state=Pe):Ue(e)?this._reconsumeInState(Te):(this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[Te](e){const t=this._matchNamedCharacterReference(e);if(this._ensureHibernation())this.tempBuff=[s.AMPERSAND];else if(t){const e=this.tempBuff[this.tempBuff.length-1]===s.SEMICOLON;this._isCharacterReferenceAttributeQuirk(e)||(e||this._errOnNextCodePoint(a.missingSemicolonAfterCharacterReference),this.tempBuff=t),this._flushCodePointsConsumedAsCharacterReference(),this.state=this.returnState}else this._flushCodePointsConsumedAsCharacterReference(),this.state=Oe}[Oe](e){Ue(e)?this._isCharacterReferenceInAttribute()?this.currentAttr.value+=He(e):this._emitCodePoint(e):(e===s.SEMICOLON&&this._err(a.unknownNamedCharacterReference),this._reconsumeInState(this.returnState))}[Pe](e){this.charRefCode=0,e===s.LATIN_SMALL_X||e===s.LATIN_CAPITAL_X?(this.tempBuff.push(e),this.state=De):this._reconsumeInState(Re)}[De](e){!function(e){return je(e)||qe(e)||$e(e)}(e)?(this._err(a.absenceOfDigitsInNumericCharacterReference),this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState)):this._reconsumeInState(Ie)}[Re](e){je(e)?this._reconsumeInState(Me):(this._err(a.absenceOfDigitsInNumericCharacterReference),this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[Ie](e){qe(e)?this.charRefCode=16*this.charRefCode+e-55:$e(e)?this.charRefCode=16*this.charRefCode+e-87:je(e)?this.charRefCode=16*this.charRefCode+e-48:e===s.SEMICOLON?this.state=Le:(this._err(a.missingSemicolonAfterCharacterReference),this._reconsumeInState(Le))}[Me](e){je(e)?this.charRefCode=10*this.charRefCode+e-48:e===s.SEMICOLON?this.state=Le:(this._err(a.missingSemicolonAfterCharacterReference),this._reconsumeInState(Le))}[Le](){if(this.charRefCode===s.NULL)this._err(a.nullCharacterReference),this.charRefCode=s.REPLACEMENT_CHARACTER;else if(this.charRefCode>1114111)this._err(a.characterReferenceOutsideUnicodeRange),this.charRefCode=s.REPLACEMENT_CHARACTER;else if(i.isSurrogate(this.charRefCode))this._err(a.surrogateCharacterReference),this.charRefCode=s.REPLACEMENT_CHARACTER;else if(i.isUndefinedCodePoint(this.charRefCode))this._err(a.noncharacterCharacterReference);else if(i.isControlCodePoint(this.charRefCode)||this.charRefCode===s.CARRIAGE_RETURN){this._err(a.controlCharacterReference);const e=u[this.charRefCode];e&&(this.charRefCode=e)}this.tempBuff=[this.charRefCode],this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState)}}Ke.CHARACTER_TOKEN="CHARACTER_TOKEN",Ke.NULL_CHARACTER_TOKEN="NULL_CHARACTER_TOKEN",Ke.WHITESPACE_CHARACTER_TOKEN="WHITESPACE_CHARACTER_TOKEN",Ke.START_TAG_TOKEN="START_TAG_TOKEN",Ke.END_TAG_TOKEN="END_TAG_TOKEN",Ke.COMMENT_TOKEN="COMMENT_TOKEN",Ke.DOCTYPE_TOKEN="DOCTYPE_TOKEN",Ke.EOF_TOKEN="EOF_TOKEN",Ke.HIBERNATION_TOKEN="HIBERNATION_TOKEN",Ke.MODE={DATA:c,RCDATA:d,RAWTEXT:p,SCRIPT_DATA:f,PLAINTEXT:h},Ke.getTokenAttr=function(e,t){for(let n=e.attrs.length-1;n>=0;n--)if(e.attrs[n].name===t)return e.attrs[n].value;return null},e.exports=Ke},84906:e=>{"use strict";e.exports=new Uint16Array([4,52,65,66,67,68,69,70,71,72,73,74,75,76,77,78,79,80,81,82,83,84,85,86,87,88,89,90,97,98,99,100,101,102,103,104,105,106,107,108,109,110,111,112,113,114,115,116,117,118,119,120,121,122,106,303,412,810,1432,1701,1796,1987,2114,2360,2420,2484,3170,3251,4140,4393,4575,4610,5106,5512,5728,6117,6274,6315,6345,6427,6516,7002,7910,8733,9323,9870,10170,10631,10893,11318,11386,11467,12773,13092,14474,14922,15448,15542,16419,17666,18166,18611,19004,19095,19298,19397,4,16,69,77,97,98,99,102,103,108,109,110,111,112,114,115,116,117,140,150,158,169,176,194,199,210,216,222,226,242,256,266,283,294,108,105,103,5,198,1,59,148,1,198,80,5,38,1,59,156,1,38,99,117,116,101,5,193,1,59,167,1,193,114,101,118,101,59,1,258,4,2,105,121,182,191,114,99,5,194,1,59,189,1,194,59,1,1040,114,59,3,55349,56580,114,97,118,101,5,192,1,59,208,1,192,112,104,97,59,1,913,97,99,114,59,1,256,100,59,1,10835,4,2,103,112,232,237,111,110,59,1,260,102,59,3,55349,56632,112,108,121,70,117,110,99,116,105,111,110,59,1,8289,105,110,103,5,197,1,59,264,1,197,4,2,99,115,272,277,114,59,3,55349,56476,105,103,110,59,1,8788,105,108,100,101,5,195,1,59,292,1,195,109,108,5,196,1,59,301,1,196,4,8,97,99,101,102,111,114,115,117,321,350,354,383,388,394,400,405,4,2,99,114,327,336,107,115,108,97,115,104,59,1,8726,4,2,118,119,342,345,59,1,10983,101,100,59,1,8966,121,59,1,1041,4,3,99,114,116,362,369,379,97,117,115,101,59,1,8757,110,111,117,108,108,105,115,59,1,8492,97,59,1,914,114,59,3,55349,56581,112,102,59,3,55349,56633,101,118,101,59,1,728,99,114,59,1,8492,109,112,101,113,59,1,8782,4,14,72,79,97,99,100,101,102,104,105,108,111,114,115,117,442,447,456,504,542,547,569,573,577,616,678,784,790,796,99,121,59,1,1063,80,89,5,169,1,59,454,1,169,4,3,99,112,121,464,470,497,117,116,101,59,1,262,4,2,59,105,476,478,1,8914,116,97,108,68,105,102,102,101,114,101,110,116,105,97,108,68,59,1,8517,108,101,121,115,59,1,8493,4,4,97,101,105,111,514,520,530,535,114,111,110,59,1,268,100,105,108,5,199,1,59,528,1,199,114,99,59,1,264,110,105,110,116,59,1,8752,111,116,59,1,266,4,2,100,110,553,560,105,108,108,97,59,1,184,116,101,114,68,111,116,59,1,183,114,59,1,8493,105,59,1,935,114,99,108,101,4,4,68,77,80,84,591,596,603,609,111,116,59,1,8857,105,110,117,115,59,1,8854,108,117,115,59,1,8853,105,109,101,115,59,1,8855,111,4,2,99,115,623,646,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8754,101,67,117,114,108,121,4,2,68,81,658,671,111,117,98,108,101,81,117,111,116,101,59,1,8221,117,111,116,101,59,1,8217,4,4,108,110,112,117,688,701,736,753,111,110,4,2,59,101,696,698,1,8759,59,1,10868,4,3,103,105,116,709,717,722,114,117,101,110,116,59,1,8801,110,116,59,1,8751,111,117,114,73,110,116,101,103,114,97,108,59,1,8750,4,2,102,114,742,745,59,1,8450,111,100,117,99,116,59,1,8720,110,116,101,114,67,108,111,99,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8755,111,115,115,59,1,10799,99,114,59,3,55349,56478,112,4,2,59,67,803,805,1,8915,97,112,59,1,8781,4,11,68,74,83,90,97,99,101,102,105,111,115,834,850,855,860,865,888,903,916,921,1011,1415,4,2,59,111,840,842,1,8517,116,114,97,104,100,59,1,10513,99,121,59,1,1026,99,121,59,1,1029,99,121,59,1,1039,4,3,103,114,115,873,879,883,103,101,114,59,1,8225,114,59,1,8609,104,118,59,1,10980,4,2,97,121,894,900,114,111,110,59,1,270,59,1,1044,108,4,2,59,116,910,912,1,8711,97,59,1,916,114,59,3,55349,56583,4,2,97,102,927,998,4,2,99,109,933,992,114,105,116,105,99,97,108,4,4,65,68,71,84,950,957,978,985,99,117,116,101,59,1,180,111,4,2,116,117,964,967,59,1,729,98,108,101,65,99,117,116,101,59,1,733,114,97,118,101,59,1,96,105,108,100,101,59,1,732,111,110,100,59,1,8900,102,101,114,101,110,116,105,97,108,68,59,1,8518,4,4,112,116,117,119,1021,1026,1048,1249,102,59,3,55349,56635,4,3,59,68,69,1034,1036,1041,1,168,111,116,59,1,8412,113,117,97,108,59,1,8784,98,108,101,4,6,67,68,76,82,85,86,1065,1082,1101,1189,1211,1236,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8751,111,4,2,116,119,1089,1092,59,1,168,110,65,114,114,111,119,59,1,8659,4,2,101,111,1107,1141,102,116,4,3,65,82,84,1117,1124,1136,114,114,111,119,59,1,8656,105,103,104,116,65,114,114,111,119,59,1,8660,101,101,59,1,10980,110,103,4,2,76,82,1149,1177,101,102,116,4,2,65,82,1158,1165,114,114,111,119,59,1,10232,105,103,104,116,65,114,114,111,119,59,1,10234,105,103,104,116,65,114,114,111,119,59,1,10233,105,103,104,116,4,2,65,84,1199,1206,114,114,111,119,59,1,8658,101,101,59,1,8872,112,4,2,65,68,1218,1225,114,114,111,119,59,1,8657,111,119,110,65,114,114,111,119,59,1,8661,101,114,116,105,99,97,108,66,97,114,59,1,8741,110,4,6,65,66,76,82,84,97,1264,1292,1299,1352,1391,1408,114,114,111,119,4,3,59,66,85,1276,1278,1283,1,8595,97,114,59,1,10515,112,65,114,114,111,119,59,1,8693,114,101,118,101,59,1,785,101,102,116,4,3,82,84,86,1310,1323,1334,105,103,104,116,86,101,99,116,111,114,59,1,10576,101,101,86,101,99,116,111,114,59,1,10590,101,99,116,111,114,4,2,59,66,1345,1347,1,8637,97,114,59,1,10582,105,103,104,116,4,2,84,86,1362,1373,101,101,86,101,99,116,111,114,59,1,10591,101,99,116,111,114,4,2,59,66,1384,1386,1,8641,97,114,59,1,10583,101,101,4,2,59,65,1399,1401,1,8868,114,114,111,119,59,1,8615,114,114,111,119,59,1,8659,4,2,99,116,1421,1426,114,59,3,55349,56479,114,111,107,59,1,272,4,16,78,84,97,99,100,102,103,108,109,111,112,113,115,116,117,120,1466,1470,1478,1489,1515,1520,1525,1536,1544,1593,1609,1617,1650,1664,1668,1677,71,59,1,330,72,5,208,1,59,1476,1,208,99,117,116,101,5,201,1,59,1487,1,201,4,3,97,105,121,1497,1503,1512,114,111,110,59,1,282,114,99,5,202,1,59,1510,1,202,59,1,1069,111,116,59,1,278,114,59,3,55349,56584,114,97,118,101,5,200,1,59,1534,1,200,101,109,101,110,116,59,1,8712,4,2,97,112,1550,1555,99,114,59,1,274,116,121,4,2,83,86,1563,1576,109,97,108,108,83,113,117,97,114,101,59,1,9723,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9643,4,2,103,112,1599,1604,111,110,59,1,280,102,59,3,55349,56636,115,105,108,111,110,59,1,917,117,4,2,97,105,1624,1640,108,4,2,59,84,1631,1633,1,10869,105,108,100,101,59,1,8770,108,105,98,114,105,117,109,59,1,8652,4,2,99,105,1656,1660,114,59,1,8496,109,59,1,10867,97,59,1,919,109,108,5,203,1,59,1675,1,203,4,2,105,112,1683,1689,115,116,115,59,1,8707,111,110,101,110,116,105,97,108,69,59,1,8519,4,5,99,102,105,111,115,1713,1717,1722,1762,1791,121,59,1,1060,114,59,3,55349,56585,108,108,101,100,4,2,83,86,1732,1745,109,97,108,108,83,113,117,97,114,101,59,1,9724,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9642,4,3,112,114,117,1770,1775,1781,102,59,3,55349,56637,65,108,108,59,1,8704,114,105,101,114,116,114,102,59,1,8497,99,114,59,1,8497,4,12,74,84,97,98,99,100,102,103,111,114,115,116,1822,1827,1834,1848,1855,1877,1882,1887,1890,1896,1978,1984,99,121,59,1,1027,5,62,1,59,1832,1,62,109,109,97,4,2,59,100,1843,1845,1,915,59,1,988,114,101,118,101,59,1,286,4,3,101,105,121,1863,1869,1874,100,105,108,59,1,290,114,99,59,1,284,59,1,1043,111,116,59,1,288,114,59,3,55349,56586,59,1,8921,112,102,59,3,55349,56638,101,97,116,101,114,4,6,69,70,71,76,83,84,1915,1933,1944,1953,1959,1971,113,117,97,108,4,2,59,76,1925,1927,1,8805,101,115,115,59,1,8923,117,108,108,69,113,117,97,108,59,1,8807,114,101,97,116,101,114,59,1,10914,101,115,115,59,1,8823,108,97,110,116,69,113,117,97,108,59,1,10878,105,108,100,101,59,1,8819,99,114,59,3,55349,56482,59,1,8811,4,8,65,97,99,102,105,111,115,117,2005,2012,2026,2032,2036,2049,2073,2089,82,68,99,121,59,1,1066,4,2,99,116,2018,2023,101,107,59,1,711,59,1,94,105,114,99,59,1,292,114,59,1,8460,108,98,101,114,116,83,112,97,99,101,59,1,8459,4,2,112,114,2055,2059,102,59,1,8461,105,122,111,110,116,97,108,76,105,110,101,59,1,9472,4,2,99,116,2079,2083,114,59,1,8459,114,111,107,59,1,294,109,112,4,2,68,69,2097,2107,111,119,110,72,117,109,112,59,1,8782,113,117,97,108,59,1,8783,4,14,69,74,79,97,99,100,102,103,109,110,111,115,116,117,2144,2149,2155,2160,2171,2189,2194,2198,2209,2245,2307,2329,2334,2341,99,121,59,1,1045,108,105,103,59,1,306,99,121,59,1,1025,99,117,116,101,5,205,1,59,2169,1,205,4,2,105,121,2177,2186,114,99,5,206,1,59,2184,1,206,59,1,1048,111,116,59,1,304,114,59,1,8465,114,97,118,101,5,204,1,59,2207,1,204,4,3,59,97,112,2217,2219,2238,1,8465,4,2,99,103,2225,2229,114,59,1,298,105,110,97,114,121,73,59,1,8520,108,105,101,115,59,1,8658,4,2,116,118,2251,2281,4,2,59,101,2257,2259,1,8748,4,2,103,114,2265,2271,114,97,108,59,1,8747,115,101,99,116,105,111,110,59,1,8898,105,115,105,98,108,101,4,2,67,84,2293,2300,111,109,109,97,59,1,8291,105,109,101,115,59,1,8290,4,3,103,112,116,2315,2320,2325,111,110,59,1,302,102,59,3,55349,56640,97,59,1,921,99,114,59,1,8464,105,108,100,101,59,1,296,4,2,107,109,2347,2352,99,121,59,1,1030,108,5,207,1,59,2358,1,207,4,5,99,102,111,115,117,2372,2386,2391,2397,2414,4,2,105,121,2378,2383,114,99,59,1,308,59,1,1049,114,59,3,55349,56589,112,102,59,3,55349,56641,4,2,99,101,2403,2408,114,59,3,55349,56485,114,99,121,59,1,1032,107,99,121,59,1,1028,4,7,72,74,97,99,102,111,115,2436,2441,2446,2452,2467,2472,2478,99,121,59,1,1061,99,121,59,1,1036,112,112,97,59,1,922,4,2,101,121,2458,2464,100,105,108,59,1,310,59,1,1050,114,59,3,55349,56590,112,102,59,3,55349,56642,99,114,59,3,55349,56486,4,11,74,84,97,99,101,102,108,109,111,115,116,2508,2513,2520,2562,2585,2981,2986,3004,3011,3146,3167,99,121,59,1,1033,5,60,1,59,2518,1,60,4,5,99,109,110,112,114,2532,2538,2544,2548,2558,117,116,101,59,1,313,98,100,97,59,1,923,103,59,1,10218,108,97,99,101,116,114,102,59,1,8466,114,59,1,8606,4,3,97,101,121,2570,2576,2582,114,111,110,59,1,317,100,105,108,59,1,315,59,1,1051,4,2,102,115,2591,2907,116,4,10,65,67,68,70,82,84,85,86,97,114,2614,2663,2672,2728,2735,2760,2820,2870,2888,2895,4,2,110,114,2620,2633,103,108,101,66,114,97,99,107,101,116,59,1,10216,114,111,119,4,3,59,66,82,2644,2646,2651,1,8592,97,114,59,1,8676,105,103,104,116,65,114,114,111,119,59,1,8646,101,105,108,105,110,103,59,1,8968,111,4,2,117,119,2679,2692,98,108,101,66,114,97,99,107,101,116,59,1,10214,110,4,2,84,86,2699,2710,101,101,86,101,99,116,111,114,59,1,10593,101,99,116,111,114,4,2,59,66,2721,2723,1,8643,97,114,59,1,10585,108,111,111,114,59,1,8970,105,103,104,116,4,2,65,86,2745,2752,114,114,111,119,59,1,8596,101,99,116,111,114,59,1,10574,4,2,101,114,2766,2792,101,4,3,59,65,86,2775,2777,2784,1,8867,114,114,111,119,59,1,8612,101,99,116,111,114,59,1,10586,105,97,110,103,108,101,4,3,59,66,69,2806,2808,2813,1,8882,97,114,59,1,10703,113,117,97,108,59,1,8884,112,4,3,68,84,86,2829,2841,2852,111,119,110,86,101,99,116,111,114,59,1,10577,101,101,86,101,99,116,111,114,59,1,10592,101,99,116,111,114,4,2,59,66,2863,2865,1,8639,97,114,59,1,10584,101,99,116,111,114,4,2,59,66,2881,2883,1,8636,97,114,59,1,10578,114,114,111,119,59,1,8656,105,103,104,116,97,114,114,111,119,59,1,8660,115,4,6,69,70,71,76,83,84,2922,2936,2947,2956,2962,2974,113,117,97,108,71,114,101,97,116,101,114,59,1,8922,117,108,108,69,113,117,97,108,59,1,8806,114,101,97,116,101,114,59,1,8822,101,115,115,59,1,10913,108,97,110,116,69,113,117,97,108,59,1,10877,105,108,100,101,59,1,8818,114,59,3,55349,56591,4,2,59,101,2992,2994,1,8920,102,116,97,114,114,111,119,59,1,8666,105,100,111,116,59,1,319,4,3,110,112,119,3019,3110,3115,103,4,4,76,82,108,114,3030,3058,3070,3098,101,102,116,4,2,65,82,3039,3046,114,114,111,119,59,1,10229,105,103,104,116,65,114,114,111,119,59,1,10231,105,103,104,116,65,114,114,111,119,59,1,10230,101,102,116,4,2,97,114,3079,3086,114,114,111,119,59,1,10232,105,103,104,116,97,114,114,111,119,59,1,10234,105,103,104,116,97,114,114,111,119,59,1,10233,102,59,3,55349,56643,101,114,4,2,76,82,3123,3134,101,102,116,65,114,114,111,119,59,1,8601,105,103,104,116,65,114,114,111,119,59,1,8600,4,3,99,104,116,3154,3158,3161,114,59,1,8466,59,1,8624,114,111,107,59,1,321,59,1,8810,4,8,97,99,101,102,105,111,115,117,3188,3192,3196,3222,3227,3237,3243,3248,112,59,1,10501,121,59,1,1052,4,2,100,108,3202,3213,105,117,109,83,112,97,99,101,59,1,8287,108,105,110,116,114,102,59,1,8499,114,59,3,55349,56592,110,117,115,80,108,117,115,59,1,8723,112,102,59,3,55349,56644,99,114,59,1,8499,59,1,924,4,9,74,97,99,101,102,111,115,116,117,3271,3276,3283,3306,3422,3427,4120,4126,4137,99,121,59,1,1034,99,117,116,101,59,1,323,4,3,97,101,121,3291,3297,3303,114,111,110,59,1,327,100,105,108,59,1,325,59,1,1053,4,3,103,115,119,3314,3380,3415,97,116,105,118,101,4,3,77,84,86,3327,3340,3365,101,100,105,117,109,83,112,97,99,101,59,1,8203,104,105,4,2,99,110,3348,3357,107,83,112,97,99,101,59,1,8203,83,112,97,99,101,59,1,8203,101,114,121,84,104,105,110,83,112,97,99,101,59,1,8203,116,101,100,4,2,71,76,3389,3405,114,101,97,116,101,114,71,114,101,97,116,101,114,59,1,8811,101,115,115,76,101,115,115,59,1,8810,76,105,110,101,59,1,10,114,59,3,55349,56593,4,4,66,110,112,116,3437,3444,3460,3464,114,101,97,107,59,1,8288,66,114,101,97,107,105,110,103,83,112,97,99,101,59,1,160,102,59,1,8469,4,13,59,67,68,69,71,72,76,78,80,82,83,84,86,3492,3494,3517,3536,3578,3657,3685,3784,3823,3860,3915,4066,4107,1,10988,4,2,111,117,3500,3510,110,103,114,117,101,110,116,59,1,8802,112,67,97,112,59,1,8813,111,117,98,108,101,86,101,114,116,105,99,97,108,66,97,114,59,1,8742,4,3,108,113,120,3544,3552,3571,101,109,101,110,116,59,1,8713,117,97,108,4,2,59,84,3561,3563,1,8800,105,108,100,101,59,3,8770,824,105,115,116,115,59,1,8708,114,101,97,116,101,114,4,7,59,69,70,71,76,83,84,3600,3602,3609,3621,3631,3637,3650,1,8815,113,117,97,108,59,1,8817,117,108,108,69,113,117,97,108,59,3,8807,824,114,101,97,116,101,114,59,3,8811,824,101,115,115,59,1,8825,108,97,110,116,69,113,117,97,108,59,3,10878,824,105,108,100,101,59,1,8821,117,109,112,4,2,68,69,3666,3677,111,119,110,72,117,109,112,59,3,8782,824,113,117,97,108,59,3,8783,824,101,4,2,102,115,3692,3724,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3709,3711,3717,1,8938,97,114,59,3,10703,824,113,117,97,108,59,1,8940,115,4,6,59,69,71,76,83,84,3739,3741,3748,3757,3764,3777,1,8814,113,117,97,108,59,1,8816,114,101,97,116,101,114,59,1,8824,101,115,115,59,3,8810,824,108,97,110,116,69,113,117,97,108,59,3,10877,824,105,108,100,101,59,1,8820,101,115,116,101,100,4,2,71,76,3795,3812,114,101,97,116,101,114,71,114,101,97,116,101,114,59,3,10914,824,101,115,115,76,101,115,115,59,3,10913,824,114,101,99,101,100,101,115,4,3,59,69,83,3838,3840,3848,1,8832,113,117,97,108,59,3,10927,824,108,97,110,116,69,113,117,97,108,59,1,8928,4,2,101,105,3866,3881,118,101,114,115,101,69,108,101,109,101,110,116,59,1,8716,103,104,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3900,3902,3908,1,8939,97,114,59,3,10704,824,113,117,97,108,59,1,8941,4,2,113,117,3921,3973,117,97,114,101,83,117,4,2,98,112,3933,3952,115,101,116,4,2,59,69,3942,3945,3,8847,824,113,117,97,108,59,1,8930,101,114,115,101,116,4,2,59,69,3963,3966,3,8848,824,113,117,97,108,59,1,8931,4,3,98,99,112,3981,4e3,4045,115,101,116,4,2,59,69,3990,3993,3,8834,8402,113,117,97,108,59,1,8840,99,101,101,100,115,4,4,59,69,83,84,4015,4017,4025,4037,1,8833,113,117,97,108,59,3,10928,824,108,97,110,116,69,113,117,97,108,59,1,8929,105,108,100,101,59,3,8831,824,101,114,115,101,116,4,2,59,69,4056,4059,3,8835,8402,113,117,97,108,59,1,8841,105,108,100,101,4,4,59,69,70,84,4080,4082,4089,4100,1,8769,113,117,97,108,59,1,8772,117,108,108,69,113,117,97,108,59,1,8775,105,108,100,101,59,1,8777,101,114,116,105,99,97,108,66,97,114,59,1,8740,99,114,59,3,55349,56489,105,108,100,101,5,209,1,59,4135,1,209,59,1,925,4,14,69,97,99,100,102,103,109,111,112,114,115,116,117,118,4170,4176,4187,4205,4212,4217,4228,4253,4259,4292,4295,4316,4337,4346,108,105,103,59,1,338,99,117,116,101,5,211,1,59,4185,1,211,4,2,105,121,4193,4202,114,99,5,212,1,59,4200,1,212,59,1,1054,98,108,97,99,59,1,336,114,59,3,55349,56594,114,97,118,101,5,210,1,59,4226,1,210,4,3,97,101,105,4236,4241,4246,99,114,59,1,332,103,97,59,1,937,99,114,111,110,59,1,927,112,102,59,3,55349,56646,101,110,67,117,114,108,121,4,2,68,81,4272,4285,111,117,98,108,101,81,117,111,116,101,59,1,8220,117,111,116,101,59,1,8216,59,1,10836,4,2,99,108,4301,4306,114,59,3,55349,56490,97,115,104,5,216,1,59,4314,1,216,105,4,2,108,109,4323,4332,100,101,5,213,1,59,4330,1,213,101,115,59,1,10807,109,108,5,214,1,59,4344,1,214,101,114,4,2,66,80,4354,4380,4,2,97,114,4360,4364,114,59,1,8254,97,99,4,2,101,107,4372,4375,59,1,9182,101,116,59,1,9140,97,114,101,110,116,104,101,115,105,115,59,1,9180,4,9,97,99,102,104,105,108,111,114,115,4413,4422,4426,4431,4435,4438,4448,4471,4561,114,116,105,97,108,68,59,1,8706,121,59,1,1055,114,59,3,55349,56595,105,59,1,934,59,1,928,117,115,77,105,110,117,115,59,1,177,4,2,105,112,4454,4467,110,99,97,114,101,112,108,97,110,101,59,1,8460,102,59,1,8473,4,4,59,101,105,111,4481,4483,4526,4531,1,10939,99,101,100,101,115,4,4,59,69,83,84,4498,4500,4507,4519,1,8826,113,117,97,108,59,1,10927,108,97,110,116,69,113,117,97,108,59,1,8828,105,108,100,101,59,1,8830,109,101,59,1,8243,4,2,100,112,4537,4543,117,99,116,59,1,8719,111,114,116,105,111,110,4,2,59,97,4555,4557,1,8759,108,59,1,8733,4,2,99,105,4567,4572,114,59,3,55349,56491,59,1,936,4,4,85,102,111,115,4585,4594,4599,4604,79,84,5,34,1,59,4592,1,34,114,59,3,55349,56596,112,102,59,1,8474,99,114,59,3,55349,56492,4,12,66,69,97,99,101,102,104,105,111,114,115,117,4636,4642,4650,4681,4704,4763,4767,4771,5047,5069,5081,5094,97,114,114,59,1,10512,71,5,174,1,59,4648,1,174,4,3,99,110,114,4658,4664,4668,117,116,101,59,1,340,103,59,1,10219,114,4,2,59,116,4675,4677,1,8608,108,59,1,10518,4,3,97,101,121,4689,4695,4701,114,111,110,59,1,344,100,105,108,59,1,342,59,1,1056,4,2,59,118,4710,4712,1,8476,101,114,115,101,4,2,69,85,4722,4748,4,2,108,113,4728,4736,101,109,101,110,116,59,1,8715,117,105,108,105,98,114,105,117,109,59,1,8651,112,69,113,117,105,108,105,98,114,105,117,109,59,1,10607,114,59,1,8476,111,59,1,929,103,104,116,4,8,65,67,68,70,84,85,86,97,4792,4840,4849,4905,4912,4972,5022,5040,4,2,110,114,4798,4811,103,108,101,66,114,97,99,107,101,116,59,1,10217,114,111,119,4,3,59,66,76,4822,4824,4829,1,8594,97,114,59,1,8677,101,102,116,65,114,114,111,119,59,1,8644,101,105,108,105,110,103,59,1,8969,111,4,2,117,119,4856,4869,98,108,101,66,114,97,99,107,101,116,59,1,10215,110,4,2,84,86,4876,4887,101,101,86,101,99,116,111,114,59,1,10589,101,99,116,111,114,4,2,59,66,4898,4900,1,8642,97,114,59,1,10581,108,111,111,114,59,1,8971,4,2,101,114,4918,4944,101,4,3,59,65,86,4927,4929,4936,1,8866,114,114,111,119,59,1,8614,101,99,116,111,114,59,1,10587,105,97,110,103,108,101,4,3,59,66,69,4958,4960,4965,1,8883,97,114,59,1,10704,113,117,97,108,59,1,8885,112,4,3,68,84,86,4981,4993,5004,111,119,110,86,101,99,116,111,114,59,1,10575,101,101,86,101,99,116,111,114,59,1,10588,101,99,116,111,114,4,2,59,66,5015,5017,1,8638,97,114,59,1,10580,101,99,116,111,114,4,2,59,66,5033,5035,1,8640,97,114,59,1,10579,114,114,111,119,59,1,8658,4,2,112,117,5053,5057,102,59,1,8477,110,100,73,109,112,108,105,101,115,59,1,10608,105,103,104,116,97,114,114,111,119,59,1,8667,4,2,99,104,5087,5091,114,59,1,8475,59,1,8625,108,101,68,101,108,97,121,101,100,59,1,10740,4,13,72,79,97,99,102,104,105,109,111,113,115,116,117,5134,5150,5157,5164,5198,5203,5259,5265,5277,5283,5374,5380,5385,4,2,67,99,5140,5146,72,99,121,59,1,1065,121,59,1,1064,70,84,99,121,59,1,1068,99,117,116,101,59,1,346,4,5,59,97,101,105,121,5176,5178,5184,5190,5195,1,10940,114,111,110,59,1,352,100,105,108,59,1,350,114,99,59,1,348,59,1,1057,114,59,3,55349,56598,111,114,116,4,4,68,76,82,85,5216,5227,5238,5250,111,119,110,65,114,114,111,119,59,1,8595,101,102,116,65,114,114,111,119,59,1,8592,105,103,104,116,65,114,114,111,119,59,1,8594,112,65,114,114,111,119,59,1,8593,103,109,97,59,1,931,97,108,108,67,105,114,99,108,101,59,1,8728,112,102,59,3,55349,56650,4,2,114,117,5289,5293,116,59,1,8730,97,114,101,4,4,59,73,83,85,5306,5308,5322,5367,1,9633,110,116,101,114,115,101,99,116,105,111,110,59,1,8851,117,4,2,98,112,5329,5347,115,101,116,4,2,59,69,5338,5340,1,8847,113,117,97,108,59,1,8849,101,114,115,101,116,4,2,59,69,5358,5360,1,8848,113,117,97,108,59,1,8850,110,105,111,110,59,1,8852,99,114,59,3,55349,56494,97,114,59,1,8902,4,4,98,99,109,112,5395,5420,5475,5478,4,2,59,115,5401,5403,1,8912,101,116,4,2,59,69,5411,5413,1,8912,113,117,97,108,59,1,8838,4,2,99,104,5426,5468,101,101,100,115,4,4,59,69,83,84,5440,5442,5449,5461,1,8827,113,117,97,108,59,1,10928,108,97,110,116,69,113,117,97,108,59,1,8829,105,108,100,101,59,1,8831,84,104,97,116,59,1,8715,59,1,8721,4,3,59,101,115,5486,5488,5507,1,8913,114,115,101,116,4,2,59,69,5498,5500,1,8835,113,117,97,108,59,1,8839,101,116,59,1,8913,4,11,72,82,83,97,99,102,104,105,111,114,115,5536,5546,5552,5567,5579,5602,5607,5655,5695,5701,5711,79,82,78,5,222,1,59,5544,1,222,65,68,69,59,1,8482,4,2,72,99,5558,5563,99,121,59,1,1035,121,59,1,1062,4,2,98,117,5573,5576,59,1,9,59,1,932,4,3,97,101,121,5587,5593,5599,114,111,110,59,1,356,100,105,108,59,1,354,59,1,1058,114,59,3,55349,56599,4,2,101,105,5613,5631,4,2,114,116,5619,5627,101,102,111,114,101,59,1,8756,97,59,1,920,4,2,99,110,5637,5647,107,83,112,97,99,101,59,3,8287,8202,83,112,97,99,101,59,1,8201,108,100,101,4,4,59,69,70,84,5668,5670,5677,5688,1,8764,113,117,97,108,59,1,8771,117,108,108,69,113,117,97,108,59,1,8773,105,108,100,101,59,1,8776,112,102,59,3,55349,56651,105,112,108,101,68,111,116,59,1,8411,4,2,99,116,5717,5722,114,59,3,55349,56495,114,111,107,59,1,358,4,14,97,98,99,100,102,103,109,110,111,112,114,115,116,117,5758,5789,5805,5823,5830,5835,5846,5852,5921,5937,6089,6095,6101,6108,4,2,99,114,5764,5774,117,116,101,5,218,1,59,5772,1,218,114,4,2,59,111,5781,5783,1,8607,99,105,114,59,1,10569,114,4,2,99,101,5796,5800,121,59,1,1038,118,101,59,1,364,4,2,105,121,5811,5820,114,99,5,219,1,59,5818,1,219,59,1,1059,98,108,97,99,59,1,368,114,59,3,55349,56600,114,97,118,101,5,217,1,59,5844,1,217,97,99,114,59,1,362,4,2,100,105,5858,5905,101,114,4,2,66,80,5866,5892,4,2,97,114,5872,5876,114,59,1,95,97,99,4,2,101,107,5884,5887,59,1,9183,101,116,59,1,9141,97,114,101,110,116,104,101,115,105,115,59,1,9181,111,110,4,2,59,80,5913,5915,1,8899,108,117,115,59,1,8846,4,2,103,112,5927,5932,111,110,59,1,370,102,59,3,55349,56652,4,8,65,68,69,84,97,100,112,115,5955,5985,5996,6009,6026,6033,6044,6075,114,114,111,119,4,3,59,66,68,5967,5969,5974,1,8593,97,114,59,1,10514,111,119,110,65,114,114,111,119,59,1,8645,111,119,110,65,114,114,111,119,59,1,8597,113,117,105,108,105,98,114,105,117,109,59,1,10606,101,101,4,2,59,65,6017,6019,1,8869,114,114,111,119,59,1,8613,114,114,111,119,59,1,8657,111,119,110,97,114,114,111,119,59,1,8661,101,114,4,2,76,82,6052,6063,101,102,116,65,114,114,111,119,59,1,8598,105,103,104,116,65,114,114,111,119,59,1,8599,105,4,2,59,108,6082,6084,1,978,111,110,59,1,933,105,110,103,59,1,366,99,114,59,3,55349,56496,105,108,100,101,59,1,360,109,108,5,220,1,59,6115,1,220,4,9,68,98,99,100,101,102,111,115,118,6137,6143,6148,6152,6166,6250,6255,6261,6267,97,115,104,59,1,8875,97,114,59,1,10987,121,59,1,1042,97,115,104,4,2,59,108,6161,6163,1,8873,59,1,10982,4,2,101,114,6172,6175,59,1,8897,4,3,98,116,121,6183,6188,6238,97,114,59,1,8214,4,2,59,105,6194,6196,1,8214,99,97,108,4,4,66,76,83,84,6209,6214,6220,6231,97,114,59,1,8739,105,110,101,59,1,124,101,112,97,114,97,116,111,114,59,1,10072,105,108,100,101,59,1,8768,84,104,105,110,83,112,97,99,101,59,1,8202,114,59,3,55349,56601,112,102,59,3,55349,56653,99,114,59,3,55349,56497,100,97,115,104,59,1,8874,4,5,99,101,102,111,115,6286,6292,6298,6303,6309,105,114,99,59,1,372,100,103,101,59,1,8896,114,59,3,55349,56602,112,102,59,3,55349,56654,99,114,59,3,55349,56498,4,4,102,105,111,115,6325,6330,6333,6339,114,59,3,55349,56603,59,1,926,112,102,59,3,55349,56655,99,114,59,3,55349,56499,4,9,65,73,85,97,99,102,111,115,117,6365,6370,6375,6380,6391,6405,6410,6416,6422,99,121,59,1,1071,99,121,59,1,1031,99,121,59,1,1070,99,117,116,101,5,221,1,59,6389,1,221,4,2,105,121,6397,6402,114,99,59,1,374,59,1,1067,114,59,3,55349,56604,112,102,59,3,55349,56656,99,114,59,3,55349,56500,109,108,59,1,376,4,8,72,97,99,100,101,102,111,115,6445,6450,6457,6472,6477,6501,6505,6510,99,121,59,1,1046,99,117,116,101,59,1,377,4,2,97,121,6463,6469,114,111,110,59,1,381,59,1,1047,111,116,59,1,379,4,2,114,116,6483,6497,111,87,105,100,116,104,83,112,97,99,101,59,1,8203,97,59,1,918,114,59,1,8488,112,102,59,1,8484,99,114,59,3,55349,56501,4,16,97,98,99,101,102,103,108,109,110,111,112,114,115,116,117,119,6550,6561,6568,6612,6622,6634,6645,6672,6699,6854,6870,6923,6933,6963,6974,6983,99,117,116,101,5,225,1,59,6559,1,225,114,101,118,101,59,1,259,4,6,59,69,100,105,117,121,6582,6584,6588,6591,6600,6609,1,8766,59,3,8766,819,59,1,8767,114,99,5,226,1,59,6598,1,226,116,101,5,180,1,59,6607,1,180,59,1,1072,108,105,103,5,230,1,59,6620,1,230,4,2,59,114,6628,6630,1,8289,59,3,55349,56606,114,97,118,101,5,224,1,59,6643,1,224,4,2,101,112,6651,6667,4,2,102,112,6657,6663,115,121,109,59,1,8501,104,59,1,8501,104,97,59,1,945,4,2,97,112,6678,6692,4,2,99,108,6684,6688,114,59,1,257,103,59,1,10815,5,38,1,59,6697,1,38,4,2,100,103,6705,6737,4,5,59,97,100,115,118,6717,6719,6724,6727,6734,1,8743,110,100,59,1,10837,59,1,10844,108,111,112,101,59,1,10840,59,1,10842,4,7,59,101,108,109,114,115,122,6753,6755,6758,6762,6814,6835,6848,1,8736,59,1,10660,101,59,1,8736,115,100,4,2,59,97,6770,6772,1,8737,4,8,97,98,99,100,101,102,103,104,6790,6793,6796,6799,6802,6805,6808,6811,59,1,10664,59,1,10665,59,1,10666,59,1,10667,59,1,10668,59,1,10669,59,1,10670,59,1,10671,116,4,2,59,118,6821,6823,1,8735,98,4,2,59,100,6830,6832,1,8894,59,1,10653,4,2,112,116,6841,6845,104,59,1,8738,59,1,197,97,114,114,59,1,9084,4,2,103,112,6860,6865,111,110,59,1,261,102,59,3,55349,56658,4,7,59,69,97,101,105,111,112,6886,6888,6891,6897,6900,6904,6908,1,8776,59,1,10864,99,105,114,59,1,10863,59,1,8778,100,59,1,8779,115,59,1,39,114,111,120,4,2,59,101,6917,6919,1,8776,113,59,1,8778,105,110,103,5,229,1,59,6931,1,229,4,3,99,116,121,6941,6946,6949,114,59,3,55349,56502,59,1,42,109,112,4,2,59,101,6957,6959,1,8776,113,59,1,8781,105,108,100,101,5,227,1,59,6972,1,227,109,108,5,228,1,59,6981,1,228,4,2,99,105,6989,6997,111,110,105,110,116,59,1,8755,110,116,59,1,10769,4,16,78,97,98,99,100,101,102,105,107,108,110,111,112,114,115,117,7036,7041,7119,7135,7149,7155,7219,7224,7347,7354,7463,7489,7786,7793,7814,7866,111,116,59,1,10989,4,2,99,114,7047,7094,107,4,4,99,101,112,115,7058,7064,7073,7080,111,110,103,59,1,8780,112,115,105,108,111,110,59,1,1014,114,105,109,101,59,1,8245,105,109,4,2,59,101,7088,7090,1,8765,113,59,1,8909,4,2,118,119,7100,7105,101,101,59,1,8893,101,100,4,2,59,103,7113,7115,1,8965,101,59,1,8965,114,107,4,2,59,116,7127,7129,1,9141,98,114,107,59,1,9142,4,2,111,121,7141,7146,110,103,59,1,8780,59,1,1073,113,117,111,59,1,8222,4,5,99,109,112,114,116,7167,7181,7188,7193,7199,97,117,115,4,2,59,101,7176,7178,1,8757,59,1,8757,112,116,121,118,59,1,10672,115,105,59,1,1014,110,111,117,59,1,8492,4,3,97,104,119,7207,7210,7213,59,1,946,59,1,8502,101,101,110,59,1,8812,114,59,3,55349,56607,103,4,7,99,111,115,116,117,118,119,7241,7262,7288,7305,7328,7335,7340,4,3,97,105,117,7249,7253,7258,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,4,3,100,112,116,7270,7275,7281,111,116,59,1,10752,108,117,115,59,1,10753,105,109,101,115,59,1,10754,4,2,113,116,7294,7300,99,117,112,59,1,10758,97,114,59,1,9733,114,105,97,110,103,108,101,4,2,100,117,7318,7324,111,119,110,59,1,9661,112,59,1,9651,112,108,117,115,59,1,10756,101,101,59,1,8897,101,100,103,101,59,1,8896,97,114,111,119,59,1,10509,4,3,97,107,111,7362,7436,7458,4,2,99,110,7368,7432,107,4,3,108,115,116,7377,7386,7394,111,122,101,110,103,101,59,1,10731,113,117,97,114,101,59,1,9642,114,105,97,110,103,108,101,4,4,59,100,108,114,7411,7413,7419,7425,1,9652,111,119,110,59,1,9662,101,102,116,59,1,9666,105,103,104,116,59,1,9656,107,59,1,9251,4,2,49,51,7442,7454,4,2,50,52,7448,7451,59,1,9618,59,1,9617,52,59,1,9619,99,107,59,1,9608,4,2,101,111,7469,7485,4,2,59,113,7475,7478,3,61,8421,117,105,118,59,3,8801,8421,116,59,1,8976,4,4,112,116,119,120,7499,7504,7517,7523,102,59,3,55349,56659,4,2,59,116,7510,7512,1,8869,111,109,59,1,8869,116,105,101,59,1,8904,4,12,68,72,85,86,98,100,104,109,112,116,117,118,7549,7571,7597,7619,7655,7660,7682,7708,7715,7721,7728,7750,4,4,76,82,108,114,7559,7562,7565,7568,59,1,9559,59,1,9556,59,1,9558,59,1,9555,4,5,59,68,85,100,117,7583,7585,7588,7591,7594,1,9552,59,1,9574,59,1,9577,59,1,9572,59,1,9575,4,4,76,82,108,114,7607,7610,7613,7616,59,1,9565,59,1,9562,59,1,9564,59,1,9561,4,7,59,72,76,82,104,108,114,7635,7637,7640,7643,7646,7649,7652,1,9553,59,1,9580,59,1,9571,59,1,9568,59,1,9579,59,1,9570,59,1,9567,111,120,59,1,10697,4,4,76,82,108,114,7670,7673,7676,7679,59,1,9557,59,1,9554,59,1,9488,59,1,9484,4,5,59,68,85,100,117,7694,7696,7699,7702,7705,1,9472,59,1,9573,59,1,9576,59,1,9516,59,1,9524,105,110,117,115,59,1,8863,108,117,115,59,1,8862,105,109,101,115,59,1,8864,4,4,76,82,108,114,7738,7741,7744,7747,59,1,9563,59,1,9560,59,1,9496,59,1,9492,4,7,59,72,76,82,104,108,114,7766,7768,7771,7774,7777,7780,7783,1,9474,59,1,9578,59,1,9569,59,1,9566,59,1,9532,59,1,9508,59,1,9500,114,105,109,101,59,1,8245,4,2,101,118,7799,7804,118,101,59,1,728,98,97,114,5,166,1,59,7812,1,166,4,4,99,101,105,111,7824,7829,7834,7846,114,59,3,55349,56503,109,105,59,1,8271,109,4,2,59,101,7841,7843,1,8765,59,1,8909,108,4,3,59,98,104,7855,7857,7860,1,92,59,1,10693,115,117,98,59,1,10184,4,2,108,109,7872,7885,108,4,2,59,101,7879,7881,1,8226,116,59,1,8226,112,4,3,59,69,101,7894,7896,7899,1,8782,59,1,10926,4,2,59,113,7905,7907,1,8783,59,1,8783,4,15,97,99,100,101,102,104,105,108,111,114,115,116,117,119,121,7942,8021,8075,8080,8121,8126,8157,8279,8295,8430,8446,8485,8491,8707,8726,4,3,99,112,114,7950,7956,8007,117,116,101,59,1,263,4,6,59,97,98,99,100,115,7970,7972,7977,7984,7998,8003,1,8745,110,100,59,1,10820,114,99,117,112,59,1,10825,4,2,97,117,7990,7994,112,59,1,10827,112,59,1,10823,111,116,59,1,10816,59,3,8745,65024,4,2,101,111,8013,8017,116,59,1,8257,110,59,1,711,4,4,97,101,105,117,8031,8046,8056,8061,4,2,112,114,8037,8041,115,59,1,10829,111,110,59,1,269,100,105,108,5,231,1,59,8054,1,231,114,99,59,1,265,112,115,4,2,59,115,8069,8071,1,10828,109,59,1,10832,111,116,59,1,267,4,3,100,109,110,8088,8097,8104,105,108,5,184,1,59,8095,1,184,112,116,121,118,59,1,10674,116,5,162,2,59,101,8112,8114,1,162,114,100,111,116,59,1,183,114,59,3,55349,56608,4,3,99,101,105,8134,8138,8154,121,59,1,1095,99,107,4,2,59,109,8146,8148,1,10003,97,114,107,59,1,10003,59,1,967,114,4,7,59,69,99,101,102,109,115,8174,8176,8179,8258,8261,8268,8273,1,9675,59,1,10691,4,3,59,101,108,8187,8189,8193,1,710,113,59,1,8791,101,4,2,97,100,8200,8223,114,114,111,119,4,2,108,114,8210,8216,101,102,116,59,1,8634,105,103,104,116,59,1,8635,4,5,82,83,97,99,100,8235,8238,8241,8246,8252,59,1,174,59,1,9416,115,116,59,1,8859,105,114,99,59,1,8858,97,115,104,59,1,8861,59,1,8791,110,105,110,116,59,1,10768,105,100,59,1,10991,99,105,114,59,1,10690,117,98,115,4,2,59,117,8288,8290,1,9827,105,116,59,1,9827,4,4,108,109,110,112,8305,8326,8376,8400,111,110,4,2,59,101,8313,8315,1,58,4,2,59,113,8321,8323,1,8788,59,1,8788,4,2,109,112,8332,8344,97,4,2,59,116,8339,8341,1,44,59,1,64,4,3,59,102,108,8352,8354,8358,1,8705,110,59,1,8728,101,4,2,109,120,8365,8371,101,110,116,59,1,8705,101,115,59,1,8450,4,2,103,105,8382,8395,4,2,59,100,8388,8390,1,8773,111,116,59,1,10861,110,116,59,1,8750,4,3,102,114,121,8408,8412,8417,59,3,55349,56660,111,100,59,1,8720,5,169,2,59,115,8424,8426,1,169,114,59,1,8471,4,2,97,111,8436,8441,114,114,59,1,8629,115,115,59,1,10007,4,2,99,117,8452,8457,114,59,3,55349,56504,4,2,98,112,8463,8474,4,2,59,101,8469,8471,1,10959,59,1,10961,4,2,59,101,8480,8482,1,10960,59,1,10962,100,111,116,59,1,8943,4,7,100,101,108,112,114,118,119,8507,8522,8536,8550,8600,8697,8702,97,114,114,4,2,108,114,8516,8519,59,1,10552,59,1,10549,4,2,112,115,8528,8532,114,59,1,8926,99,59,1,8927,97,114,114,4,2,59,112,8545,8547,1,8630,59,1,10557,4,6,59,98,99,100,111,115,8564,8566,8573,8587,8592,8596,1,8746,114,99,97,112,59,1,10824,4,2,97,117,8579,8583,112,59,1,10822,112,59,1,10826,111,116,59,1,8845,114,59,1,10821,59,3,8746,65024,4,4,97,108,114,118,8610,8623,8663,8672,114,114,4,2,59,109,8618,8620,1,8631,59,1,10556,121,4,3,101,118,119,8632,8651,8656,113,4,2,112,115,8639,8645,114,101,99,59,1,8926,117,99,99,59,1,8927,101,101,59,1,8910,101,100,103,101,59,1,8911,101,110,5,164,1,59,8670,1,164,101,97,114,114,111,119,4,2,108,114,8684,8690,101,102,116,59,1,8630,105,103,104,116,59,1,8631,101,101,59,1,8910,101,100,59,1,8911,4,2,99,105,8713,8721,111,110,105,110,116,59,1,8754,110,116,59,1,8753,108,99,116,121,59,1,9005,4,19,65,72,97,98,99,100,101,102,104,105,106,108,111,114,115,116,117,119,122,8773,8778,8783,8821,8839,8854,8887,8914,8930,8944,9036,9041,9058,9197,9227,9258,9281,9297,9305,114,114,59,1,8659,97,114,59,1,10597,4,4,103,108,114,115,8793,8799,8805,8809,103,101,114,59,1,8224,101,116,104,59,1,8504,114,59,1,8595,104,4,2,59,118,8816,8818,1,8208,59,1,8867,4,2,107,108,8827,8834,97,114,111,119,59,1,10511,97,99,59,1,733,4,2,97,121,8845,8851,114,111,110,59,1,271,59,1,1076,4,3,59,97,111,8862,8864,8880,1,8518,4,2,103,114,8870,8876,103,101,114,59,1,8225,114,59,1,8650,116,115,101,113,59,1,10871,4,3,103,108,109,8895,8902,8907,5,176,1,59,8900,1,176,116,97,59,1,948,112,116,121,118,59,1,10673,4,2,105,114,8920,8926,115,104,116,59,1,10623,59,3,55349,56609,97,114,4,2,108,114,8938,8941,59,1,8643,59,1,8642,4,5,97,101,103,115,118,8956,8986,8989,8996,9001,109,4,3,59,111,115,8965,8967,8983,1,8900,110,100,4,2,59,115,8975,8977,1,8900,117,105,116,59,1,9830,59,1,9830,59,1,168,97,109,109,97,59,1,989,105,110,59,1,8946,4,3,59,105,111,9009,9011,9031,1,247,100,101,5,247,2,59,111,9020,9022,1,247,110,116,105,109,101,115,59,1,8903,110,120,59,1,8903,99,121,59,1,1106,99,4,2,111,114,9048,9053,114,110,59,1,8990,111,112,59,1,8973,4,5,108,112,116,117,119,9070,9076,9081,9130,9144,108,97,114,59,1,36,102,59,3,55349,56661,4,5,59,101,109,112,115,9093,9095,9109,9116,9122,1,729,113,4,2,59,100,9102,9104,1,8784,111,116,59,1,8785,105,110,117,115,59,1,8760,108,117,115,59,1,8724,113,117,97,114,101,59,1,8865,98,108,101,98,97,114,119,101,100,103,101,59,1,8966,110,4,3,97,100,104,9153,9160,9172,114,114,111,119,59,1,8595,111,119,110,97,114,114,111,119,115,59,1,8650,97,114,112,111,111,110,4,2,108,114,9184,9190,101,102,116,59,1,8643,105,103,104,116,59,1,8642,4,2,98,99,9203,9211,107,97,114,111,119,59,1,10512,4,2,111,114,9217,9222,114,110,59,1,8991,111,112,59,1,8972,4,3,99,111,116,9235,9248,9252,4,2,114,121,9241,9245,59,3,55349,56505,59,1,1109,108,59,1,10742,114,111,107,59,1,273,4,2,100,114,9264,9269,111,116,59,1,8945,105,4,2,59,102,9276,9278,1,9663,59,1,9662,4,2,97,104,9287,9292,114,114,59,1,8693,97,114,59,1,10607,97,110,103,108,101,59,1,10662,4,2,99,105,9311,9315,121,59,1,1119,103,114,97,114,114,59,1,10239,4,18,68,97,99,100,101,102,103,108,109,110,111,112,113,114,115,116,117,120,9361,9376,9398,9439,9444,9447,9462,9495,9531,9585,9598,9614,9659,9755,9771,9792,9808,9826,4,2,68,111,9367,9372,111,116,59,1,10871,116,59,1,8785,4,2,99,115,9382,9392,117,116,101,5,233,1,59,9390,1,233,116,101,114,59,1,10862,4,4,97,105,111,121,9408,9414,9430,9436,114,111,110,59,1,283,114,4,2,59,99,9421,9423,1,8790,5,234,1,59,9428,1,234,108,111,110,59,1,8789,59,1,1101,111,116,59,1,279,59,1,8519,4,2,68,114,9453,9458,111,116,59,1,8786,59,3,55349,56610,4,3,59,114,115,9470,9472,9482,1,10906,97,118,101,5,232,1,59,9480,1,232,4,2,59,100,9488,9490,1,10902,111,116,59,1,10904,4,4,59,105,108,115,9505,9507,9515,9518,1,10905,110,116,101,114,115,59,1,9191,59,1,8467,4,2,59,100,9524,9526,1,10901,111,116,59,1,10903,4,3,97,112,115,9539,9544,9564,99,114,59,1,275,116,121,4,3,59,115,118,9554,9556,9561,1,8709,101,116,59,1,8709,59,1,8709,112,4,2,49,59,9571,9583,4,2,51,52,9577,9580,59,1,8196,59,1,8197,1,8195,4,2,103,115,9591,9594,59,1,331,112,59,1,8194,4,2,103,112,9604,9609,111,110,59,1,281,102,59,3,55349,56662,4,3,97,108,115,9622,9635,9640,114,4,2,59,115,9629,9631,1,8917,108,59,1,10723,117,115,59,1,10865,105,4,3,59,108,118,9649,9651,9656,1,949,111,110,59,1,949,59,1,1013,4,4,99,115,117,118,9669,9686,9716,9747,4,2,105,111,9675,9680,114,99,59,1,8790,108,111,110,59,1,8789,4,2,105,108,9692,9696,109,59,1,8770,97,110,116,4,2,103,108,9705,9710,116,114,59,1,10902,101,115,115,59,1,10901,4,3,97,101,105,9724,9729,9734,108,115,59,1,61,115,116,59,1,8799,118,4,2,59,68,9741,9743,1,8801,68,59,1,10872,112,97,114,115,108,59,1,10725,4,2,68,97,9761,9766,111,116,59,1,8787,114,114,59,1,10609,4,3,99,100,105,9779,9783,9788,114,59,1,8495,111,116,59,1,8784,109,59,1,8770,4,2,97,104,9798,9801,59,1,951,5,240,1,59,9806,1,240,4,2,109,114,9814,9822,108,5,235,1,59,9820,1,235,111,59,1,8364,4,3,99,105,112,9834,9838,9843,108,59,1,33,115,116,59,1,8707,4,2,101,111,9849,9859,99,116,97,116,105,111,110,59,1,8496,110,101,110,116,105,97,108,101,59,1,8519,4,12,97,99,101,102,105,106,108,110,111,112,114,115,9896,9910,9914,9921,9954,9960,9967,9989,9994,10027,10036,10164,108,108,105,110,103,100,111,116,115,101,113,59,1,8786,121,59,1,1092,109,97,108,101,59,1,9792,4,3,105,108,114,9929,9935,9950,108,105,103,59,1,64259,4,2,105,108,9941,9945,103,59,1,64256,105,103,59,1,64260,59,3,55349,56611,108,105,103,59,1,64257,108,105,103,59,3,102,106,4,3,97,108,116,9975,9979,9984,116,59,1,9837,105,103,59,1,64258,110,115,59,1,9649,111,102,59,1,402,4,2,112,114,1e4,10005,102,59,3,55349,56663,4,2,97,107,10011,10016,108,108,59,1,8704,4,2,59,118,10022,10024,1,8916,59,1,10969,97,114,116,105,110,116,59,1,10765,4,2,97,111,10042,10159,4,2,99,115,10048,10155,4,6,49,50,51,52,53,55,10062,10102,10114,10135,10139,10151,4,6,50,51,52,53,54,56,10076,10083,10086,10093,10096,10099,5,189,1,59,10081,1,189,59,1,8531,5,188,1,59,10091,1,188,59,1,8533,59,1,8537,59,1,8539,4,2,51,53,10108,10111,59,1,8532,59,1,8534,4,3,52,53,56,10122,10129,10132,5,190,1,59,10127,1,190,59,1,8535,59,1,8540,53,59,1,8536,4,2,54,56,10145,10148,59,1,8538,59,1,8541,56,59,1,8542,108,59,1,8260,119,110,59,1,8994,99,114,59,3,55349,56507,4,17,69,97,98,99,100,101,102,103,105,106,108,110,111,114,115,116,118,10206,10217,10247,10254,10268,10273,10358,10363,10374,10380,10385,10406,10458,10464,10470,10497,10610,4,2,59,108,10212,10214,1,8807,59,1,10892,4,3,99,109,112,10225,10231,10244,117,116,101,59,1,501,109,97,4,2,59,100,10239,10241,1,947,59,1,989,59,1,10886,114,101,118,101,59,1,287,4,2,105,121,10260,10265,114,99,59,1,285,59,1,1075,111,116,59,1,289,4,4,59,108,113,115,10283,10285,10288,10308,1,8805,59,1,8923,4,3,59,113,115,10296,10298,10301,1,8805,59,1,8807,108,97,110,116,59,1,10878,4,4,59,99,100,108,10318,10320,10324,10345,1,10878,99,59,1,10921,111,116,4,2,59,111,10332,10334,1,10880,4,2,59,108,10340,10342,1,10882,59,1,10884,4,2,59,101,10351,10354,3,8923,65024,115,59,1,10900,114,59,3,55349,56612,4,2,59,103,10369,10371,1,8811,59,1,8921,109,101,108,59,1,8503,99,121,59,1,1107,4,4,59,69,97,106,10395,10397,10400,10403,1,8823,59,1,10898,59,1,10917,59,1,10916,4,4,69,97,101,115,10416,10419,10434,10453,59,1,8809,112,4,2,59,112,10426,10428,1,10890,114,111,120,59,1,10890,4,2,59,113,10440,10442,1,10888,4,2,59,113,10448,10450,1,10888,59,1,8809,105,109,59,1,8935,112,102,59,3,55349,56664,97,118,101,59,1,96,4,2,99,105,10476,10480,114,59,1,8458,109,4,3,59,101,108,10489,10491,10494,1,8819,59,1,10894,59,1,10896,5,62,6,59,99,100,108,113,114,10512,10514,10527,10532,10538,10545,1,62,4,2,99,105,10520,10523,59,1,10919,114,59,1,10874,111,116,59,1,8919,80,97,114,59,1,10645,117,101,115,116,59,1,10876,4,5,97,100,101,108,115,10557,10574,10579,10599,10605,4,2,112,114,10563,10570,112,114,111,120,59,1,10886,114,59,1,10616,111,116,59,1,8919,113,4,2,108,113,10586,10592,101,115,115,59,1,8923,108,101,115,115,59,1,10892,101,115,115,59,1,8823,105,109,59,1,8819,4,2,101,110,10616,10626,114,116,110,101,113,113,59,3,8809,65024,69,59,3,8809,65024,4,10,65,97,98,99,101,102,107,111,115,121,10653,10658,10713,10718,10724,10760,10765,10786,10850,10875,114,114,59,1,8660,4,4,105,108,109,114,10668,10674,10678,10684,114,115,112,59,1,8202,102,59,1,189,105,108,116,59,1,8459,4,2,100,114,10690,10695,99,121,59,1,1098,4,3,59,99,119,10703,10705,10710,1,8596,105,114,59,1,10568,59,1,8621,97,114,59,1,8463,105,114,99,59,1,293,4,3,97,108,114,10732,10748,10754,114,116,115,4,2,59,117,10741,10743,1,9829,105,116,59,1,9829,108,105,112,59,1,8230,99,111,110,59,1,8889,114,59,3,55349,56613,115,4,2,101,119,10772,10779,97,114,111,119,59,1,10533,97,114,111,119,59,1,10534,4,5,97,109,111,112,114,10798,10803,10809,10839,10844,114,114,59,1,8703,116,104,116,59,1,8763,107,4,2,108,114,10816,10827,101,102,116,97,114,114,111,119,59,1,8617,105,103,104,116,97,114,114,111,119,59,1,8618,102,59,3,55349,56665,98,97,114,59,1,8213,4,3,99,108,116,10858,10863,10869,114,59,3,55349,56509,97,115,104,59,1,8463,114,111,107,59,1,295,4,2,98,112,10881,10887,117,108,108,59,1,8259,104,101,110,59,1,8208,4,15,97,99,101,102,103,105,106,109,110,111,112,113,115,116,117,10925,10936,10958,10977,10990,11001,11039,11045,11101,11192,11220,11226,11237,11285,11299,99,117,116,101,5,237,1,59,10934,1,237,4,3,59,105,121,10944,10946,10955,1,8291,114,99,5,238,1,59,10953,1,238,59,1,1080,4,2,99,120,10964,10968,121,59,1,1077,99,108,5,161,1,59,10975,1,161,4,2,102,114,10983,10986,59,1,8660,59,3,55349,56614,114,97,118,101,5,236,1,59,10999,1,236,4,4,59,105,110,111,11011,11013,11028,11034,1,8520,4,2,105,110,11019,11024,110,116,59,1,10764,116,59,1,8749,102,105,110,59,1,10716,116,97,59,1,8489,108,105,103,59,1,307,4,3,97,111,112,11053,11092,11096,4,3,99,103,116,11061,11065,11088,114,59,1,299,4,3,101,108,112,11073,11076,11082,59,1,8465,105,110,101,59,1,8464,97,114,116,59,1,8465,104,59,1,305,102,59,1,8887,101,100,59,1,437,4,5,59,99,102,111,116,11113,11115,11121,11136,11142,1,8712,97,114,101,59,1,8453,105,110,4,2,59,116,11129,11131,1,8734,105,101,59,1,10717,100,111,116,59,1,305,4,5,59,99,101,108,112,11154,11156,11161,11179,11186,1,8747,97,108,59,1,8890,4,2,103,114,11167,11173,101,114,115,59,1,8484,99,97,108,59,1,8890,97,114,104,107,59,1,10775,114,111,100,59,1,10812,4,4,99,103,112,116,11202,11206,11211,11216,121,59,1,1105,111,110,59,1,303,102,59,3,55349,56666,97,59,1,953,114,111,100,59,1,10812,117,101,115,116,5,191,1,59,11235,1,191,4,2,99,105,11243,11248,114,59,3,55349,56510,110,4,5,59,69,100,115,118,11261,11263,11266,11271,11282,1,8712,59,1,8953,111,116,59,1,8949,4,2,59,118,11277,11279,1,8948,59,1,8947,59,1,8712,4,2,59,105,11291,11293,1,8290,108,100,101,59,1,297,4,2,107,109,11305,11310,99,121,59,1,1110,108,5,239,1,59,11316,1,239,4,6,99,102,109,111,115,117,11332,11346,11351,11357,11363,11380,4,2,105,121,11338,11343,114,99,59,1,309,59,1,1081,114,59,3,55349,56615,97,116,104,59,1,567,112,102,59,3,55349,56667,4,2,99,101,11369,11374,114,59,3,55349,56511,114,99,121,59,1,1112,107,99,121,59,1,1108,4,8,97,99,102,103,104,106,111,115,11404,11418,11433,11438,11445,11450,11455,11461,112,112,97,4,2,59,118,11413,11415,1,954,59,1,1008,4,2,101,121,11424,11430,100,105,108,59,1,311,59,1,1082,114,59,3,55349,56616,114,101,101,110,59,1,312,99,121,59,1,1093,99,121,59,1,1116,112,102,59,3,55349,56668,99,114,59,3,55349,56512,4,23,65,66,69,72,97,98,99,100,101,102,103,104,106,108,109,110,111,112,114,115,116,117,118,11515,11538,11544,11555,11560,11721,11780,11818,11868,12136,12160,12171,12203,12208,12246,12275,12327,12509,12523,12569,12641,12732,12752,4,3,97,114,116,11523,11528,11532,114,114,59,1,8666,114,59,1,8656,97,105,108,59,1,10523,97,114,114,59,1,10510,4,2,59,103,11550,11552,1,8806,59,1,10891,97,114,59,1,10594,4,9,99,101,103,109,110,112,113,114,116,11580,11586,11594,11600,11606,11624,11627,11636,11694,117,116,101,59,1,314,109,112,116,121,118,59,1,10676,114,97,110,59,1,8466,98,100,97,59,1,955,103,4,3,59,100,108,11615,11617,11620,1,10216,59,1,10641,101,59,1,10216,59,1,10885,117,111,5,171,1,59,11634,1,171,114,4,8,59,98,102,104,108,112,115,116,11655,11657,11669,11673,11677,11681,11685,11690,1,8592,4,2,59,102,11663,11665,1,8676,115,59,1,10527,115,59,1,10525,107,59,1,8617,112,59,1,8619,108,59,1,10553,105,109,59,1,10611,108,59,1,8610,4,3,59,97,101,11702,11704,11709,1,10923,105,108,59,1,10521,4,2,59,115,11715,11717,1,10925,59,3,10925,65024,4,3,97,98,114,11729,11734,11739,114,114,59,1,10508,114,107,59,1,10098,4,2,97,107,11745,11758,99,4,2,101,107,11752,11755,59,1,123,59,1,91,4,2,101,115,11764,11767,59,1,10635,108,4,2,100,117,11774,11777,59,1,10639,59,1,10637,4,4,97,101,117,121,11790,11796,11811,11815,114,111,110,59,1,318,4,2,100,105,11802,11807,105,108,59,1,316,108,59,1,8968,98,59,1,123,59,1,1083,4,4,99,113,114,115,11828,11832,11845,11864,97,59,1,10550,117,111,4,2,59,114,11840,11842,1,8220,59,1,8222,4,2,100,117,11851,11857,104,97,114,59,1,10599,115,104,97,114,59,1,10571,104,59,1,8626,4,5,59,102,103,113,115,11880,11882,12008,12011,12031,1,8804,116,4,5,97,104,108,114,116,11895,11913,11935,11947,11996,114,114,111,119,4,2,59,116,11905,11907,1,8592,97,105,108,59,1,8610,97,114,112,111,111,110,4,2,100,117,11925,11931,111,119,110,59,1,8637,112,59,1,8636,101,102,116,97,114,114,111,119,115,59,1,8647,105,103,104,116,4,3,97,104,115,11959,11974,11984,114,114,111,119,4,2,59,115,11969,11971,1,8596,59,1,8646,97,114,112,111,111,110,115,59,1,8651,113,117,105,103,97,114,114,111,119,59,1,8621,104,114,101,101,116,105,109,101,115,59,1,8907,59,1,8922,4,3,59,113,115,12019,12021,12024,1,8804,59,1,8806,108,97,110,116,59,1,10877,4,5,59,99,100,103,115,12043,12045,12049,12070,12083,1,10877,99,59,1,10920,111,116,4,2,59,111,12057,12059,1,10879,4,2,59,114,12065,12067,1,10881,59,1,10883,4,2,59,101,12076,12079,3,8922,65024,115,59,1,10899,4,5,97,100,101,103,115,12095,12103,12108,12126,12131,112,112,114,111,120,59,1,10885,111,116,59,1,8918,113,4,2,103,113,12115,12120,116,114,59,1,8922,103,116,114,59,1,10891,116,114,59,1,8822,105,109,59,1,8818,4,3,105,108,114,12144,12150,12156,115,104,116,59,1,10620,111,111,114,59,1,8970,59,3,55349,56617,4,2,59,69,12166,12168,1,8822,59,1,10897,4,2,97,98,12177,12198,114,4,2,100,117,12184,12187,59,1,8637,4,2,59,108,12193,12195,1,8636,59,1,10602,108,107,59,1,9604,99,121,59,1,1113,4,5,59,97,99,104,116,12220,12222,12227,12235,12241,1,8810,114,114,59,1,8647,111,114,110,101,114,59,1,8990,97,114,100,59,1,10603,114,105,59,1,9722,4,2,105,111,12252,12258,100,111,116,59,1,320,117,115,116,4,2,59,97,12267,12269,1,9136,99,104,101,59,1,9136,4,4,69,97,101,115,12285,12288,12303,12322,59,1,8808,112,4,2,59,112,12295,12297,1,10889,114,111,120,59,1,10889,4,2,59,113,12309,12311,1,10887,4,2,59,113,12317,12319,1,10887,59,1,8808,105,109,59,1,8934,4,8,97,98,110,111,112,116,119,122,12345,12359,12364,12421,12446,12467,12474,12490,4,2,110,114,12351,12355,103,59,1,10220,114,59,1,8701,114,107,59,1,10214,103,4,3,108,109,114,12373,12401,12409,101,102,116,4,2,97,114,12382,12389,114,114,111,119,59,1,10229,105,103,104,116,97,114,114,111,119,59,1,10231,97,112,115,116,111,59,1,10236,105,103,104,116,97,114,114,111,119,59,1,10230,112,97,114,114,111,119,4,2,108,114,12433,12439,101,102,116,59,1,8619,105,103,104,116,59,1,8620,4,3,97,102,108,12454,12458,12462,114,59,1,10629,59,3,55349,56669,117,115,59,1,10797,105,109,101,115,59,1,10804,4,2,97,98,12480,12485,115,116,59,1,8727,97,114,59,1,95,4,3,59,101,102,12498,12500,12506,1,9674,110,103,101,59,1,9674,59,1,10731,97,114,4,2,59,108,12517,12519,1,40,116,59,1,10643,4,5,97,99,104,109,116,12535,12540,12548,12561,12564,114,114,59,1,8646,111,114,110,101,114,59,1,8991,97,114,4,2,59,100,12556,12558,1,8651,59,1,10605,59,1,8206,114,105,59,1,8895,4,6,97,99,104,105,113,116,12583,12589,12594,12597,12614,12635,113,117,111,59,1,8249,114,59,3,55349,56513,59,1,8624,109,4,3,59,101,103,12606,12608,12611,1,8818,59,1,10893,59,1,10895,4,2,98,117,12620,12623,59,1,91,111,4,2,59,114,12630,12632,1,8216,59,1,8218,114,111,107,59,1,322,5,60,8,59,99,100,104,105,108,113,114,12660,12662,12675,12680,12686,12692,12698,12705,1,60,4,2,99,105,12668,12671,59,1,10918,114,59,1,10873,111,116,59,1,8918,114,101,101,59,1,8907,109,101,115,59,1,8905,97,114,114,59,1,10614,117,101,115,116,59,1,10875,4,2,80,105,12711,12716,97,114,59,1,10646,4,3,59,101,102,12724,12726,12729,1,9667,59,1,8884,59,1,9666,114,4,2,100,117,12739,12746,115,104,97,114,59,1,10570,104,97,114,59,1,10598,4,2,101,110,12758,12768,114,116,110,101,113,113,59,3,8808,65024,69,59,3,8808,65024,4,14,68,97,99,100,101,102,104,105,108,110,111,112,115,117,12803,12809,12893,12908,12914,12928,12933,12937,13011,13025,13032,13049,13052,13069,68,111,116,59,1,8762,4,4,99,108,112,114,12819,12827,12849,12887,114,5,175,1,59,12825,1,175,4,2,101,116,12833,12836,59,1,9794,4,2,59,101,12842,12844,1,10016,115,101,59,1,10016,4,2,59,115,12855,12857,1,8614,116,111,4,4,59,100,108,117,12869,12871,12877,12883,1,8614,111,119,110,59,1,8615,101,102,116,59,1,8612,112,59,1,8613,107,101,114,59,1,9646,4,2,111,121,12899,12905,109,109,97,59,1,10793,59,1,1084,97,115,104,59,1,8212,97,115,117,114,101,100,97,110,103,108,101,59,1,8737,114,59,3,55349,56618,111,59,1,8487,4,3,99,100,110,12945,12954,12985,114,111,5,181,1,59,12952,1,181,4,4,59,97,99,100,12964,12966,12971,12976,1,8739,115,116,59,1,42,105,114,59,1,10992,111,116,5,183,1,59,12983,1,183,117,115,4,3,59,98,100,12995,12997,13e3,1,8722,59,1,8863,4,2,59,117,13006,13008,1,8760,59,1,10794,4,2,99,100,13017,13021,112,59,1,10971,114,59,1,8230,112,108,117,115,59,1,8723,4,2,100,112,13038,13044,101,108,115,59,1,8871,102,59,3,55349,56670,59,1,8723,4,2,99,116,13058,13063,114,59,3,55349,56514,112,111,115,59,1,8766,4,3,59,108,109,13077,13079,13087,1,956,116,105,109,97,112,59,1,8888,97,112,59,1,8888,4,24,71,76,82,86,97,98,99,100,101,102,103,104,105,106,108,109,111,112,114,115,116,117,118,119,13142,13165,13217,13229,13247,13330,13359,13414,13420,13508,13513,13579,13602,13626,13631,13762,13767,13855,13936,13995,14214,14285,14312,14432,4,2,103,116,13148,13152,59,3,8921,824,4,2,59,118,13158,13161,3,8811,8402,59,3,8811,824,4,3,101,108,116,13173,13200,13204,102,116,4,2,97,114,13181,13188,114,114,111,119,59,1,8653,105,103,104,116,97,114,114,111,119,59,1,8654,59,3,8920,824,4,2,59,118,13210,13213,3,8810,8402,59,3,8810,824,105,103,104,116,97,114,114,111,119,59,1,8655,4,2,68,100,13235,13241,97,115,104,59,1,8879,97,115,104,59,1,8878,4,5,98,99,110,112,116,13259,13264,13270,13275,13308,108,97,59,1,8711,117,116,101,59,1,324,103,59,3,8736,8402,4,5,59,69,105,111,112,13287,13289,13293,13298,13302,1,8777,59,3,10864,824,100,59,3,8779,824,115,59,1,329,114,111,120,59,1,8777,117,114,4,2,59,97,13316,13318,1,9838,108,4,2,59,115,13325,13327,1,9838,59,1,8469,4,2,115,117,13336,13344,112,5,160,1,59,13342,1,160,109,112,4,2,59,101,13352,13355,3,8782,824,59,3,8783,824,4,5,97,101,111,117,121,13371,13385,13391,13407,13411,4,2,112,114,13377,13380,59,1,10819,111,110,59,1,328,100,105,108,59,1,326,110,103,4,2,59,100,13399,13401,1,8775,111,116,59,3,10861,824,112,59,1,10818,59,1,1085,97,115,104,59,1,8211,4,7,59,65,97,100,113,115,120,13436,13438,13443,13466,13472,13478,13494,1,8800,114,114,59,1,8663,114,4,2,104,114,13450,13454,107,59,1,10532,4,2,59,111,13460,13462,1,8599,119,59,1,8599,111,116,59,3,8784,824,117,105,118,59,1,8802,4,2,101,105,13484,13489,97,114,59,1,10536,109,59,3,8770,824,105,115,116,4,2,59,115,13503,13505,1,8708,59,1,8708,114,59,3,55349,56619,4,4,69,101,115,116,13523,13527,13563,13568,59,3,8807,824,4,3,59,113,115,13535,13537,13559,1,8817,4,3,59,113,115,13545,13547,13551,1,8817,59,3,8807,824,108,97,110,116,59,3,10878,824,59,3,10878,824,105,109,59,1,8821,4,2,59,114,13574,13576,1,8815,59,1,8815,4,3,65,97,112,13587,13592,13597,114,114,59,1,8654,114,114,59,1,8622,97,114,59,1,10994,4,3,59,115,118,13610,13612,13623,1,8715,4,2,59,100,13618,13620,1,8956,59,1,8954,59,1,8715,99,121,59,1,1114,4,7,65,69,97,100,101,115,116,13647,13652,13656,13661,13665,13737,13742,114,114,59,1,8653,59,3,8806,824,114,114,59,1,8602,114,59,1,8229,4,4,59,102,113,115,13675,13677,13703,13725,1,8816,116,4,2,97,114,13684,13691,114,114,111,119,59,1,8602,105,103,104,116,97,114,114,111,119,59,1,8622,4,3,59,113,115,13711,13713,13717,1,8816,59,3,8806,824,108,97,110,116,59,3,10877,824,4,2,59,115,13731,13734,3,10877,824,59,1,8814,105,109,59,1,8820,4,2,59,114,13748,13750,1,8814,105,4,2,59,101,13757,13759,1,8938,59,1,8940,105,100,59,1,8740,4,2,112,116,13773,13778,102,59,3,55349,56671,5,172,3,59,105,110,13787,13789,13829,1,172,110,4,4,59,69,100,118,13800,13802,13806,13812,1,8713,59,3,8953,824,111,116,59,3,8949,824,4,3,97,98,99,13820,13823,13826,59,1,8713,59,1,8951,59,1,8950,105,4,2,59,118,13836,13838,1,8716,4,3,97,98,99,13846,13849,13852,59,1,8716,59,1,8958,59,1,8957,4,3,97,111,114,13863,13892,13899,114,4,4,59,97,115,116,13874,13876,13883,13888,1,8742,108,108,101,108,59,1,8742,108,59,3,11005,8421,59,3,8706,824,108,105,110,116,59,1,10772,4,3,59,99,101,13907,13909,13914,1,8832,117,101,59,1,8928,4,2,59,99,13920,13923,3,10927,824,4,2,59,101,13929,13931,1,8832,113,59,3,10927,824,4,4,65,97,105,116,13946,13951,13971,13982,114,114,59,1,8655,114,114,4,3,59,99,119,13961,13963,13967,1,8603,59,3,10547,824,59,3,8605,824,103,104,116,97,114,114,111,119,59,1,8603,114,105,4,2,59,101,13990,13992,1,8939,59,1,8941,4,7,99,104,105,109,112,113,117,14011,14036,14060,14080,14085,14090,14106,4,4,59,99,101,114,14021,14023,14028,14032,1,8833,117,101,59,1,8929,59,3,10928,824,59,3,55349,56515,111,114,116,4,2,109,112,14045,14050,105,100,59,1,8740,97,114,97,108,108,101,108,59,1,8742,109,4,2,59,101,14067,14069,1,8769,4,2,59,113,14075,14077,1,8772,59,1,8772,105,100,59,1,8740,97,114,59,1,8742,115,117,4,2,98,112,14098,14102,101,59,1,8930,101,59,1,8931,4,3,98,99,112,14114,14157,14171,4,4,59,69,101,115,14124,14126,14130,14133,1,8836,59,3,10949,824,59,1,8840,101,116,4,2,59,101,14141,14144,3,8834,8402,113,4,2,59,113,14151,14153,1,8840,59,3,10949,824,99,4,2,59,101,14164,14166,1,8833,113,59,3,10928,824,4,4,59,69,101,115,14181,14183,14187,14190,1,8837,59,3,10950,824,59,1,8841,101,116,4,2,59,101,14198,14201,3,8835,8402,113,4,2,59,113,14208,14210,1,8841,59,3,10950,824,4,4,103,105,108,114,14224,14228,14238,14242,108,59,1,8825,108,100,101,5,241,1,59,14236,1,241,103,59,1,8824,105,97,110,103,108,101,4,2,108,114,14254,14269,101,102,116,4,2,59,101,14263,14265,1,8938,113,59,1,8940,105,103,104,116,4,2,59,101,14279,14281,1,8939,113,59,1,8941,4,2,59,109,14291,14293,1,957,4,3,59,101,115,14301,14303,14308,1,35,114,111,59,1,8470,112,59,1,8199,4,9,68,72,97,100,103,105,108,114,115,14332,14338,14344,14349,14355,14369,14376,14408,14426,97,115,104,59,1,8877,97,114,114,59,1,10500,112,59,3,8781,8402,97,115,104,59,1,8876,4,2,101,116,14361,14365,59,3,8805,8402,59,3,62,8402,110,102,105,110,59,1,10718,4,3,65,101,116,14384,14389,14393,114,114,59,1,10498,59,3,8804,8402,4,2,59,114,14399,14402,3,60,8402,105,101,59,3,8884,8402,4,2,65,116,14414,14419,114,114,59,1,10499,114,105,101,59,3,8885,8402,105,109,59,3,8764,8402,4,3,65,97,110,14440,14445,14468,114,114,59,1,8662,114,4,2,104,114,14452,14456,107,59,1,10531,4,2,59,111,14462,14464,1,8598,119,59,1,8598,101,97,114,59,1,10535,4,18,83,97,99,100,101,102,103,104,105,108,109,111,112,114,115,116,117,118,14512,14515,14535,14560,14597,14603,14618,14643,14657,14662,14701,14741,14747,14769,14851,14877,14907,14916,59,1,9416,4,2,99,115,14521,14531,117,116,101,5,243,1,59,14529,1,243,116,59,1,8859,4,2,105,121,14541,14557,114,4,2,59,99,14548,14550,1,8858,5,244,1,59,14555,1,244,59,1,1086,4,5,97,98,105,111,115,14572,14577,14583,14587,14591,115,104,59,1,8861,108,97,99,59,1,337,118,59,1,10808,116,59,1,8857,111,108,100,59,1,10684,108,105,103,59,1,339,4,2,99,114,14609,14614,105,114,59,1,10687,59,3,55349,56620,4,3,111,114,116,14626,14630,14640,110,59,1,731,97,118,101,5,242,1,59,14638,1,242,59,1,10689,4,2,98,109,14649,14654,97,114,59,1,10677,59,1,937,110,116,59,1,8750,4,4,97,99,105,116,14672,14677,14693,14698,114,114,59,1,8634,4,2,105,114,14683,14687,114,59,1,10686,111,115,115,59,1,10683,110,101,59,1,8254,59,1,10688,4,3,97,101,105,14709,14714,14719,99,114,59,1,333,103,97,59,1,969,4,3,99,100,110,14727,14733,14736,114,111,110,59,1,959,59,1,10678,117,115,59,1,8854,112,102,59,3,55349,56672,4,3,97,101,108,14755,14759,14764,114,59,1,10679,114,112,59,1,10681,117,115,59,1,8853,4,7,59,97,100,105,111,115,118,14785,14787,14792,14831,14837,14841,14848,1,8744,114,114,59,1,8635,4,4,59,101,102,109,14802,14804,14817,14824,1,10845,114,4,2,59,111,14811,14813,1,8500,102,59,1,8500,5,170,1,59,14822,1,170,5,186,1,59,14829,1,186,103,111,102,59,1,8886,114,59,1,10838,108,111,112,101,59,1,10839,59,1,10843,4,3,99,108,111,14859,14863,14873,114,59,1,8500,97,115,104,5,248,1,59,14871,1,248,108,59,1,8856,105,4,2,108,109,14884,14893,100,101,5,245,1,59,14891,1,245,101,115,4,2,59,97,14901,14903,1,8855,115,59,1,10806,109,108,5,246,1,59,14914,1,246,98,97,114,59,1,9021,4,12,97,99,101,102,104,105,108,109,111,114,115,117,14948,14992,14996,15033,15038,15068,15090,15189,15192,15222,15427,15441,114,4,4,59,97,115,116,14959,14961,14976,14989,1,8741,5,182,2,59,108,14968,14970,1,182,108,101,108,59,1,8741,4,2,105,108,14982,14986,109,59,1,10995,59,1,11005,59,1,8706,121,59,1,1087,114,4,5,99,105,109,112,116,15009,15014,15019,15024,15027,110,116,59,1,37,111,100,59,1,46,105,108,59,1,8240,59,1,8869,101,110,107,59,1,8241,114,59,3,55349,56621,4,3,105,109,111,15046,15057,15063,4,2,59,118,15052,15054,1,966,59,1,981,109,97,116,59,1,8499,110,101,59,1,9742,4,3,59,116,118,15076,15078,15087,1,960,99,104,102,111,114,107,59,1,8916,59,1,982,4,2,97,117,15096,15119,110,4,2,99,107,15103,15115,107,4,2,59,104,15110,15112,1,8463,59,1,8462,118,59,1,8463,115,4,9,59,97,98,99,100,101,109,115,116,15140,15142,15148,15151,15156,15168,15171,15179,15184,1,43,99,105,114,59,1,10787,59,1,8862,105,114,59,1,10786,4,2,111,117,15162,15165,59,1,8724,59,1,10789,59,1,10866,110,5,177,1,59,15177,1,177,105,109,59,1,10790,119,111,59,1,10791,59,1,177,4,3,105,112,117,15200,15208,15213,110,116,105,110,116,59,1,10773,102,59,3,55349,56673,110,100,5,163,1,59,15220,1,163,4,10,59,69,97,99,101,105,110,111,115,117,15244,15246,15249,15253,15258,15334,15347,15367,15416,15421,1,8826,59,1,10931,112,59,1,10935,117,101,59,1,8828,4,2,59,99,15264,15266,1,10927,4,6,59,97,99,101,110,115,15280,15282,15290,15299,15303,15329,1,8826,112,112,114,111,120,59,1,10935,117,114,108,121,101,113,59,1,8828,113,59,1,10927,4,3,97,101,115,15311,15319,15324,112,112,114,111,120,59,1,10937,113,113,59,1,10933,105,109,59,1,8936,105,109,59,1,8830,109,101,4,2,59,115,15342,15344,1,8242,59,1,8473,4,3,69,97,115,15355,15358,15362,59,1,10933,112,59,1,10937,105,109,59,1,8936,4,3,100,102,112,15375,15378,15404,59,1,8719,4,3,97,108,115,15386,15392,15398,108,97,114,59,1,9006,105,110,101,59,1,8978,117,114,102,59,1,8979,4,2,59,116,15410,15412,1,8733,111,59,1,8733,105,109,59,1,8830,114,101,108,59,1,8880,4,2,99,105,15433,15438,114,59,3,55349,56517,59,1,968,110,99,115,112,59,1,8200,4,6,102,105,111,112,115,117,15462,15467,15472,15478,15485,15491,114,59,3,55349,56622,110,116,59,1,10764,112,102,59,3,55349,56674,114,105,109,101,59,1,8279,99,114,59,3,55349,56518,4,3,97,101,111,15499,15520,15534,116,4,2,101,105,15506,15515,114,110,105,111,110,115,59,1,8461,110,116,59,1,10774,115,116,4,2,59,101,15528,15530,1,63,113,59,1,8799,116,5,34,1,59,15540,1,34,4,21,65,66,72,97,98,99,100,101,102,104,105,108,109,110,111,112,114,115,116,117,120,15586,15609,15615,15620,15796,15855,15893,15931,15977,16001,16039,16183,16204,16222,16228,16285,16312,16318,16363,16408,16416,4,3,97,114,116,15594,15599,15603,114,114,59,1,8667,114,59,1,8658,97,105,108,59,1,10524,97,114,114,59,1,10511,97,114,59,1,10596,4,7,99,100,101,110,113,114,116,15636,15651,15656,15664,15687,15696,15770,4,2,101,117,15642,15646,59,3,8765,817,116,101,59,1,341,105,99,59,1,8730,109,112,116,121,118,59,1,10675,103,4,4,59,100,101,108,15675,15677,15680,15683,1,10217,59,1,10642,59,1,10661,101,59,1,10217,117,111,5,187,1,59,15694,1,187,114,4,11,59,97,98,99,102,104,108,112,115,116,119,15721,15723,15727,15739,15742,15746,15750,15754,15758,15763,15767,1,8594,112,59,1,10613,4,2,59,102,15733,15735,1,8677,115,59,1,10528,59,1,10547,115,59,1,10526,107,59,1,8618,112,59,1,8620,108,59,1,10565,105,109,59,1,10612,108,59,1,8611,59,1,8605,4,2,97,105,15776,15781,105,108,59,1,10522,111,4,2,59,110,15788,15790,1,8758,97,108,115,59,1,8474,4,3,97,98,114,15804,15809,15814,114,114,59,1,10509,114,107,59,1,10099,4,2,97,107,15820,15833,99,4,2,101,107,15827,15830,59,1,125,59,1,93,4,2,101,115,15839,15842,59,1,10636,108,4,2,100,117,15849,15852,59,1,10638,59,1,10640,4,4,97,101,117,121,15865,15871,15886,15890,114,111,110,59,1,345,4,2,100,105,15877,15882,105,108,59,1,343,108,59,1,8969,98,59,1,125,59,1,1088,4,4,99,108,113,115,15903,15907,15914,15927,97,59,1,10551,100,104,97,114,59,1,10601,117,111,4,2,59,114,15922,15924,1,8221,59,1,8221,104,59,1,8627,4,3,97,99,103,15939,15966,15970,108,4,4,59,105,112,115,15950,15952,15957,15963,1,8476,110,101,59,1,8475,97,114,116,59,1,8476,59,1,8477,116,59,1,9645,5,174,1,59,15975,1,174,4,3,105,108,114,15985,15991,15997,115,104,116,59,1,10621,111,111,114,59,1,8971,59,3,55349,56623,4,2,97,111,16007,16028,114,4,2,100,117,16014,16017,59,1,8641,4,2,59,108,16023,16025,1,8640,59,1,10604,4,2,59,118,16034,16036,1,961,59,1,1009,4,3,103,110,115,16047,16167,16171,104,116,4,6,97,104,108,114,115,116,16063,16081,16103,16130,16143,16155,114,114,111,119,4,2,59,116,16073,16075,1,8594,97,105,108,59,1,8611,97,114,112,111,111,110,4,2,100,117,16093,16099,111,119,110,59,1,8641,112,59,1,8640,101,102,116,4,2,97,104,16112,16120,114,114,111,119,115,59,1,8644,97,114,112,111,111,110,115,59,1,8652,105,103,104,116,97,114,114,111,119,115,59,1,8649,113,117,105,103,97,114,114,111,119,59,1,8605,104,114,101,101,116,105,109,101,115,59,1,8908,103,59,1,730,105,110,103,100,111,116,115,101,113,59,1,8787,4,3,97,104,109,16191,16196,16201,114,114,59,1,8644,97,114,59,1,8652,59,1,8207,111,117,115,116,4,2,59,97,16214,16216,1,9137,99,104,101,59,1,9137,109,105,100,59,1,10990,4,4,97,98,112,116,16238,16252,16257,16278,4,2,110,114,16244,16248,103,59,1,10221,114,59,1,8702,114,107,59,1,10215,4,3,97,102,108,16265,16269,16273,114,59,1,10630,59,3,55349,56675,117,115,59,1,10798,105,109,101,115,59,1,10805,4,2,97,112,16291,16304,114,4,2,59,103,16298,16300,1,41,116,59,1,10644,111,108,105,110,116,59,1,10770,97,114,114,59,1,8649,4,4,97,99,104,113,16328,16334,16339,16342,113,117,111,59,1,8250,114,59,3,55349,56519,59,1,8625,4,2,98,117,16348,16351,59,1,93,111,4,2,59,114,16358,16360,1,8217,59,1,8217,4,3,104,105,114,16371,16377,16383,114,101,101,59,1,8908,109,101,115,59,1,8906,105,4,4,59,101,102,108,16394,16396,16399,16402,1,9657,59,1,8885,59,1,9656,116,114,105,59,1,10702,108,117,104,97,114,59,1,10600,59,1,8478,4,19,97,98,99,100,101,102,104,105,108,109,111,112,113,114,115,116,117,119,122,16459,16466,16472,16572,16590,16672,16687,16746,16844,16850,16924,16963,16988,17115,17121,17154,17206,17614,17656,99,117,116,101,59,1,347,113,117,111,59,1,8218,4,10,59,69,97,99,101,105,110,112,115,121,16494,16496,16499,16513,16518,16531,16536,16556,16564,16569,1,8827,59,1,10932,4,2,112,114,16505,16508,59,1,10936,111,110,59,1,353,117,101,59,1,8829,4,2,59,100,16524,16526,1,10928,105,108,59,1,351,114,99,59,1,349,4,3,69,97,115,16544,16547,16551,59,1,10934,112,59,1,10938,105,109,59,1,8937,111,108,105,110,116,59,1,10771,105,109,59,1,8831,59,1,1089,111,116,4,3,59,98,101,16582,16584,16587,1,8901,59,1,8865,59,1,10854,4,7,65,97,99,109,115,116,120,16606,16611,16634,16642,16646,16652,16668,114,114,59,1,8664,114,4,2,104,114,16618,16622,107,59,1,10533,4,2,59,111,16628,16630,1,8600,119,59,1,8600,116,5,167,1,59,16640,1,167,105,59,1,59,119,97,114,59,1,10537,109,4,2,105,110,16659,16665,110,117,115,59,1,8726,59,1,8726,116,59,1,10038,114,4,2,59,111,16679,16682,3,55349,56624,119,110,59,1,8994,4,4,97,99,111,121,16697,16702,16716,16739,114,112,59,1,9839,4,2,104,121,16708,16713,99,121,59,1,1097,59,1,1096,114,116,4,2,109,112,16724,16729,105,100,59,1,8739,97,114,97,108,108,101,108,59,1,8741,5,173,1,59,16744,1,173,4,2,103,109,16752,16770,109,97,4,3,59,102,118,16762,16764,16767,1,963,59,1,962,59,1,962,4,8,59,100,101,103,108,110,112,114,16788,16790,16795,16806,16817,16828,16832,16838,1,8764,111,116,59,1,10858,4,2,59,113,16801,16803,1,8771,59,1,8771,4,2,59,69,16812,16814,1,10910,59,1,10912,4,2,59,69,16823,16825,1,10909,59,1,10911,101,59,1,8774,108,117,115,59,1,10788,97,114,114,59,1,10610,97,114,114,59,1,8592,4,4,97,101,105,116,16860,16883,16891,16904,4,2,108,115,16866,16878,108,115,101,116,109,105,110,117,115,59,1,8726,104,112,59,1,10803,112,97,114,115,108,59,1,10724,4,2,100,108,16897,16900,59,1,8739,101,59,1,8995,4,2,59,101,16910,16912,1,10922,4,2,59,115,16918,16920,1,10924,59,3,10924,65024,4,3,102,108,112,16932,16938,16958,116,99,121,59,1,1100,4,2,59,98,16944,16946,1,47,4,2,59,97,16952,16954,1,10692,114,59,1,9023,102,59,3,55349,56676,97,4,2,100,114,16970,16985,101,115,4,2,59,117,16978,16980,1,9824,105,116,59,1,9824,59,1,8741,4,3,99,115,117,16996,17028,17089,4,2,97,117,17002,17015,112,4,2,59,115,17009,17011,1,8851,59,3,8851,65024,112,4,2,59,115,17022,17024,1,8852,59,3,8852,65024,117,4,2,98,112,17035,17062,4,3,59,101,115,17043,17045,17048,1,8847,59,1,8849,101,116,4,2,59,101,17056,17058,1,8847,113,59,1,8849,4,3,59,101,115,17070,17072,17075,1,8848,59,1,8850,101,116,4,2,59,101,17083,17085,1,8848,113,59,1,8850,4,3,59,97,102,17097,17099,17112,1,9633,114,4,2,101,102,17106,17109,59,1,9633,59,1,9642,59,1,9642,97,114,114,59,1,8594,4,4,99,101,109,116,17131,17136,17142,17148,114,59,3,55349,56520,116,109,110,59,1,8726,105,108,101,59,1,8995,97,114,102,59,1,8902,4,2,97,114,17160,17172,114,4,2,59,102,17167,17169,1,9734,59,1,9733,4,2,97,110,17178,17202,105,103,104,116,4,2,101,112,17188,17197,112,115,105,108,111,110,59,1,1013,104,105,59,1,981,115,59,1,175,4,5,98,99,109,110,112,17218,17351,17420,17423,17427,4,9,59,69,100,101,109,110,112,114,115,17238,17240,17243,17248,17261,17267,17279,17285,17291,1,8834,59,1,10949,111,116,59,1,10941,4,2,59,100,17254,17256,1,8838,111,116,59,1,10947,117,108,116,59,1,10945,4,2,69,101,17273,17276,59,1,10955,59,1,8842,108,117,115,59,1,10943,97,114,114,59,1,10617,4,3,101,105,117,17299,17335,17339,116,4,3,59,101,110,17308,17310,17322,1,8834,113,4,2,59,113,17317,17319,1,8838,59,1,10949,101,113,4,2,59,113,17330,17332,1,8842,59,1,10955,109,59,1,10951,4,2,98,112,17345,17348,59,1,10965,59,1,10963,99,4,6,59,97,99,101,110,115,17366,17368,17376,17385,17389,17415,1,8827,112,112,114,111,120,59,1,10936,117,114,108,121,101,113,59,1,8829,113,59,1,10928,4,3,97,101,115,17397,17405,17410,112,112,114,111,120,59,1,10938,113,113,59,1,10934,105,109,59,1,8937,105,109,59,1,8831,59,1,8721,103,59,1,9834,4,13,49,50,51,59,69,100,101,104,108,109,110,112,115,17455,17462,17469,17476,17478,17481,17496,17509,17524,17530,17536,17548,17554,5,185,1,59,17460,1,185,5,178,1,59,17467,1,178,5,179,1,59,17474,1,179,1,8835,59,1,10950,4,2,111,115,17487,17491,116,59,1,10942,117,98,59,1,10968,4,2,59,100,17502,17504,1,8839,111,116,59,1,10948,115,4,2,111,117,17516,17520,108,59,1,10185,98,59,1,10967,97,114,114,59,1,10619,117,108,116,59,1,10946,4,2,69,101,17542,17545,59,1,10956,59,1,8843,108,117,115,59,1,10944,4,3,101,105,117,17562,17598,17602,116,4,3,59,101,110,17571,17573,17585,1,8835,113,4,2,59,113,17580,17582,1,8839,59,1,10950,101,113,4,2,59,113,17593,17595,1,8843,59,1,10956,109,59,1,10952,4,2,98,112,17608,17611,59,1,10964,59,1,10966,4,3,65,97,110,17622,17627,17650,114,114,59,1,8665,114,4,2,104,114,17634,17638,107,59,1,10534,4,2,59,111,17644,17646,1,8601,119,59,1,8601,119,97,114,59,1,10538,108,105,103,5,223,1,59,17664,1,223,4,13,97,98,99,100,101,102,104,105,111,112,114,115,119,17694,17709,17714,17737,17742,17749,17754,17860,17905,17957,17964,18090,18122,4,2,114,117,17700,17706,103,101,116,59,1,8982,59,1,964,114,107,59,1,9140,4,3,97,101,121,17722,17728,17734,114,111,110,59,1,357,100,105,108,59,1,355,59,1,1090,111,116,59,1,8411,108,114,101,99,59,1,8981,114,59,3,55349,56625,4,4,101,105,107,111,17764,17805,17836,17851,4,2,114,116,17770,17786,101,4,2,52,102,17777,17780,59,1,8756,111,114,101,59,1,8756,97,4,3,59,115,118,17795,17797,17802,1,952,121,109,59,1,977,59,1,977,4,2,99,110,17811,17831,107,4,2,97,115,17818,17826,112,112,114,111,120,59,1,8776,105,109,59,1,8764,115,112,59,1,8201,4,2,97,115,17842,17846,112,59,1,8776,105,109,59,1,8764,114,110,5,254,1,59,17858,1,254,4,3,108,109,110,17868,17873,17901,100,101,59,1,732,101,115,5,215,3,59,98,100,17884,17886,17898,1,215,4,2,59,97,17892,17894,1,8864,114,59,1,10801,59,1,10800,116,59,1,8749,4,3,101,112,115,17913,17917,17953,97,59,1,10536,4,4,59,98,99,102,17927,17929,17934,17939,1,8868,111,116,59,1,9014,105,114,59,1,10993,4,2,59,111,17945,17948,3,55349,56677,114,107,59,1,10970,97,59,1,10537,114,105,109,101,59,1,8244,4,3,97,105,112,17972,17977,18082,100,101,59,1,8482,4,7,97,100,101,109,112,115,116,17993,18051,18056,18059,18066,18072,18076,110,103,108,101,4,5,59,100,108,113,114,18009,18011,18017,18032,18035,1,9653,111,119,110,59,1,9663,101,102,116,4,2,59,101,18026,18028,1,9667,113,59,1,8884,59,1,8796,105,103,104,116,4,2,59,101,18045,18047,1,9657,113,59,1,8885,111,116,59,1,9708,59,1,8796,105,110,117,115,59,1,10810,108,117,115,59,1,10809,98,59,1,10701,105,109,101,59,1,10811,101,122,105,117,109,59,1,9186,4,3,99,104,116,18098,18111,18116,4,2,114,121,18104,18108,59,3,55349,56521,59,1,1094,99,121,59,1,1115,114,111,107,59,1,359,4,2,105,111,18128,18133,120,116,59,1,8812,104,101,97,100,4,2,108,114,18143,18154,101,102,116,97,114,114,111,119,59,1,8606,105,103,104,116,97,114,114,111,119,59,1,8608,4,18,65,72,97,98,99,100,102,103,104,108,109,111,112,114,115,116,117,119,18204,18209,18214,18234,18250,18268,18292,18308,18319,18343,18379,18397,18413,18504,18547,18553,18584,18603,114,114,59,1,8657,97,114,59,1,10595,4,2,99,114,18220,18230,117,116,101,5,250,1,59,18228,1,250,114,59,1,8593,114,4,2,99,101,18241,18245,121,59,1,1118,118,101,59,1,365,4,2,105,121,18256,18265,114,99,5,251,1,59,18263,1,251,59,1,1091,4,3,97,98,104,18276,18281,18287,114,114,59,1,8645,108,97,99,59,1,369,97,114,59,1,10606,4,2,105,114,18298,18304,115,104,116,59,1,10622,59,3,55349,56626,114,97,118,101,5,249,1,59,18317,1,249,4,2,97,98,18325,18338,114,4,2,108,114,18332,18335,59,1,8639,59,1,8638,108,107,59,1,9600,4,2,99,116,18349,18374,4,2,111,114,18355,18369,114,110,4,2,59,101,18363,18365,1,8988,114,59,1,8988,111,112,59,1,8975,114,105,59,1,9720,4,2,97,108,18385,18390,99,114,59,1,363,5,168,1,59,18395,1,168,4,2,103,112,18403,18408,111,110,59,1,371,102,59,3,55349,56678,4,6,97,100,104,108,115,117,18427,18434,18445,18470,18475,18494,114,114,111,119,59,1,8593,111,119,110,97,114,114,111,119,59,1,8597,97,114,112,111,111,110,4,2,108,114,18457,18463,101,102,116,59,1,8639,105,103,104,116,59,1,8638,117,115,59,1,8846,105,4,3,59,104,108,18484,18486,18489,1,965,59,1,978,111,110,59,1,965,112,97,114,114,111,119,115,59,1,8648,4,3,99,105,116,18512,18537,18542,4,2,111,114,18518,18532,114,110,4,2,59,101,18526,18528,1,8989,114,59,1,8989,111,112,59,1,8974,110,103,59,1,367,114,105,59,1,9721,99,114,59,3,55349,56522,4,3,100,105,114,18561,18566,18572,111,116,59,1,8944,108,100,101,59,1,361,105,4,2,59,102,18579,18581,1,9653,59,1,9652,4,2,97,109,18590,18595,114,114,59,1,8648,108,5,252,1,59,18601,1,252,97,110,103,108,101,59,1,10663,4,15,65,66,68,97,99,100,101,102,108,110,111,112,114,115,122,18643,18648,18661,18667,18847,18851,18857,18904,18909,18915,18931,18937,18943,18949,18996,114,114,59,1,8661,97,114,4,2,59,118,18656,18658,1,10984,59,1,10985,97,115,104,59,1,8872,4,2,110,114,18673,18679,103,114,116,59,1,10652,4,7,101,107,110,112,114,115,116,18695,18704,18711,18720,18742,18754,18810,112,115,105,108,111,110,59,1,1013,97,112,112,97,59,1,1008,111,116,104,105,110,103,59,1,8709,4,3,104,105,114,18728,18732,18735,105,59,1,981,59,1,982,111,112,116,111,59,1,8733,4,2,59,104,18748,18750,1,8597,111,59,1,1009,4,2,105,117,18760,18766,103,109,97,59,1,962,4,2,98,112,18772,18791,115,101,116,110,101,113,4,2,59,113,18784,18787,3,8842,65024,59,3,10955,65024,115,101,116,110,101,113,4,2,59,113,18803,18806,3,8843,65024,59,3,10956,65024,4,2,104,114,18816,18822,101,116,97,59,1,977,105,97,110,103,108,101,4,2,108,114,18834,18840,101,102,116,59,1,8882,105,103,104,116,59,1,8883,121,59,1,1074,97,115,104,59,1,8866,4,3,101,108,114,18865,18884,18890,4,3,59,98,101,18873,18875,18880,1,8744,97,114,59,1,8891,113,59,1,8794,108,105,112,59,1,8942,4,2,98,116,18896,18901,97,114,59,1,124,59,1,124,114,59,3,55349,56627,116,114,105,59,1,8882,115,117,4,2,98,112,18923,18927,59,3,8834,8402,59,3,8835,8402,112,102,59,3,55349,56679,114,111,112,59,1,8733,116,114,105,59,1,8883,4,2,99,117,18955,18960,114,59,3,55349,56523,4,2,98,112,18966,18981,110,4,2,69,101,18973,18977,59,3,10955,65024,59,3,8842,65024,110,4,2,69,101,18988,18992,59,3,10956,65024,59,3,8843,65024,105,103,122,97,103,59,1,10650,4,7,99,101,102,111,112,114,115,19020,19026,19061,19066,19072,19075,19089,105,114,99,59,1,373,4,2,100,105,19032,19055,4,2,98,103,19038,19043,97,114,59,1,10847,101,4,2,59,113,19050,19052,1,8743,59,1,8793,101,114,112,59,1,8472,114,59,3,55349,56628,112,102,59,3,55349,56680,59,1,8472,4,2,59,101,19081,19083,1,8768,97,116,104,59,1,8768,99,114,59,3,55349,56524,4,14,99,100,102,104,105,108,109,110,111,114,115,117,118,119,19125,19146,19152,19157,19173,19176,19192,19197,19202,19236,19252,19269,19286,19291,4,3,97,105,117,19133,19137,19142,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,116,114,105,59,1,9661,114,59,3,55349,56629,4,2,65,97,19163,19168,114,114,59,1,10234,114,114,59,1,10231,59,1,958,4,2,65,97,19182,19187,114,114,59,1,10232,114,114,59,1,10229,97,112,59,1,10236,105,115,59,1,8955,4,3,100,112,116,19210,19215,19230,111,116,59,1,10752,4,2,102,108,19221,19225,59,3,55349,56681,117,115,59,1,10753,105,109,101,59,1,10754,4,2,65,97,19242,19247,114,114,59,1,10233,114,114,59,1,10230,4,2,99,113,19258,19263,114,59,3,55349,56525,99,117,112,59,1,10758,4,2,112,116,19275,19281,108,117,115,59,1,10756,114,105,59,1,9651,101,101,59,1,8897,101,100,103,101,59,1,8896,4,8,97,99,101,102,105,111,115,117,19316,19335,19349,19357,19362,19367,19373,19379,99,4,2,117,121,19323,19332,116,101,5,253,1,59,19330,1,253,59,1,1103,4,2,105,121,19341,19346,114,99,59,1,375,59,1,1099,110,5,165,1,59,19355,1,165,114,59,3,55349,56630,99,121,59,1,1111,112,102,59,3,55349,56682,99,114,59,3,55349,56526,4,2,99,109,19385,19389,121,59,1,1102,108,5,255,1,59,19395,1,255,4,10,97,99,100,101,102,104,105,111,115,119,19419,19426,19441,19446,19462,19467,19472,19480,19486,19492,99,117,116,101,59,1,378,4,2,97,121,19432,19438,114,111,110,59,1,382,59,1,1079,111,116,59,1,380,4,2,101,116,19452,19458,116,114,102,59,1,8488,97,59,1,950,114,59,3,55349,56631,99,121,59,1,1078,103,114,97,114,114,59,1,8669,112,102,59,3,55349,56683,99,114,59,3,55349,56527,4,2,106,110,19498,19501,59,1,8205,106,59,1,8204])},27567:(e,t,n)=>{"use strict";const r=n(13114),i=n(61445),o=r.CODE_POINTS;e.exports=class{constructor(){this.html=null,this.pos=-1,this.lastGapPos=-1,this.lastCharPos=-1,this.gapStack=[],this.skipNextNewLine=!1,this.lastChunkWritten=!1,this.endOfChunkHit=!1,this.bufferWaterline=65536}_err(){}_addGap(){this.gapStack.push(this.lastGapPos),this.lastGapPos=this.pos}_processSurrogate(e){if(this.pos!==this.lastCharPos){const t=this.html.charCodeAt(this.pos+1);if(r.isSurrogatePair(t))return this.pos++,this._addGap(),r.getSurrogatePairCodePoint(e,t)}else if(!this.lastChunkWritten)return this.endOfChunkHit=!0,o.EOF;return this._err(i.surrogateInInputStream),e}dropParsedChunk(){this.pos>this.bufferWaterline&&(this.lastCharPos-=this.pos,this.html=this.html.substring(this.pos),this.pos=0,this.lastGapPos=-1,this.gapStack=[])}write(e,t){this.html?this.html+=e:this.html=e,this.lastCharPos=this.html.length-1,this.endOfChunkHit=!1,this.lastChunkWritten=t}insertHtmlAtCurrentPos(e){this.html=this.html.substring(0,this.pos+1)+e+this.html.substring(this.pos+1,this.html.length),this.lastCharPos=this.html.length-1,this.endOfChunkHit=!1}advance(){if(this.pos++,this.pos>this.lastCharPos)return this.endOfChunkHit=!this.lastChunkWritten,o.EOF;let e=this.html.charCodeAt(this.pos);return this.skipNextNewLine&&e===o.LINE_FEED?(this.skipNextNewLine=!1,this._addGap(),this.advance()):e===o.CARRIAGE_RETURN?(this.skipNextNewLine=!0,o.LINE_FEED):(this.skipNextNewLine=!1,r.isSurrogate(e)&&(e=this._processSurrogate(e)),e>31&&e<127||e===o.LINE_FEED||e===o.CARRIAGE_RETURN||e>159&&e<64976||this._checkForProblematicCharacters(e),e)}_checkForProblematicCharacters(e){r.isControlCodePoint(e)?this._err(i.controlCharacterInInputStream):r.isUndefinedCodePoint(e)&&this._err(i.noncharacterInInputStream)}retreat(){this.pos===this.lastGapPos&&(this.lastGapPos=this.gapStack.pop(),this.pos--),this.pos--}}},99132:(e,t,n)=>{"use strict";const{DOCUMENT_MODE:r}=n(95218);t.createDocument=function(){return{nodeName:"#document",mode:r.NO_QUIRKS,childNodes:[]}},t.createDocumentFragment=function(){return{nodeName:"#document-fragment",childNodes:[]}},t.createElement=function(e,t,n){return{nodeName:e,tagName:e,attrs:n,namespaceURI:t,childNodes:[],parentNode:null}},t.createCommentNode=function(e){return{nodeName:"#comment",data:e,parentNode:null}};const i=function(e){return{nodeName:"#text",value:e,parentNode:null}},o=t.appendChild=function(e,t){e.childNodes.push(t),t.parentNode=e},a=t.insertBefore=function(e,t,n){const r=e.childNodes.indexOf(n);e.childNodes.splice(r,0,t),t.parentNode=e};t.setTemplateContent=function(e,t){e.content=t},t.getTemplateContent=function(e){return e.content},t.setDocumentType=function(e,t,n,r){let i=null;for(let t=0;t<e.childNodes.length;t++)if("#documentType"===e.childNodes[t].nodeName){i=e.childNodes[t];break}i?(i.name=t,i.publicId=n,i.systemId=r):o(e,{nodeName:"#documentType",name:t,publicId:n,systemId:r})},t.setDocumentMode=function(e,t){e.mode=t},t.getDocumentMode=function(e){return e.mode},t.detachNode=function(e){if(e.parentNode){const t=e.parentNode.childNodes.indexOf(e);e.parentNode.childNodes.splice(t,1),e.parentNode=null}},t.insertText=function(e,t){if(e.childNodes.length){const n=e.childNodes[e.childNodes.length-1];if("#text"===n.nodeName)return void(n.value+=t)}o(e,i(t))},t.insertTextBefore=function(e,t,n){const r=e.childNodes[e.childNodes.indexOf(n)-1];r&&"#text"===r.nodeName?r.value+=t:a(e,i(t),n)},t.adoptAttributes=function(e,t){const n=[];for(let t=0;t<e.attrs.length;t++)n.push(e.attrs[t].name);for(let r=0;r<t.length;r++)-1===n.indexOf(t[r].name)&&e.attrs.push(t[r])},t.getFirstChild=function(e){return e.childNodes[0]},t.getChildNodes=function(e){return e.childNodes},t.getParentNode=function(e){return e.parentNode},t.getAttrList=function(e){return e.attrs},t.getTagName=function(e){return e.tagName},t.getNamespaceURI=function(e){return e.namespaceURI},t.getTextNodeContent=function(e){return e.value},t.getCommentNodeContent=function(e){return e.data},t.getDocumentTypeNodeName=function(e){return e.name},t.getDocumentTypeNodePublicId=function(e){return e.publicId},t.getDocumentTypeNodeSystemId=function(e){return e.systemId},t.isTextNode=function(e){return"#text"===e.nodeName},t.isCommentNode=function(e){return"#comment"===e.nodeName},t.isDocumentTypeNode=function(e){return"#documentType"===e.nodeName},t.isElementNode=function(e){return!!e.tagName},t.setNodeSourceCodeLocation=function(e,t){e.sourceCodeLocation=t},t.getNodeSourceCodeLocation=function(e){return e.sourceCodeLocation}},58395:e=>{"use strict";e.exports=function(e,t){return[e,t=t||Object.create(null)].reduce(((e,t)=>(Object.keys(t).forEach((n=>{e[n]=t[n]})),e)),Object.create(null))}},60814:e=>{"use strict";class t{constructor(e){const t={},n=this._getOverriddenMethods(this,t);for(const r of Object.keys(n))"function"==typeof n[r]&&(t[r]=e[r],e[r]=n[r])}_getOverriddenMethods(){throw new Error("Not implemented")}}t.install=function(e,t,n){e.__mixins||(e.__mixins=[]);for(let n=0;n<e.__mixins.length;n++)if(e.__mixins[n].constructor===t)return e.__mixins[n];const r=new t(e,n);return e.__mixins.push(r),r},e.exports=t},21023:e=>{"use strict";function t(e){if("string"!=typeof e)throw new TypeError("Path must be a string. Received "+JSON.stringify(e))}function n(e,t){for(var n,r="",i=0,o=-1,a=0,s=0;s<=e.length;++s){if(s<e.length)n=e.charCodeAt(s);else{if(47===n)break;n=47}if(47===n){if(o===s-1||1===a);else if(o!==s-1&&2===a){if(r.length<2||2!==i||46!==r.charCodeAt(r.length-1)||46!==r.charCodeAt(r.length-2))if(r.length>2){var l=r.lastIndexOf("/");if(l!==r.length-1){-1===l?(r="",i=0):i=(r=r.slice(0,l)).length-1-r.lastIndexOf("/"),o=s,a=0;continue}}else if(2===r.length||1===r.length){r="",i=0,o=s,a=0;continue}t&&(r.length>0?r+="/..":r="..",i=2)}else r.length>0?r+="/"+e.slice(o+1,s):r=e.slice(o+1,s),i=s-o-1;o=s,a=0}else 46===n&&-1!==a?++a:a=-1}return r}var r={resolve:function(){for(var e,r="",i=!1,o=arguments.length-1;o>=-1&&!i;o--){var a;o>=0?a=arguments[o]:(void 0===e&&(e=process.cwd()),a=e),t(a),0!==a.length&&(r=a+"/"+r,i=47===a.charCodeAt(0))}return r=n(r,!i),i?r.length>0?"/"+r:"/":r.length>0?r:"."},normalize:function(e){if(t(e),0===e.length)return".";var r=47===e.charCodeAt(0),i=47===e.charCodeAt(e.length-1);return 0!==(e=n(e,!r)).length||r||(e="."),e.length>0&&i&&(e+="/"),r?"/"+e:e},isAbsolute:function(e){return t(e),e.length>0&&47===e.charCodeAt(0)},join:function(){if(0===arguments.length)return".";for(var e,n=0;n<arguments.length;++n){var i=arguments[n];t(i),i.length>0&&(void 0===e?e=i:e+="/"+i)}return void 0===e?".":r.normalize(e)},relative:function(e,n){if(t(e),t(n),e===n)return"";if((e=r.resolve(e))===(n=r.resolve(n)))return"";for(var i=1;i<e.length&&47===e.charCodeAt(i);++i);for(var o=e.length,a=o-i,s=1;s<n.length&&47===n.charCodeAt(s);++s);for(var l=n.length-s,u=a<l?a:l,c=-1,d=0;d<=u;++d){if(d===u){if(l>u){if(47===n.charCodeAt(s+d))return n.slice(s+d+1);if(0===d)return n.slice(s+d)}else a>u&&(47===e.charCodeAt(i+d)?c=d:0===d&&(c=0));break}var p=e.charCodeAt(i+d);if(p!==n.charCodeAt(s+d))break;47===p&&(c=d)}var f="";for(d=i+c+1;d<=o;++d)d!==o&&47!==e.charCodeAt(d)||(0===f.length?f+="..":f+="/..");return f.length>0?f+n.slice(s+c):(s+=c,47===n.charCodeAt(s)&&++s,n.slice(s))},_makeLong:function(e){return e},dirname:function(e){if(t(e),0===e.length)return".";for(var n=e.charCodeAt(0),r=47===n,i=-1,o=!0,a=e.length-1;a>=1;--a)if(47===(n=e.charCodeAt(a))){if(!o){i=a;break}}else o=!1;return-1===i?r?"/":".":r&&1===i?"//":e.slice(0,i)},basename:function(e,n){if(void 0!==n&&"string"!=typeof n)throw new TypeError('"ext" argument must be a string');t(e);var r,i=0,o=-1,a=!0;if(void 0!==n&&n.length>0&&n.length<=e.length){if(n.length===e.length&&n===e)return"";var s=n.length-1,l=-1;for(r=e.length-1;r>=0;--r){var u=e.charCodeAt(r);if(47===u){if(!a){i=r+1;break}}else-1===l&&(a=!1,l=r+1),s>=0&&(u===n.charCodeAt(s)?-1==--s&&(o=r):(s=-1,o=l))}return i===o?o=l:-1===o&&(o=e.length),e.slice(i,o)}for(r=e.length-1;r>=0;--r)if(47===e.charCodeAt(r)){if(!a){i=r+1;break}}else-1===o&&(a=!1,o=r+1);return-1===o?"":e.slice(i,o)},extname:function(e){t(e);for(var n=-1,r=0,i=-1,o=!0,a=0,s=e.length-1;s>=0;--s){var l=e.charCodeAt(s);if(47!==l)-1===i&&(o=!1,i=s+1),46===l?-1===n?n=s:1!==a&&(a=1):-1!==n&&(a=-1);else if(!o){r=s+1;break}}return-1===n||-1===i||0===a||1===a&&n===i-1&&n===r+1?"":e.slice(n,i)},format:function(e){if(null===e||"object"!=typeof e)throw new TypeError('The "pathObject" argument must be of type Object. Received type '+typeof e);return function(e,t){var n=t.dir||t.root,r=t.base||(t.name||"")+(t.ext||"");return n?n===t.root?n+r:n+"/"+r:r}(0,e)},parse:function(e){t(e);var n={root:"",dir:"",base:"",ext:"",name:""};if(0===e.length)return n;var r,i=e.charCodeAt(0),o=47===i;o?(n.root="/",r=1):r=0;for(var a=-1,s=0,l=-1,u=!0,c=e.length-1,d=0;c>=r;--c)if(47!==(i=e.charCodeAt(c)))-1===l&&(u=!1,l=c+1),46===i?-1===a?a=c:1!==d&&(d=1):-1!==a&&(d=-1);else if(!u){s=c+1;break}return-1===a||-1===l||0===d||1===d&&a===l-1&&a===s+1?-1!==l&&(n.base=n.name=0===s&&o?e.slice(1,l):e.slice(s,l)):(0===s&&o?(n.name=e.slice(1,a),n.base=e.slice(1,l)):(n.name=e.slice(s,a),n.base=e.slice(s,l)),n.ext=e.slice(a,l)),s>0?n.dir=e.slice(0,s-1):o&&(n.dir="/"),n},sep:"/",delimiter:":",win32:null,posix:null};r.posix=r,e.exports=r},68262:(e,t,n)=>{"use strict";var r=n(23586);function i(){}function o(){}o.resetWarningCache=i,e.exports=function(){function e(e,t,n,i,o,a){if(a!==r){var s=new Error("Calling PropTypes validators directly is not supported by the `prop-types` package. Use PropTypes.checkPropTypes() to call them. Read more at http://fb.me/use-check-prop-types");throw s.name="Invariant Violation",s}}function t(){return e}e.isRequired=e;var n={array:e,bigint:e,bool:e,func:e,number:e,object:e,string:e,symbol:e,any:e,arrayOf:t,element:e,elementType:e,instanceOf:t,node:e,objectOf:t,oneOf:t,oneOfType:t,shape:t,exact:t,checkPropTypes:o,resetWarningCache:i};return n.PropTypes=n,n}},13980:(e,t,n)=>{e.exports=n(68262)()},23586:e=>{"use strict";e.exports="SECRET_DO_NOT_PASS_THIS_OR_YOU_WILL_BE_FIRED"},70521:(e,t,n)=>{"use strict";var r=n(2939),i=n(54688),o=n(9975),a="data";e.exports=function(e,t){var n=r(t),p=t,f=o;return n in e.normal?e.property[e.normal[n]]:(n.length>4&&n.slice(0,4)===a&&s.test(t)&&("-"===t.charAt(4)?p=function(e){var t=e.slice(5).replace(l,d);return a+t.charAt(0).toUpperCase()+t.slice(1)}(t):t=function(e){var t=e.slice(4);return l.test(t)?e:("-"!==(t=t.replace(u,c)).charAt(0)&&(t="-"+t),a+t)}(t),f=i),new f(p,t))};var s=/^data[-\w.:]+$/i,l=/-[a-z]/g,u=/[A-Z]/g;function c(e){return"-"+e.toLowerCase()}function d(e){return e.charAt(1).toUpperCase()}},59209:(e,t,n)=>{"use strict";var r=n(2706),i=n(62322),o=n(13837),a=n(45367),s=n(12024),l=n(61921);e.exports=r([o,i,a,s,l])},12024:(e,t,n)=>{"use strict";var r=n(87311),i=n(96486),o=r.booleanish,a=r.number,s=r.spaceSeparated;e.exports=i({transform:function(e,t){return"role"===t?t:"aria-"+t.slice(4).toLowerCase()},properties:{ariaActiveDescendant:null,ariaAtomic:o,ariaAutoComplete:null,ariaBusy:o,ariaChecked:o,ariaColCount:a,ariaColIndex:a,ariaColSpan:a,ariaControls:s,ariaCurrent:null,ariaDescribedBy:s,ariaDetails:null,ariaDisabled:o,ariaDropEffect:s,ariaErrorMessage:null,ariaExpanded:o,ariaFlowTo:s,ariaGrabbed:o,ariaHasPopup:null,ariaHidden:o,ariaInvalid:null,ariaKeyShortcuts:null,ariaLabel:null,ariaLabelledBy:s,ariaLevel:a,ariaLive:null,ariaModal:o,ariaMultiLine:o,ariaMultiSelectable:o,ariaOrientation:null,ariaOwns:s,ariaPlaceholder:null,ariaPosInSet:a,ariaPressed:o,ariaReadOnly:o,ariaRelevant:null,ariaRequired:o,ariaRoleDescription:s,ariaRowCount:a,ariaRowIndex:a,ariaRowSpan:a,ariaSelected:o,ariaSetSize:a,ariaSort:null,ariaValueMax:a,ariaValueMin:a,ariaValueNow:a,ariaValueText:null,role:null}})},61921:(e,t,n)=>{"use strict";var r=n(87311),i=n(96486),o=n(12660),a=r.boolean,s=r.overloadedBoolean,l=r.booleanish,u=r.number,c=r.spaceSeparated,d=r.commaSeparated;e.exports=i({space:"html",attributes:{acceptcharset:"accept-charset",classname:"class",htmlfor:"for",httpequiv:"http-equiv"},transform:o,mustUseProperty:["checked","multiple","muted","selected"],properties:{abbr:null,accept:d,acceptCharset:c,accessKey:c,action:null,allow:null,allowFullScreen:a,allowPaymentRequest:a,allowUserMedia:a,alt:null,as:null,async:a,autoCapitalize:null,autoComplete:c,autoFocus:a,autoPlay:a,capture:a,charSet:null,checked:a,cite:null,className:c,cols:u,colSpan:null,content:null,contentEditable:l,controls:a,controlsList:c,coords:u|d,crossOrigin:null,data:null,dateTime:null,decoding:null,default:a,defer:a,dir:null,dirName:null,disabled:a,download:s,draggable:l,encType:null,enterKeyHint:null,form:null,formAction:null,formEncType:null,formMethod:null,formNoValidate:a,formTarget:null,headers:c,height:u,hidden:a,high:u,href:null,hrefLang:null,htmlFor:c,httpEquiv:c,id:null,imageSizes:null,imageSrcSet:d,inputMode:null,integrity:null,is:null,isMap:a,itemId:null,itemProp:c,itemRef:c,itemScope:a,itemType:c,kind:null,label:null,lang:null,language:null,list:null,loading:null,loop:a,low:u,manifest:null,max:null,maxLength:u,media:null,method:null,min:null,minLength:u,multiple:a,muted:a,name:null,nonce:null,noModule:a,noValidate:a,onAbort:null,onAfterPrint:null,onAuxClick:null,onBeforePrint:null,onBeforeUnload:null,onBlur:null,onCancel:null,onCanPlay:null,onCanPlayThrough:null,onChange:null,onClick:null,onClose:null,onContextMenu:null,onCopy:null,onCueChange:null,onCut:null,onDblClick:null,onDrag:null,onDragEnd:null,onDragEnter:null,onDragExit:null,onDragLeave:null,onDragOver:null,onDragStart:null,onDrop:null,onDurationChange:null,onEmptied:null,onEnded:null,onError:null,onFocus:null,onFormData:null,onHashChange:null,onInput:null,onInvalid:null,onKeyDown:null,onKeyPress:null,onKeyUp:null,onLanguageChange:null,onLoad:null,onLoadedData:null,onLoadedMetadata:null,onLoadEnd:null,onLoadStart:null,onMessage:null,onMessageError:null,onMouseDown:null,onMouseEnter:null,onMouseLeave:null,onMouseMove:null,onMouseOut:null,onMouseOver:null,onMouseUp:null,onOffline:null,onOnline:null,onPageHide:null,onPageShow:null,onPaste:null,onPause:null,onPlay:null,onPlaying:null,onPopState:null,onProgress:null,onRateChange:null,onRejectionHandled:null,onReset:null,onResize:null,onScroll:null,onSecurityPolicyViolation:null,onSeeked:null,onSeeking:null,onSelect:null,onSlotChange:null,onStalled:null,onStorage:null,onSubmit:null,onSuspend:null,onTimeUpdate:null,onToggle:null,onUnhandledRejection:null,onUnload:null,onVolumeChange:null,onWaiting:null,onWheel:null,open:a,optimum:u,pattern:null,ping:c,placeholder:null,playsInline:a,poster:null,preload:null,readOnly:a,referrerPolicy:null,rel:c,required:a,reversed:a,rows:u,rowSpan:u,sandbox:c,scope:null,scoped:a,seamless:a,selected:a,shape:null,size:u,sizes:null,slot:null,span:u,spellCheck:l,src:null,srcDoc:null,srcLang:null,srcSet:d,start:u,step:null,style:null,tabIndex:u,target:null,title:null,translate:null,type:null,typeMustMatch:a,useMap:null,value:l,width:u,wrap:null,align:null,aLink:null,archive:c,axis:null,background:null,bgColor:null,border:u,borderColor:null,bottomMargin:u,cellPadding:null,cellSpacing:null,char:null,charOff:null,classId:null,clear:null,code:null,codeBase:null,codeType:null,color:null,compact:a,declare:a,event:null,face:null,frame:null,frameBorder:null,hSpace:u,leftMargin:u,link:null,longDesc:null,lowSrc:null,marginHeight:u,marginWidth:u,noResize:a,noHref:a,noShade:a,noWrap:a,object:null,profile:null,prompt:null,rev:null,rightMargin:u,rules:null,scheme:null,scrolling:l,standby:null,summary:null,text:null,topMargin:u,valueType:null,version:null,vAlign:null,vLink:null,vSpace:u,allowTransparency:null,autoCorrect:null,autoSave:null,disablePictureInPicture:a,disableRemotePlayback:a,prefix:null,property:null,results:u,security:null,unselectable:null}})},34:(e,t,n)=>{"use strict";var r=n(87311),i=n(96486),o=n(72003),a=r.boolean,s=r.number,l=r.spaceSeparated,u=r.commaSeparated,c=r.commaOrSpaceSeparated;e.exports=i({space:"svg",attributes:{accentHeight:"accent-height",alignmentBaseline:"alignment-baseline",arabicForm:"arabic-form",baselineShift:"baseline-shift",capHeight:"cap-height",className:"class",clipPath:"clip-path",clipRule:"clip-rule",colorInterpolation:"color-interpolation",colorInterpolationFilters:"color-interpolation-filters",colorProfile:"color-profile",colorRendering:"color-rendering",crossOrigin:"crossorigin",dataType:"datatype",dominantBaseline:"dominant-baseline",enableBackground:"enable-background",fillOpacity:"fill-opacity",fillRule:"fill-rule",floodColor:"flood-color",floodOpacity:"flood-opacity",fontFamily:"font-family",fontSize:"font-size",fontSizeAdjust:"font-size-adjust",fontStretch:"font-stretch",fontStyle:"font-style",fontVariant:"font-variant",fontWeight:"font-weight",glyphName:"glyph-name",glyphOrientationHorizontal:"glyph-orientation-horizontal",glyphOrientationVertical:"glyph-orientation-vertical",hrefLang:"hreflang",horizAdvX:"horiz-adv-x",horizOriginX:"horiz-origin-x",horizOriginY:"horiz-origin-y",imageRendering:"image-rendering",letterSpacing:"letter-spacing",lightingColor:"lighting-color",markerEnd:"marker-end",markerMid:"marker-mid",markerStart:"marker-start",navDown:"nav-down",navDownLeft:"nav-down-left",navDownRight:"nav-down-right",navLeft:"nav-left",navNext:"nav-next",navPrev:"nav-prev",navRight:"nav-right",navUp:"nav-up",navUpLeft:"nav-up-left",navUpRight:"nav-up-right",onAbort:"onabort",onActivate:"onactivate",onAfterPrint:"onafterprint",onBeforePrint:"onbeforeprint",onBegin:"onbegin",onCancel:"oncancel",onCanPlay:"oncanplay",onCanPlayThrough:"oncanplaythrough",onChange:"onchange",onClick:"onclick",onClose:"onclose",onCopy:"oncopy",onCueChange:"oncuechange",onCut:"oncut",onDblClick:"ondblclick",onDrag:"ondrag",onDragEnd:"ondragend",onDragEnter:"ondragenter",onDragExit:"ondragexit",onDragLeave:"ondragleave",onDragOver:"ondragover",onDragStart:"ondragstart",onDrop:"ondrop",onDurationChange:"ondurationchange",onEmptied:"onemptied",onEnd:"onend",onEnded:"onended",onError:"onerror",onFocus:"onfocus",onFocusIn:"onfocusin",onFocusOut:"onfocusout",onHashChange:"onhashchange",onInput:"oninput",onInvalid:"oninvalid",onKeyDown:"onkeydown",onKeyPress:"onkeypress",onKeyUp:"onkeyup",onLoad:"onload",onLoadedData:"onloadeddata",onLoadedMetadata:"onloadedmetadata",onLoadStart:"onloadstart",onMessage:"onmessage",onMouseDown:"onmousedown",onMouseEnter:"onmouseenter",onMouseLeave:"onmouseleave",onMouseMove:"onmousemove",onMouseOut:"onmouseout",onMouseOver:"onmouseover",onMouseUp:"onmouseup",onMouseWheel:"onmousewheel",onOffline:"onoffline",onOnline:"ononline",onPageHide:"onpagehide",onPageShow:"onpageshow",onPaste:"onpaste",onPause:"onpause",onPlay:"onplay",onPlaying:"onplaying",onPopState:"onpopstate",onProgress:"onprogress",onRateChange:"onratechange",onRepeat:"onrepeat",onReset:"onreset",onResize:"onresize",onScroll:"onscroll",onSeeked:"onseeked",onSeeking:"onseeking",onSelect:"onselect",onShow:"onshow",onStalled:"onstalled",onStorage:"onstorage",onSubmit:"onsubmit",onSuspend:"onsuspend",onTimeUpdate:"ontimeupdate",onToggle:"ontoggle",onUnload:"onunload",onVolumeChange:"onvolumechange",onWaiting:"onwaiting",onZoom:"onzoom",overlinePosition:"overline-position",overlineThickness:"overline-thickness",paintOrder:"paint-order",panose1:"panose-1",pointerEvents:"pointer-events",referrerPolicy:"referrerpolicy",renderingIntent:"rendering-intent",shapeRendering:"shape-rendering",stopColor:"stop-color",stopOpacity:"stop-opacity",strikethroughPosition:"strikethrough-position",strikethroughThickness:"strikethrough-thickness",strokeDashArray:"stroke-dasharray",strokeDashOffset:"stroke-dashoffset",strokeLineCap:"stroke-linecap",strokeLineJoin:"stroke-linejoin",strokeMiterLimit:"stroke-miterlimit",strokeOpacity:"stroke-opacity",strokeWidth:"stroke-width",tabIndex:"tabindex",textAnchor:"text-anchor",textDecoration:"text-decoration",textRendering:"text-rendering",typeOf:"typeof",underlinePosition:"underline-position",underlineThickness:"underline-thickness",unicodeBidi:"unicode-bidi",unicodeRange:"unicode-range",unitsPerEm:"units-per-em",vAlphabetic:"v-alphabetic",vHanging:"v-hanging",vIdeographic:"v-ideographic",vMathematical:"v-mathematical",vectorEffect:"vector-effect",vertAdvY:"vert-adv-y",vertOriginX:"vert-origin-x",vertOriginY:"vert-origin-y",wordSpacing:"word-spacing",writingMode:"writing-mode",xHeight:"x-height",playbackOrder:"playbackorder",timelineBegin:"timelinebegin"},transform:o,properties:{about:c,accentHeight:s,accumulate:null,additive:null,alignmentBaseline:null,alphabetic:s,amplitude:s,arabicForm:null,ascent:s,attributeName:null,attributeType:null,azimuth:s,bandwidth:null,baselineShift:null,baseFrequency:null,baseProfile:null,bbox:null,begin:null,bias:s,by:null,calcMode:null,capHeight:s,className:l,clip:null,clipPath:null,clipPathUnits:null,clipRule:null,color:null,colorInterpolation:null,colorInterpolationFilters:null,colorProfile:null,colorRendering:null,content:null,contentScriptType:null,contentStyleType:null,crossOrigin:null,cursor:null,cx:null,cy:null,d:null,dataType:null,defaultAction:null,descent:s,diffuseConstant:s,direction:null,display:null,dur:null,divisor:s,dominantBaseline:null,download:a,dx:null,dy:null,edgeMode:null,editable:null,elevation:s,enableBackground:null,end:null,event:null,exponent:s,externalResourcesRequired:null,fill:null,fillOpacity:s,fillRule:null,filter:null,filterRes:null,filterUnits:null,floodColor:null,floodOpacity:null,focusable:null,focusHighlight:null,fontFamily:null,fontSize:null,fontSizeAdjust:null,fontStretch:null,fontStyle:null,fontVariant:null,fontWeight:null,format:null,fr:null,from:null,fx:null,fy:null,g1:u,g2:u,glyphName:u,glyphOrientationHorizontal:null,glyphOrientationVertical:null,glyphRef:null,gradientTransform:null,gradientUnits:null,handler:null,hanging:s,hatchContentUnits:null,hatchUnits:null,height:null,href:null,hrefLang:null,horizAdvX:s,horizOriginX:s,horizOriginY:s,id:null,ideographic:s,imageRendering:null,initialVisibility:null,in:null,in2:null,intercept:s,k:s,k1:s,k2:s,k3:s,k4:s,kernelMatrix:c,kernelUnitLength:null,keyPoints:null,keySplines:null,keyTimes:null,kerning:null,lang:null,lengthAdjust:null,letterSpacing:null,lightingColor:null,limitingConeAngle:s,local:null,markerEnd:null,markerMid:null,markerStart:null,markerHeight:null,markerUnits:null,markerWidth:null,mask:null,maskContentUnits:null,maskUnits:null,mathematical:null,max:null,media:null,mediaCharacterEncoding:null,mediaContentEncodings:null,mediaSize:s,mediaTime:null,method:null,min:null,mode:null,name:null,navDown:null,navDownLeft:null,navDownRight:null,navLeft:null,navNext:null,navPrev:null,navRight:null,navUp:null,navUpLeft:null,navUpRight:null,numOctaves:null,observer:null,offset:null,onAbort:null,onActivate:null,onAfterPrint:null,onBeforePrint:null,onBegin:null,onCancel:null,onCanPlay:null,onCanPlayThrough:null,onChange:null,onClick:null,onClose:null,onCopy:null,onCueChange:null,onCut:null,onDblClick:null,onDrag:null,onDragEnd:null,onDragEnter:null,onDragExit:null,onDragLeave:null,onDragOver:null,onDragStart:null,onDrop:null,onDurationChange:null,onEmptied:null,onEnd:null,onEnded:null,onError:null,onFocus:null,onFocusIn:null,onFocusOut:null,onHashChange:null,onInput:null,onInvalid:null,onKeyDown:null,onKeyPress:null,onKeyUp:null,onLoad:null,onLoadedData:null,onLoadedMetadata:null,onLoadStart:null,onMessage:null,onMouseDown:null,onMouseEnter:null,onMouseLeave:null,onMouseMove:null,onMouseOut:null,onMouseOver:null,onMouseUp:null,onMouseWheel:null,onOffline:null,onOnline:null,onPageHide:null,onPageShow:null,onPaste:null,onPause:null,onPlay:null,onPlaying:null,onPopState:null,onProgress:null,onRateChange:null,onRepeat:null,onReset:null,onResize:null,onScroll:null,onSeeked:null,onSeeking:null,onSelect:null,onShow:null,onStalled:null,onStorage:null,onSubmit:null,onSuspend:null,onTimeUpdate:null,onToggle:null,onUnload:null,onVolumeChange:null,onWaiting:null,onZoom:null,opacity:null,operator:null,order:null,orient:null,orientation:null,origin:null,overflow:null,overlay:null,overlinePosition:s,overlineThickness:s,paintOrder:null,panose1:null,path:null,pathLength:s,patternContentUnits:null,patternTransform:null,patternUnits:null,phase:null,ping:l,pitch:null,playbackOrder:null,pointerEvents:null,points:null,pointsAtX:s,pointsAtY:s,pointsAtZ:s,preserveAlpha:null,preserveAspectRatio:null,primitiveUnits:null,propagate:null,property:c,r:null,radius:null,referrerPolicy:null,refX:null,refY:null,rel:c,rev:c,renderingIntent:null,repeatCount:null,repeatDur:null,requiredExtensions:c,requiredFeatures:c,requiredFonts:c,requiredFormats:c,resource:null,restart:null,result:null,rotate:null,rx:null,ry:null,scale:null,seed:null,shapeRendering:null,side:null,slope:null,snapshotTime:null,specularConstant:s,specularExponent:s,spreadMethod:null,spacing:null,startOffset:null,stdDeviation:null,stemh:null,stemv:null,stitchTiles:null,stopColor:null,stopOpacity:null,strikethroughPosition:s,strikethroughThickness:s,string:null,stroke:null,strokeDashArray:c,strokeDashOffset:null,strokeLineCap:null,strokeLineJoin:null,strokeMiterLimit:s,strokeOpacity:s,strokeWidth:null,style:null,surfaceScale:s,syncBehavior:null,syncBehaviorDefault:null,syncMaster:null,syncTolerance:null,syncToleranceDefault:null,systemLanguage:c,tabIndex:s,tableValues:null,target:null,targetX:s,targetY:s,textAnchor:null,textDecoration:null,textRendering:null,textLength:null,timelineBegin:null,title:null,transformBehavior:null,type:null,typeOf:c,to:null,transform:null,u1:null,u2:null,underlinePosition:s,underlineThickness:s,unicode:null,unicodeBidi:null,unicodeRange:null,unitsPerEm:s,values:null,vAlphabetic:s,vMathematical:s,vectorEffect:null,vHanging:s,vIdeographic:s,version:null,vertAdvY:s,vertOriginX:s,vertOriginY:s,viewBox:null,viewTarget:null,visibility:null,width:null,widths:null,wordSpacing:null,writingMode:null,x:null,x1:null,x2:null,xChannelSelector:null,xHeight:s,y:null,y1:null,y2:null,yChannelSelector:null,z:null,zoomAndPan:null}})},12660:(e,t,n)=>{"use strict";var r=n(72003);e.exports=function(e,t){return r(e,t.toLowerCase())}},72003:e=>{"use strict";e.exports=function(e,t){return t in e?e[t]:t}},96486:(e,t,n)=>{"use strict";var r=n(2939),i=n(74362),o=n(54688);e.exports=function(e){var t,n,a=e.space,s=e.mustUseProperty||[],l=e.attributes||{},u=e.properties,c=e.transform,d={},p={};for(t in u)n=new o(t,c(l,t),u[t],a),-1!==s.indexOf(t)&&(n.mustUseProperty=!0),d[t]=n,p[r(t)]=t,p[r(n.attribute)]=t;return new i(d,p,a)}},54688:(e,t,n)=>{"use strict";var r=n(9975),i=n(87311);e.exports=s,s.prototype=new r,s.prototype.defined=!0;var o=["boolean","booleanish","overloadedBoolean","number","commaSeparated","spaceSeparated","commaOrSpaceSeparated"],a=o.length;function s(e,t,n,s){var u,c=-1;for(l(this,"space",s),r.call(this,e,t);++c<a;)l(this,u=o[c],(n&i[u])===i[u])}function l(e,t,n){n&&(e[t]=n)}},9975:e=>{"use strict";e.exports=n;var t=n.prototype;function n(e,t){this.property=e,this.attribute=t}t.space=null,t.attribute=null,t.property=null,t.boolean=!1,t.booleanish=!1,t.overloadedBoolean=!1,t.number=!1,t.commaSeparated=!1,t.spaceSeparated=!1,t.commaOrSpaceSeparated=!1,t.mustUseProperty=!1,t.defined=!1},2706:(e,t,n)=>{"use strict";var r=n(51960),i=n(74362);e.exports=function(e){for(var t,n,o=e.length,a=[],s=[],l=-1;++l<o;)t=e[l],a.push(t.property),s.push(t.normal),n=t.space;return new i(r.apply(null,a),r.apply(null,s),n)}},74362:e=>{"use strict";e.exports=n;var t=n.prototype;function n(e,t,n){this.property=e,this.normal=t,n&&(this.space=n)}t.space=null,t.normal={},t.property={}},87311:(e,t)=>{"use strict";var n=0;function r(){return Math.pow(2,++n)}t.boolean=r(),t.booleanish=r(),t.overloadedBoolean=r(),t.number=r(),t.spaceSeparated=r(),t.commaSeparated=r(),t.commaOrSpaceSeparated=r()},62322:(e,t,n)=>{"use strict";var r=n(96486);e.exports=r({space:"xlink",transform:function(e,t){return"xlink:"+t.slice(5).toLowerCase()},properties:{xLinkActuate:null,xLinkArcRole:null,xLinkHref:null,xLinkRole:null,xLinkShow:null,xLinkTitle:null,xLinkType:null}})},13837:(e,t,n)=>{"use strict";var r=n(96486);e.exports=r({space:"xml",transform:function(e,t){return"xml:"+t.slice(3).toLowerCase()},properties:{xmlLang:null,xmlBase:null,xmlSpace:null}})},45367:(e,t,n)=>{"use strict";var r=n(96486),i=n(12660);e.exports=r({space:"xmlns",attributes:{xmlnsxlink:"xmlns:xlink"},transform:i,properties:{xmlns:null,xmlnsXLink:null}})},2939:e=>{"use strict";e.exports=function(e){return e.toLowerCase()}},57956:(e,t,n)=>{"use strict";var r=n(2706),i=n(62322),o=n(13837),a=n(45367),s=n(12024),l=n(34);e.exports=r([o,i,a,s,l])},85527:e=>{"use strict";var t=String.prototype.replace,n=/%20/g,r="RFC3986";e.exports={default:r,formatters:{RFC1738:function(e){return t.call(e,n,"+")},RFC3986:function(e){return String(e)}},RFC1738:"RFC1738",RFC3986:r}},19126:(e,t,n)=>{"use strict";var r=n(66845),i=n(29166),o=n(85527);e.exports={formats:o,parse:i,stringify:r}},29166:(e,t,n)=>{"use strict";var r=n(12493),i=Object.prototype.hasOwnProperty,o=Array.isArray,a={allowDots:!1,allowPrototypes:!1,allowSparse:!1,arrayLimit:20,charset:"utf-8",charsetSentinel:!1,comma:!1,decoder:r.decode,delimiter:"&",depth:5,ignoreQueryPrefix:!1,interpretNumericEntities:!1,parameterLimit:1e3,parseArrays:!0,plainObjects:!1,strictNullHandling:!1},s=function(e){return e.replace(/&#(\d+);/g,(function(e,t){return String.fromCharCode(parseInt(t,10))}))},l=function(e,t){return e&&"string"==typeof e&&t.comma&&e.indexOf(",")>-1?e.split(","):e},u=function(e,t,n,r){if(e){var o=n.allowDots?e.replace(/\.([^.[]+)/g,"[$1]"):e,a=/(\[[^[\]]*])/g,s=n.depth>0&&/(\[[^[\]]*])/.exec(o),u=s?o.slice(0,s.index):o,c=[];if(u){if(!n.plainObjects&&i.call(Object.prototype,u)&&!n.allowPrototypes)return;c.push(u)}for(var d=0;n.depth>0&&null!==(s=a.exec(o))&&d<n.depth;){if(d+=1,!n.plainObjects&&i.call(Object.prototype,s[1].slice(1,-1))&&!n.allowPrototypes)return;c.push(s[1])}return s&&c.push("["+o.slice(s.index)+"]"),function(e,t,n,r){for(var i=r?t:l(t,n),o=e.length-1;o>=0;--o){var a,s=e[o];if("[]"===s&&n.parseArrays)a=[].concat(i);else{a=n.plainObjects?Object.create(null):{};var u="["===s.charAt(0)&&"]"===s.charAt(s.length-1)?s.slice(1,-1):s,c=parseInt(u,10);n.parseArrays||""!==u?!isNaN(c)&&s!==u&&String(c)===u&&c>=0&&n.parseArrays&&c<=n.arrayLimit?(a=[])[c]=i:"__proto__"!==u&&(a[u]=i):a={0:i}}i=a}return i}(c,t,n,r)}};e.exports=function(e,t){var n=function(e){if(!e)return a;if(null!==e.decoder&&void 0!==e.decoder&&"function"!=typeof e.decoder)throw new TypeError("Decoder has to be a function.");if(void 0!==e.charset&&"utf-8"!==e.charset&&"iso-8859-1"!==e.charset)throw new TypeError("The charset option must be either utf-8, iso-8859-1, or undefined");var t=void 0===e.charset?a.charset:e.charset;return{allowDots:void 0===e.allowDots?a.allowDots:!!e.allowDots,allowPrototypes:"boolean"==typeof e.allowPrototypes?e.allowPrototypes:a.allowPrototypes,allowSparse:"boolean"==typeof e.allowSparse?e.allowSparse:a.allowSparse,arrayLimit:"number"==typeof e.arrayLimit?e.arrayLimit:a.arrayLimit,charset:t,charsetSentinel:"boolean"==typeof e.charsetSentinel?e.charsetSentinel:a.charsetSentinel,comma:"boolean"==typeof e.comma?e.comma:a.comma,decoder:"function"==typeof e.decoder?e.decoder:a.decoder,delimiter:"string"==typeof e.delimiter||r.isRegExp(e.delimiter)?e.delimiter:a.delimiter,depth:"number"==typeof e.depth||!1===e.depth?+e.depth:a.depth,ignoreQueryPrefix:!0===e.ignoreQueryPrefix,interpretNumericEntities:"boolean"==typeof e.interpretNumericEntities?e.interpretNumericEntities:a.interpretNumericEntities,parameterLimit:"number"==typeof e.parameterLimit?e.parameterLimit:a.parameterLimit,parseArrays:!1!==e.parseArrays,plainObjects:"boolean"==typeof e.plainObjects?e.plainObjects:a.plainObjects,strictNullHandling:"boolean"==typeof e.strictNullHandling?e.strictNullHandling:a.strictNullHandling}}(t);if(""===e||null==e)return n.plainObjects?Object.create(null):{};for(var c="string"==typeof e?function(e,t){var n,u={__proto__:null},c=t.ignoreQueryPrefix?e.replace(/^\?/,""):e,d=t.parameterLimit===1/0?void 0:t.parameterLimit,p=c.split(t.delimiter,d),f=-1,h=t.charset;if(t.charsetSentinel)for(n=0;n<p.length;++n)0===p[n].indexOf("utf8=")&&("utf8=%E2%9C%93"===p[n]?h="utf-8":"utf8=%26%2310003%3B"===p[n]&&(h="iso-8859-1"),f=n,n=p.length);for(n=0;n<p.length;++n)if(n!==f){var m,g,v=p[n],y=v.indexOf("]="),b=-1===y?v.indexOf("="):y+1;-1===b?(m=t.decoder(v,a.decoder,h,"key"),g=t.strictNullHandling?null:""):(m=t.decoder(v.slice(0,b),a.decoder,h,"key"),g=r.maybeMap(l(v.slice(b+1),t),(function(e){return t.decoder(e,a.decoder,h,"value")}))),g&&t.interpretNumericEntities&&"iso-8859-1"===h&&(g=s(g)),v.indexOf("[]=")>-1&&(g=o(g)?[g]:g),i.call(u,m)?u[m]=r.combine(u[m],g):u[m]=g}return u}(e,n):e,d=n.plainObjects?Object.create(null):{},p=Object.keys(c),f=0;f<p.length;++f){var h=p[f],m=u(h,c[h],n,"string"==typeof e);d=r.merge(d,m,n)}return!0===n.allowSparse?d:r.compact(d)}},66845:(e,t,n)=>{"use strict";var r=n(74294),i=n(12493),o=n(85527),a=Object.prototype.hasOwnProperty,s={brackets:function(e){return e+"[]"},comma:"comma",indices:function(e,t){return e+"["+t+"]"},repeat:function(e){return e}},l=Array.isArray,u=Array.prototype.push,c=function(e,t){u.apply(e,l(t)?t:[t])},d=Date.prototype.toISOString,p=o.default,f={addQueryPrefix:!1,allowDots:!1,charset:"utf-8",charsetSentinel:!1,delimiter:"&",encode:!0,encoder:i.encode,encodeValuesOnly:!1,format:p,formatter:o.formatters[p],indices:!1,serializeDate:function(e){return d.call(e)},skipNulls:!1,strictNullHandling:!1},h={},m=function e(t,n,o,a,s,u,d,p,m,g,v,y,b,w,_,x){for(var k,E=t,C=x,S=0,A=!1;void 0!==(C=C.get(h))&&!A;){var T=C.get(t);if(S+=1,void 0!==T){if(T===S)throw new RangeError("Cyclic object value");A=!0}void 0===C.get(h)&&(S=0)}if("function"==typeof p?E=p(n,E):E instanceof Date?E=v(E):"comma"===o&&l(E)&&(E=i.maybeMap(E,(function(e){return e instanceof Date?v(e):e}))),null===E){if(s)return d&&!w?d(n,f.encoder,_,"key",y):n;E=""}if("string"==typeof(k=E)||"number"==typeof k||"boolean"==typeof k||"symbol"==typeof k||"bigint"==typeof k||i.isBuffer(E))return d?[b(w?n:d(n,f.encoder,_,"key",y))+"="+b(d(E,f.encoder,_,"value",y))]:[b(n)+"="+b(String(E))];var O,P=[];if(void 0===E)return P;if("comma"===o&&l(E))w&&d&&(E=i.maybeMap(E,d)),O=[{value:E.length>0?E.join(",")||null:void 0}];else if(l(p))O=p;else{var D=Object.keys(E);O=m?D.sort(m):D}for(var R=a&&l(E)&&1===E.length?n+"[]":n,I=0;I<O.length;++I){var M=O[I],L="object"==typeof M&&void 0!==M.value?M.value:E[M];if(!u||null!==L){var N=l(E)?"function"==typeof o?o(R,M):R:R+(g?"."+M:"["+M+"]");x.set(t,S);var j=r();j.set(h,x),c(P,e(L,N,o,a,s,u,"comma"===o&&w&&l(E)?null:d,p,m,g,v,y,b,w,_,j))}}return P};e.exports=function(e,t){var n,i=e,u=function(e){if(!e)return f;if(null!==e.encoder&&void 0!==e.encoder&&"function"!=typeof e.encoder)throw new TypeError("Encoder has to be a function.");var t=e.charset||f.charset;if(void 0!==e.charset&&"utf-8"!==e.charset&&"iso-8859-1"!==e.charset)throw new TypeError("The charset option must be either utf-8, iso-8859-1, or undefined");var n=o.default;if(void 0!==e.format){if(!a.call(o.formatters,e.format))throw new TypeError("Unknown format option provided.");n=e.format}var r=o.formatters[n],i=f.filter;return("function"==typeof e.filter||l(e.filter))&&(i=e.filter),{addQueryPrefix:"boolean"==typeof e.addQueryPrefix?e.addQueryPrefix:f.addQueryPrefix,allowDots:void 0===e.allowDots?f.allowDots:!!e.allowDots,charset:t,charsetSentinel:"boolean"==typeof e.charsetSentinel?e.charsetSentinel:f.charsetSentinel,delimiter:void 0===e.delimiter?f.delimiter:e.delimiter,encode:"boolean"==typeof e.encode?e.encode:f.encode,encoder:"function"==typeof e.encoder?e.encoder:f.encoder,encodeValuesOnly:"boolean"==typeof e.encodeValuesOnly?e.encodeValuesOnly:f.encodeValuesOnly,filter:i,format:n,formatter:r,serializeDate:"function"==typeof e.serializeDate?e.serializeDate:f.serializeDate,skipNulls:"boolean"==typeof e.skipNulls?e.skipNulls:f.skipNulls,sort:"function"==typeof e.sort?e.sort:null,strictNullHandling:"boolean"==typeof e.strictNullHandling?e.strictNullHandling:f.strictNullHandling}}(t);"function"==typeof u.filter?i=(0,u.filter)("",i):l(u.filter)&&(n=u.filter);var d,p=[];if("object"!=typeof i||null===i)return"";d=t&&t.arrayFormat in s?t.arrayFormat:t&&"indices"in t?t.indices?"indices":"repeat":"indices";var h=s[d];if(t&&"commaRoundTrip"in t&&"boolean"!=typeof t.commaRoundTrip)throw new TypeError("`commaRoundTrip` must be a boolean, or absent");var g="comma"===h&&t&&t.commaRoundTrip;n||(n=Object.keys(i)),u.sort&&n.sort(u.sort);for(var v=r(),y=0;y<n.length;++y){var b=n[y];u.skipNulls&&null===i[b]||c(p,m(i[b],b,h,g,u.strictNullHandling,u.skipNulls,u.encode?u.encoder:null,u.filter,u.sort,u.allowDots,u.serializeDate,u.format,u.formatter,u.encodeValuesOnly,u.charset,v))}var w=p.join(u.delimiter),_=!0===u.addQueryPrefix?"?":"";return u.charsetSentinel&&("iso-8859-1"===u.charset?_+="utf8=%26%2310003%3B&":_+="utf8=%E2%9C%93&"),w.length>0?_+w:""}},12493:(e,t,n)=>{"use strict";var r=n(85527),i=Object.prototype.hasOwnProperty,o=Array.isArray,a=function(){for(var e=[],t=0;t<256;++t)e.push("%"+((t<16?"0":"")+t.toString(16)).toUpperCase());return e}(),s=function(e,t){for(var n=t&&t.plainObjects?Object.create(null):{},r=0;r<e.length;++r)void 0!==e[r]&&(n[r]=e[r]);return n};e.exports={arrayToObject:s,assign:function(e,t){return Object.keys(t).reduce((function(e,n){return e[n]=t[n],e}),e)},combine:function(e,t){return[].concat(e,t)},compact:function(e){for(var t=[{obj:{o:e},prop:"o"}],n=[],r=0;r<t.length;++r)for(var i=t[r],a=i.obj[i.prop],s=Object.keys(a),l=0;l<s.length;++l){var u=s[l],c=a[u];"object"==typeof c&&null!==c&&-1===n.indexOf(c)&&(t.push({obj:a,prop:u}),n.push(c))}return function(e){for(;e.length>1;){var t=e.pop(),n=t.obj[t.prop];if(o(n)){for(var r=[],i=0;i<n.length;++i)void 0!==n[i]&&r.push(n[i]);t.obj[t.prop]=r}}}(t),e},decode:function(e,t,n){var r=e.replace(/\+/g," ");if("iso-8859-1"===n)return r.replace(/%[0-9a-f]{2}/gi,unescape);try{return decodeURIComponent(r)}catch(e){return r}},encode:function(e,t,n,i,o){if(0===e.length)return e;var s=e;if("symbol"==typeof e?s=Symbol.prototype.toString.call(e):"string"!=typeof e&&(s=String(e)),"iso-8859-1"===n)return escape(s).replace(/%u[0-9a-f]{4}/gi,(function(e){return"%26%23"+parseInt(e.slice(2),16)+"%3B"}));for(var l="",u=0;u<s.length;++u){var c=s.charCodeAt(u);45===c||46===c||95===c||126===c||c>=48&&c<=57||c>=65&&c<=90||c>=97&&c<=122||o===r.RFC1738&&(40===c||41===c)?l+=s.charAt(u):c<128?l+=a[c]:c<2048?l+=a[192|c>>6]+a[128|63&c]:c<55296||c>=57344?l+=a[224|c>>12]+a[128|c>>6&63]+a[128|63&c]:(u+=1,c=65536+((1023&c)<<10|1023&s.charCodeAt(u)),l+=a[240|c>>18]+a[128|c>>12&63]+a[128|c>>6&63]+a[128|63&c])}return l},isBuffer:function(e){return!(!e||"object"!=typeof e||!(e.constructor&&e.constructor.isBuffer&&e.constructor.isBuffer(e)))},isRegExp:function(e){return"[object RegExp]"===Object.prototype.toString.call(e)},maybeMap:function(e,t){if(o(e)){for(var n=[],r=0;r<e.length;r+=1)n.push(t(e[r]));return n}return t(e)},merge:function e(t,n,r){if(!n)return t;if("object"!=typeof n){if(o(t))t.push(n);else{if(!t||"object"!=typeof t)return[t,n];(r&&(r.plainObjects||r.allowPrototypes)||!i.call(Object.prototype,n))&&(t[n]=!0)}return t}if(!t||"object"!=typeof t)return[t].concat(n);var a=t;return o(t)&&!o(n)&&(a=s(t,r)),o(t)&&o(n)?(n.forEach((function(n,o){if(i.call(t,o)){var a=t[o];a&&"object"==typeof a&&n&&"object"==typeof n?t[o]=e(a,n,r):t.push(n)}else t[o]=n})),t):Object.keys(n).reduce((function(t,o){var a=n[o];return i.call(t,o)?t[o]=e(t[o],a,r):t[o]=a,t}),a)}}},30147:function(e){e.exports=function(){"use strict";function e(e,r,i,o,a){!function e(n,r,i,o,a){for(;o>i;){if(o-i>600){var s=o-i+1,l=r-i+1,u=Math.log(s),c=.5*Math.exp(2*u/3),d=.5*Math.sqrt(u*c*(s-c)/s)*(l-s/2<0?-1:1);e(n,r,Math.max(i,Math.floor(r-l*c/s+d)),Math.min(o,Math.floor(r+(s-l)*c/s+d)),a)}var p=n[r],f=i,h=o;for(t(n,i,r),a(n[o],p)>0&&t(n,i,o);f<h;){for(t(n,f,h),f++,h--;a(n[f],p)<0;)f++;for(;a(n[h],p)>0;)h--}0===a(n[i],p)?t(n,i,h):t(n,++h,o),h<=r&&(i=h+1),r<=h&&(o=h-1)}}(e,r,i||0,o||e.length-1,a||n)}function t(e,t,n){var r=e[t];e[t]=e[n],e[n]=r}function n(e,t){return e<t?-1:e>t?1:0}var r=function(e){void 0===e&&(e=9),this._maxEntries=Math.max(4,e),this._minEntries=Math.max(2,Math.ceil(.4*this._maxEntries)),this.clear()};function i(e,t,n){if(!n)return t.indexOf(e);for(var r=0;r<t.length;r++)if(n(e,t[r]))return r;return-1}function o(e,t){a(e,0,e.children.length,t,e)}function a(e,t,n,r,i){i||(i=h(null)),i.minX=1/0,i.minY=1/0,i.maxX=-1/0,i.maxY=-1/0;for(var o=t;o<n;o++){var a=e.children[o];s(i,e.leaf?r(a):a)}return i}function s(e,t){return e.minX=Math.min(e.minX,t.minX),e.minY=Math.min(e.minY,t.minY),e.maxX=Math.max(e.maxX,t.maxX),e.maxY=Math.max(e.maxY,t.maxY),e}function l(e,t){return e.minX-t.minX}function u(e,t){return e.minY-t.minY}function c(e){return(e.maxX-e.minX)*(e.maxY-e.minY)}function d(e){return e.maxX-e.minX+(e.maxY-e.minY)}function p(e,t){return e.minX<=t.minX&&e.minY<=t.minY&&t.maxX<=e.maxX&&t.maxY<=e.maxY}function f(e,t){return t.minX<=e.maxX&&t.minY<=e.maxY&&t.maxX>=e.minX&&t.maxY>=e.minY}function h(e){return{children:e,height:1,leaf:!0,minX:1/0,minY:1/0,maxX:-1/0,maxY:-1/0}}function m(t,n,r,i,o){for(var a=[n,r];a.length;)if(!((r=a.pop())-(n=a.pop())<=i)){var s=n+Math.ceil((r-n)/i/2)*i;e(t,s,n,r,o),a.push(n,s,s,r)}}return r.prototype.all=function(){return this._all(this.data,[])},r.prototype.search=function(e){var t=this.data,n=[];if(!f(e,t))return n;for(var r=this.toBBox,i=[];t;){for(var o=0;o<t.children.length;o++){var a=t.children[o],s=t.leaf?r(a):a;f(e,s)&&(t.leaf?n.push(a):p(e,s)?this._all(a,n):i.push(a))}t=i.pop()}return n},r.prototype.collides=function(e){var t=this.data;if(!f(e,t))return!1;for(var n=[];t;){for(var r=0;r<t.children.length;r++){var i=t.children[r],o=t.leaf?this.toBBox(i):i;if(f(e,o)){if(t.leaf||p(e,o))return!0;n.push(i)}}t=n.pop()}return!1},r.prototype.load=function(e){if(!e||!e.length)return this;if(e.length<this._minEntries){for(var t=0;t<e.length;t++)this.insert(e[t]);return this}var n=this._build(e.slice(),0,e.length-1,0);if(this.data.children.length)if(this.data.height===n.height)this._splitRoot(this.data,n);else{if(this.data.height<n.height){var r=this.data;this.data=n,n=r}this._insert(n,this.data.height-n.height-1,!0)}else this.data=n;return this},r.prototype.insert=function(e){return e&&this._insert(e,this.data.height-1),this},r.prototype.clear=function(){return this.data=h([]),this},r.prototype.remove=function(e,t){if(!e)return this;for(var n,r,o,a=this.data,s=this.toBBox(e),l=[],u=[];a||l.length;){if(a||(a=l.pop(),r=l[l.length-1],n=u.pop(),o=!0),a.leaf){var c=i(e,a.children,t);if(-1!==c)return a.children.splice(c,1),l.push(a),this._condense(l),this}o||a.leaf||!p(a,s)?r?(n++,a=r.children[n],o=!1):a=null:(l.push(a),u.push(n),n=0,r=a,a=a.children[0])}return this},r.prototype.toBBox=function(e){return e},r.prototype.compareMinX=function(e,t){return e.minX-t.minX},r.prototype.compareMinY=function(e,t){return e.minY-t.minY},r.prototype.toJSON=function(){return this.data},r.prototype.fromJSON=function(e){return this.data=e,this},r.prototype._all=function(e,t){for(var n=[];e;)e.leaf?t.push.apply(t,e.children):n.push.apply(n,e.children),e=n.pop();return t},r.prototype._build=function(e,t,n,r){var i,a=n-t+1,s=this._maxEntries;if(a<=s)return o(i=h(e.slice(t,n+1)),this.toBBox),i;r||(r=Math.ceil(Math.log(a)/Math.log(s)),s=Math.ceil(a/Math.pow(s,r-1))),(i=h([])).leaf=!1,i.height=r;var l=Math.ceil(a/s),u=l*Math.ceil(Math.sqrt(s));m(e,t,n,u,this.compareMinX);for(var c=t;c<=n;c+=u){var d=Math.min(c+u-1,n);m(e,c,d,l,this.compareMinY);for(var p=c;p<=d;p+=l){var f=Math.min(p+l-1,d);i.children.push(this._build(e,p,f,r-1))}}return o(i,this.toBBox),i},r.prototype._chooseSubtree=function(e,t,n,r){for(;r.push(t),!t.leaf&&r.length-1!==n;){for(var i=1/0,o=1/0,a=void 0,s=0;s<t.children.length;s++){var l=t.children[s],u=c(l),d=(p=e,f=l,(Math.max(f.maxX,p.maxX)-Math.min(f.minX,p.minX))*(Math.max(f.maxY,p.maxY)-Math.min(f.minY,p.minY))-u);d<o?(o=d,i=u<i?u:i,a=l):d===o&&u<i&&(i=u,a=l)}t=a||t.children[0]}var p,f;return t},r.prototype._insert=function(e,t,n){var r=n?e:this.toBBox(e),i=[],o=this._chooseSubtree(r,this.data,t,i);for(o.children.push(e),s(o,r);t>=0&&i[t].children.length>this._maxEntries;)this._split(i,t),t--;this._adjustParentBBoxes(r,i,t)},r.prototype._split=function(e,t){var n=e[t],r=n.children.length,i=this._minEntries;this._chooseSplitAxis(n,i,r);var a=this._chooseSplitIndex(n,i,r),s=h(n.children.splice(a,n.children.length-a));s.height=n.height,s.leaf=n.leaf,o(n,this.toBBox),o(s,this.toBBox),t?e[t-1].children.push(s):this._splitRoot(n,s)},r.prototype._splitRoot=function(e,t){this.data=h([e,t]),this.data.height=e.height+1,this.data.leaf=!1,o(this.data,this.toBBox)},r.prototype._chooseSplitIndex=function(e,t,n){for(var r,i,o,s,l,u,d,p=1/0,f=1/0,h=t;h<=n-t;h++){var m=a(e,0,h,this.toBBox),g=a(e,h,n,this.toBBox),v=(i=m,o=g,void 0,void 0,void 0,void 0,s=Math.max(i.minX,o.minX),l=Math.max(i.minY,o.minY),u=Math.min(i.maxX,o.maxX),d=Math.min(i.maxY,o.maxY),Math.max(0,u-s)*Math.max(0,d-l)),y=c(m)+c(g);v<p?(p=v,r=h,f=y<f?y:f):v===p&&y<f&&(f=y,r=h)}return r||n-t},r.prototype._chooseSplitAxis=function(e,t,n){var r=e.leaf?this.compareMinX:l,i=e.leaf?this.compareMinY:u;this._allDistMargin(e,t,n,r)<this._allDistMargin(e,t,n,i)&&e.children.sort(r)},r.prototype._allDistMargin=function(e,t,n,r){e.children.sort(r);for(var i=this.toBBox,o=a(e,0,t,i),l=a(e,n-t,n,i),u=d(o)+d(l),c=t;c<n-t;c++){var p=e.children[c];s(o,e.leaf?i(p):p),u+=d(o)}for(var f=n-t-1;f>=t;f--){var h=e.children[f];s(l,e.leaf?i(h):h),u+=d(l)}return u},r.prototype._adjustParentBBoxes=function(e,t,n){for(var r=n;r>=0;r--)s(t[r],e)},r.prototype._condense=function(e){for(var t=e.length-1,n=void 0;t>=0;t--)0===e[t].children.length?t>0?(n=e[t-1].children).splice(n.indexOf(e[t]),1):this.clear():o(e[t],this.toBBox)},r}()},85242:(e,t,n)=>{"use strict";function r(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var i=n(2784),o=n(13980),a=n(12095),s=n(33229).refType,l=n(87216),u={ambManager:o.object.isRequired,children:o.node.isRequired,disabled:o.bool,forwardedRef:s,tag:o.string},c=function(e){function t(){var n,o;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var a=arguments.length,s=Array(a),l=0;l<a;l++)s[l]=arguments[l];return n=o=r(this,e.call.apply(e,[this].concat(s))),o.ref=i.createRef(),o.handleKeyDown=function(e){if(!o.props.disabled){var t=o.props.ambManager;switch(e.key){case"ArrowDown":e.preventDefault(),t.isOpen?t.focusItem(0):t.openMenu();break;case"Enter":case" ":e.preventDefault(),t.toggleMenu();break;case"Escape":t.handleMenuKey(e);break;default:t.handleButtonNonArrowKey(e)}}},o.handleClick=function(){o.props.disabled||o.props.ambManager.toggleMenu({},{focusMenu:!1})},o.setRef=function(e){o.ref.current=e,"function"==typeof o.props.forwardedRef?o.props.forwardedRef(e):o.props.forwardedRef&&(o.props.forwardedRef.current=e)},r(o,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),t.prototype.componentDidMount=function(){this.props.ambManager.button=this},t.prototype.componentWillUnmount=function(){this.props.ambManager.destroy()},t.prototype.render=function(){var e=this.props,t=this.props.ambManager,n={role:"button",tabIndex:e.disabled?"":"0","aria-haspopup":!0,"aria-expanded":t.isOpen,"aria-disabled":e.disabled,onKeyDown:this.handleKeyDown,onClick:this.handleClick},r={};return l(r,u),["button","fieldset","input","optgroup","option","select","textarea"].indexOf(e.tag)>=0&&delete r.disabled,t.options.closeOnBlur&&(n.onBlur=t.handleBlur),l(n,e,r),l(n,{ref:this.setRef}),i.createElement(e.tag,n,e.children)},t}(i.Component);c.propTypes=u,c.defaultProps={tag:"span"},e.exports=i.forwardRef((function(e,t){return i.createElement(a.Consumer,null,(function(n){var r={ambManager:n,forwardedRef:t};return l(r,e,{ambManager:u.ambManager,children:u.children,forwardedRef:u.forwardedRef}),i.createElement(c,r,e.children)}))}))},12095:(e,t,n)=>{"use strict";var r=n(2784).createContext();e.exports=r},3104:(e,t,n)=>{"use strict";function r(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var i=n(2784),o=n(13980),a=n(21707),s=n(12095),l=n(33229).refType,u=n(87216),c={ambManager:o.object.isRequired,children:o.oneOfType([o.func,o.node]).isRequired,forwardedRef:l,tag:o.string},d=function(e){function t(){var n,o;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var s=arguments.length,l=Array(s),u=0;u<s;u++)l[u]=arguments[u];return n=o=r(this,e.call.apply(e,[this].concat(l))),o.ref=i.createRef(),o.addTapListener=function(){var e=o.ref.current;if(e){var t=e.ownerDocument;t&&(o.tapListener=a(t.documentElement,o.handleTap))}},o.handleTap=function(e){o.ref.current.contains(e.target)||o.props.ambManager.button.ref.current.contains(e.target)||o.props.ambManager.closeMenu()},o.setRef=function(e){o.ref.current=e,"function"==typeof o.props.forwardedRef?o.props.forwardedRef(e):o.props.forwardedRef&&(o.props.forwardedRef.current=e)},r(o,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),t.prototype.componentDidMount=function(){this.props.ambManager.menu=this},t.prototype.componentDidUpdate=function(){var e=this.props.ambManager;e.options.closeOnBlur&&(e.isOpen&&!this.tapListener?this.addTapListener():!e.isOpen&&this.tapListener&&(this.tapListener.remove(),delete this.tapListener),e.isOpen||e.clearItems())},t.prototype.componentWillUnmount=function(){this.tapListener&&this.tapListener.remove(),this.props.ambManager.destroy()},t.prototype.render=function(){var e=this.props,t=this.props.ambManager,n="function"==typeof e.children?e.children({isOpen:t.isOpen}):!!t.isOpen&&e.children;if(!n)return!1;var r={onKeyDown:t.handleMenuKey,role:"menu",tabIndex:-1};return t.options.closeOnBlur&&(r.onBlur=t.handleBlur),u(r,e,c),u(r,{ref:this.setRef}),i.createElement(e.tag,r,n)},t}(i.Component);d.propTypes=c,d.defaultProps={tag:"div"},e.exports=i.forwardRef((function(e,t){return i.createElement(s.Consumer,null,(function(n){var r={ambManager:n,forwardedRef:t};return u(r,e,{ambManager:c.ambManager,children:c.children,forwardedRef:c.forwardedRef}),i.createElement(d,r,e.children)}))}))},44480:(e,t,n)=>{"use strict";function r(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var i=n(2784),o=n(13980),a=n(12095),s=n(33229).refType,l=n(87216),u={ambManager:o.object.isRequired,children:o.node.isRequired,forwardedRef:s,tag:o.string,text:o.string,value:o.any},c=function(e){function t(){var n,o;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var a=arguments.length,s=Array(a),l=0;l<a;l++)s[l]=arguments[l];return n=o=r(this,e.call.apply(e,[this].concat(s))),o.ref=i.createRef(),o.handleKeyDown=function(e){"Enter"!==e.key&&" "!==e.key||"a"===o.props.tag&&o.props.href||(e.preventDefault(),o.selectItem(e))},o.selectItem=function(e){var t=void 0!==o.props.value?o.props.value:o.props.children;o.props.ambManager.handleSelection(t,e)},o.setRef=function(e){o.ref.current=e,"function"==typeof o.props.forwardedRef?o.props.forwardedRef(e):o.props.forwardedRef&&(o.props.forwardedRef.current=e)},r(o,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),t.prototype.componentDidMount=function(){this.props.ambManager.addItem({node:this.ref.current,text:this.props.text})},t.prototype.render=function(){var e={onClick:this.selectItem,onKeyDown:this.handleKeyDown,role:"menuitem",tabIndex:"-1",ref:this.setRef};return l(e,this.props,u),i.createElement(this.props.tag,e,this.props.children)},t}(i.Component);c.propTypes=u,c.defaultProps={tag:"div"},e.exports=i.forwardRef((function(e,t){return i.createElement(a.Consumer,null,(function(n){var r={ambManager:n,forwardedRef:t};return l(r,e,{ambManager:u.ambManager,children:u.children,forwardedRef:u.forwardedRef}),i.createElement(c,r,e.children)}))}))},69428:(e,t,n)=>{"use strict";var r=n(2784),i=n(13980),o=n(26127),a=n(12095),s=n(33229).refType,l=n(87216),u={children:i.node.isRequired,forwardedRef:s,onMenuToggle:i.func,onSelection:i.func,closeOnSelection:i.bool,closeOnBlur:i.bool,tag:i.string},c=function(e){return{onMenuToggle:e.onMenuToggle,onSelection:e.onSelection,closeOnSelection:e.closeOnSelection,closeOnBlur:e.closeOnBlur,id:e.id}},d=function(e){function t(n){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var r=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,e.call(this,n));return r.manager=o(c(n)),r}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),t.prototype.componentDidUpdate=function(){this.manager.updateOptions(c(this.props))},t.prototype.render=function(){var e={};return l(e,this.props,u),r.createElement(a.Provider,{value:this.manager},r.createElement(this.props.tag,e,this.props.children))},t}(r.Component);d.propTypes=u,d.defaultProps={tag:"div"},e.exports=r.forwardRef((function(e,t){var n={forwardedRef:t};return l(n,e,{children:u.children,forwardedRef:u.forwardedRef}),l(n,{forwardedRef:t}),r.createElement(d,n,e.children)}))},26127:(e,t,n)=>{"use strict";var r=n(56297),i=n(86820),o={wrap:!0,stringSearch:!0},a={init:function(e){this.updateOptions(e),this.handleBlur=s.bind(this),this.handleSelection=l.bind(this),this.handleMenuKey=u.bind(this),this.focusGroup=r(o),this.button=null,this.menu=null,this.isOpen=!1},updateOptions:function(e){var t=this.options;this.options=e||this.options||{},void 0===this.options.closeOnSelection&&(this.options.closeOnSelection=!0),void 0===this.options.closeOnBlur&&(this.options.closeOnBlur=!0),this.options.id&&i.registerManager(this.options.id,this),t&&t.id&&t.id!==this.options.id&&i.unregisterManager(this.options.id,this)},focusItem:function(e){this.focusGroup.focusNodeAtIndex(e)},addItem:function(e){this.focusGroup.addMember(e)},clearItems:function(){this.focusGroup.clearMembers()},handleButtonNonArrowKey:function(e){this.focusGroup._handleUnboundKey(e)},destroy:function(){this.button=null,this.menu=null,this.focusGroup.deactivate(),clearTimeout(this.blurTimer),clearTimeout(this.moveFocusTimer)},update:function(){this.menu.setState({isOpen:this.isOpen}),this.button.setState({menuOpen:this.isOpen}),this.options.onMenuToggle&&this.options.onMenuToggle({isOpen:this.isOpen})},openMenu:function(e){if(!this.isOpen&&(void 0===(e=e||{}).focusMenu&&(e.focusMenu=!0),this.isOpen=!0,this.update(),this.focusGroup.activate(),e.focusMenu)){var t=this;this.moveFocusTimer=setTimeout((function(){t.focusItem(0)}),0)}},closeMenu:function(e){this.isOpen&&(e=e||{},this.isOpen=!1,this.update(),e.focusButton&&this.button.ref.current.focus())},toggleMenu:function(e,t){e=e||{},t=t||{},this.isOpen?this.closeMenu(e):this.openMenu(t)}};function s(){var e=this;e.blurTimer=setTimeout((function(){if(e.button){var t=e.button.ref.current;if(t){var n=t.ownerDocument.activeElement;if(!t||n!==t){var r=e.menu.ref.current;r!==n?r&&r.contains(n)||e.isOpen&&e.closeMenu({focusButton:!1}):e.focusItem(0)}}}}),0)}function l(e,t){this.options.closeOnSelection&&this.closeMenu({focusButton:!0}),this.options.onSelection&&this.options.onSelection(e,t)}function u(e){if(this.isOpen)switch(e.key){case"Escape":e.preventDefault(),this.closeMenu({focusButton:!0});break;case"Home":e.preventDefault(),this.focusGroup.moveFocusToFirst();break;case"End":e.preventDefault(),this.focusGroup.moveFocusToLast()}}e.exports=function(e){var t=Object.create(a);return t.init(e),t}},86820:e=>{"use strict";var t={},n="a menu outside a mounted Wrapper with an id, or a menu that does not exist";e.exports={registerManager:function(e,n){t[e]=n},unregisterManager:function(e){delete t[e]},openMenu:function(e,r){var i=t[e];if(!i)throw new Error("Cannot open "+n);i.openMenu(r)},closeMenu:function(e,r){var i=t[e];if(!i)throw new Error("Cannot close "+n);i.closeMenu(r)}}},6448:(e,t,n)=>{"use strict";var r=n(86820);e.exports={Wrapper:n(69428),Button:n(85242),Menu:n(3104),MenuItem:n(44480),openMenu:r.openMenu,closeMenu:r.closeMenu}},33229:(e,t,n)=>{"use strict";var r=n(13980);e.exports={refType:r.oneOfType([r.func,r.shape({current:r.elementType})])}},87216:e=>{"use strict";e.exports=function(e,t,n){for(var r in n=n||{},t)t.hasOwnProperty(r)&&(n[r]||(e[r]=t[r]))}},50730:(e,t,n)=>{"use strict";function r(){return r=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},r.apply(this,arguments)}function i(e){return i="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},i(e)}var o,a=(o=function(e,t){return o=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)t.hasOwnProperty(n)&&(e[n]=t[n])},o(e,t)},function(e,t){function n(){this.constructor=e}o(e,t),e.prototype=null===t?Object.create(t):(n.prototype=t.prototype,new n)});t.Rt=void 0;var s,l=n(2784),u="undefined"==typeof navigator||void 0!==n.g&&!0===n.g.PREVENT_CODEMIRROR_RENDER;u||(s=n(23326));var c=function(){function e(){}return e.equals=function(e,t){var n=this,r=Object.keys,o=i(e),a=i(t);return e&&t&&"object"===o&&o===a?r(e).length===r(t).length&&r(e).every((function(r){return n.equals(e[r],t[r])})):e===t},e}(),d=function(){function e(e,t){this.editor=e,this.props=t}return e.prototype.delegateCursor=function(e,t,n){var r=this.editor.getDoc();n&&this.editor.focus(),t?r.setCursor(e):r.setCursor(e,null,{scroll:!1})},e.prototype.delegateScroll=function(e){this.editor.scrollTo(e.x,e.y)},e.prototype.delegateSelection=function(e,t){this.editor.getDoc().setSelections(e),t&&this.editor.focus()},e.prototype.apply=function(e){e&&e.selection&&e.selection.ranges&&this.delegateSelection(e.selection.ranges,e.selection.focus||!1),e&&e.cursor&&this.delegateCursor(e.cursor,e.autoScroll||!1,this.editor.getOption("autofocus")||!1),e&&e.scroll&&this.delegateScroll(e.scroll)},e.prototype.applyNext=function(e,t,n){e&&e.selection&&e.selection.ranges&&t&&t.selection&&t.selection.ranges&&!c.equals(e.selection.ranges,t.selection.ranges)&&this.delegateSelection(t.selection.ranges,t.selection.focus||!1),e&&e.cursor&&t&&t.cursor&&!c.equals(e.cursor,t.cursor)&&this.delegateCursor(n.cursor||t.cursor,t.autoScroll||!1,t.autoCursor||!1),e&&e.scroll&&t&&t.scroll&&!c.equals(e.scroll,t.scroll)&&this.delegateScroll(t.scroll)},e.prototype.applyUserDefined=function(e,t){t&&t.cursor&&this.delegateCursor(t.cursor,e.autoScroll||!1,this.editor.getOption("autofocus")||!1)},e.prototype.wire=function(e){var t=this;Object.keys(e||{}).filter((function(e){return/^on/.test(e)})).forEach((function(e){switch(e){case"onBlur":t.editor.on("blur",(function(e,n){t.props.onBlur(t.editor,n)}));break;case"onContextMenu":t.editor.on("contextmenu",(function(e,n){t.props.onContextMenu(t.editor,n)}));break;case"onCopy":t.editor.on("copy",(function(e,n){t.props.onCopy(t.editor,n)}));break;case"onCursor":t.editor.on("cursorActivity",(function(e){t.props.onCursor(t.editor,t.editor.getDoc().getCursor())}));break;case"onCursorActivity":t.editor.on("cursorActivity",(function(e){t.props.onCursorActivity(t.editor)}));break;case"onCut":t.editor.on("cut",(function(e,n){t.props.onCut(t.editor,n)}));break;case"onDblClick":t.editor.on("dblclick",(function(e,n){t.props.onDblClick(t.editor,n)}));break;case"onDragEnter":t.editor.on("dragenter",(function(e,n){t.props.onDragEnter(t.editor,n)}));break;case"onDragLeave":t.editor.on("dragleave",(function(e,n){t.props.onDragLeave(t.editor,n)}));break;case"onDragOver":t.editor.on("dragover",(function(e,n){t.props.onDragOver(t.editor,n)}));break;case"onDragStart":t.editor.on("dragstart",(function(e,n){t.props.onDragStart(t.editor,n)}));break;case"onDrop":t.editor.on("drop",(function(e,n){t.props.onDrop(t.editor,n)}));break;case"onFocus":t.editor.on("focus",(function(e,n){t.props.onFocus(t.editor,n)}));break;case"onGutterClick":t.editor.on("gutterClick",(function(e,n,r,i){t.props.onGutterClick(t.editor,n,r,i)}));break;case"onInputRead":t.editor.on("inputRead",(function(e,n){t.props.onInputRead(t.editor,n)}));break;case"onKeyDown":t.editor.on("keydown",(function(e,n){t.props.onKeyDown(t.editor,n)}));break;case"onKeyHandled":t.editor.on("keyHandled",(function(e,n,r){t.props.onKeyHandled(t.editor,n,r)}));break;case"onKeyPress":t.editor.on("keypress",(function(e,n){t.props.onKeyPress(t.editor,n)}));break;case"onKeyUp":t.editor.on("keyup",(function(e,n){t.props.onKeyUp(t.editor,n)}));break;case"onMouseDown":t.editor.on("mousedown",(function(e,n){t.props.onMouseDown(t.editor,n)}));break;case"onPaste":t.editor.on("paste",(function(e,n){t.props.onPaste(t.editor,n)}));break;case"onRenderLine":t.editor.on("renderLine",(function(e,n,r){t.props.onRenderLine(t.editor,n,r)}));break;case"onScroll":t.editor.on("scroll",(function(e){t.props.onScroll(t.editor,t.editor.getScrollInfo())}));break;case"onSelection":t.editor.on("beforeSelectionChange",(function(e,n){t.props.onSelection(t.editor,n)}));break;case"onTouchStart":t.editor.on("touchstart",(function(e,n){t.props.onTouchStart(t.editor,n)}));break;case"onUpdate":t.editor.on("update",(function(e){t.props.onUpdate(t.editor)}));break;case"onViewportChange":t.editor.on("viewportChange",(function(e,n,r){t.props.onViewportChange(t.editor,n,r)}))}}))},e}();!function(e){function t(t){var n=e.call(this,t)||this;return u||(n.applied=!1,n.appliedNext=!1,n.appliedUserDefined=!1,n.deferred=null,n.emulating=!1,n.hydrated=!1,n.initCb=function(){n.props.editorDidConfigure&&n.props.editorDidConfigure(n.editor)},n.mounted=!1),n}a(t,e),t.prototype.hydrate=function(e){var t=this,n=e&&e.options?e.options:{},i=r({},s.defaults,this.editor.options,n);Object.keys(i).some((function(e){return t.editor.getOption(e)!==i[e]}))&&Object.keys(i).forEach((function(e){n.hasOwnProperty(e)&&t.editor.getOption(e)!==i[e]&&(t.editor.setOption(e,i[e]),t.mirror.setOption(e,i[e]))})),this.hydrated||(this.deferred?this.resolveChange(e.value):this.initChange(e.value||"")),this.hydrated=!0},t.prototype.initChange=function(e){this.emulating=!0;var t=this.editor.getDoc(),n=t.lastLine(),r=t.getLine(t.lastLine()).length;t.replaceRange(e||"",{line:0,ch:0},{line:n,ch:r}),this.mirror.setValue(e),t.clearHistory(),this.mirror.clearHistory(),this.emulating=!1},t.prototype.resolveChange=function(e){this.emulating=!0;var t=this.editor.getDoc();if("undo"===this.deferred.origin?t.undo():"redo"===this.deferred.origin?t.redo():t.replaceRange(this.deferred.text,this.deferred.from,this.deferred.to,this.deferred.origin),e&&e!==t.getValue()){var n=t.getCursor();t.setValue(e),t.setCursor(n)}this.emulating=!1,this.deferred=null},t.prototype.mirrorChange=function(e){var t=this.editor.getDoc();return"undo"===e.origin?(t.setHistory(this.mirror.getHistory()),this.mirror.undo()):"redo"===e.origin?(t.setHistory(this.mirror.getHistory()),this.mirror.redo()):this.mirror.replaceRange(e.text,e.from,e.to,e.origin),this.mirror.getValue()},t.prototype.componentDidMount=function(){var e=this;u||(this.props.defineMode&&this.props.defineMode.name&&this.props.defineMode.fn&&s.defineMode(this.props.defineMode.name,this.props.defineMode.fn),this.editor=s(this.ref,this.props.options),this.shared=new d(this.editor,this.props),this.mirror=s((function(){}),this.props.options),this.editor.on("electricInput",(function(){e.mirror.setHistory(e.editor.getDoc().getHistory())})),this.editor.on("cursorActivity",(function(){e.mirror.setCursor(e.editor.getDoc().getCursor())})),this.editor.on("beforeChange",(function(t,n){if(!e.emulating){n.cancel(),e.deferred=n;var r=e.mirrorChange(e.deferred);e.props.onBeforeChange&&e.props.onBeforeChange(e.editor,e.deferred,r)}})),this.editor.on("change",(function(t,n){e.mounted&&e.props.onChange&&e.props.onChange(e.editor,n,e.editor.getValue())})),this.hydrate(this.props),this.shared.apply(this.props),this.applied=!0,this.mounted=!0,this.shared.wire(this.props),this.editor.getOption("autofocus")&&this.editor.focus(),this.props.editorDidMount&&this.props.editorDidMount(this.editor,this.editor.getValue(),this.initCb))},t.prototype.componentDidUpdate=function(e){if(!u){var t={cursor:null};this.props.value!==e.value&&(this.hydrated=!1),this.props.autoCursor||void 0===this.props.autoCursor||(t.cursor=this.editor.getDoc().getCursor()),this.hydrate(this.props),this.appliedNext||(this.shared.applyNext(e,this.props,t),this.appliedNext=!0),this.shared.applyUserDefined(e,t),this.appliedUserDefined=!0}},t.prototype.componentWillUnmount=function(){u||this.props.editorWillUnmount&&this.props.editorWillUnmount(s)},t.prototype.shouldComponentUpdate=function(e,t){return!u},t.prototype.render=function(){var e=this;if(u)return null;var t=this.props.className?"react-codemirror2 "+this.props.className:"react-codemirror2";return l.createElement("div",{className:t,ref:function(t){return e.ref=t}})}}(l.Component);var p=function(e){function t(t){var n=e.call(this,t)||this;return u||(n.applied=!1,n.appliedUserDefined=!1,n.continueChange=!1,n.detached=!1,n.hydrated=!1,n.initCb=function(){n.props.editorDidConfigure&&n.props.editorDidConfigure(n.editor)},n.mounted=!1,n.onBeforeChangeCb=function(){n.continueChange=!0}),n}return a(t,e),t.prototype.hydrate=function(e){var t=this,n=e&&e.options?e.options:{},i=r({},s.defaults,this.editor.options,n);if(Object.keys(i).some((function(e){return t.editor.getOption(e)!==i[e]}))&&Object.keys(i).forEach((function(e){n.hasOwnProperty(e)&&t.editor.getOption(e)!==i[e]&&t.editor.setOption(e,i[e])})),!this.hydrated){var o=this.editor.getDoc(),a=o.lastLine(),l=o.getLine(o.lastLine()).length;o.replaceRange(e.value||"",{line:0,ch:0},{line:a,ch:l})}this.hydrated=!0},t.prototype.componentDidMount=function(){var e=this;u||(this.detached=!0===this.props.detach,this.props.defineMode&&this.props.defineMode.name&&this.props.defineMode.fn&&s.defineMode(this.props.defineMode.name,this.props.defineMode.fn),this.editor=s(this.ref,this.props.options),this.shared=new d(this.editor,this.props),this.editor.on("beforeChange",(function(t,n){e.props.onBeforeChange&&e.props.onBeforeChange(e.editor,n,e.editor.getValue(),e.onBeforeChangeCb)})),this.editor.on("change",(function(t,n){e.mounted&&e.props.onChange&&(e.props.onBeforeChange?e.continueChange&&e.props.onChange(e.editor,n,e.editor.getValue()):e.props.onChange(e.editor,n,e.editor.getValue()))})),this.hydrate(this.props),this.shared.apply(this.props),this.applied=!0,this.mounted=!0,this.shared.wire(this.props),this.editor.getDoc().clearHistory(),this.props.editorDidMount&&this.props.editorDidMount(this.editor,this.editor.getValue(),this.initCb))},t.prototype.componentDidUpdate=function(e){if(this.detached&&!1===this.props.detach&&(this.detached=!1,e.editorDidAttach&&e.editorDidAttach(this.editor)),this.detached||!0!==this.props.detach||(this.detached=!0,e.editorDidDetach&&e.editorDidDetach(this.editor)),!u&&!this.detached){var t={cursor:null};this.props.value!==e.value&&(this.hydrated=!1,this.applied=!1,this.appliedUserDefined=!1),e.autoCursor||void 0===e.autoCursor||(t.cursor=this.editor.getDoc().getCursor()),this.hydrate(this.props),this.applied||(this.shared.apply(e),this.applied=!0),this.appliedUserDefined||(this.shared.applyUserDefined(e,t),this.appliedUserDefined=!0)}},t.prototype.componentWillUnmount=function(){u||this.props.editorWillUnmount&&this.props.editorWillUnmount(s)},t.prototype.shouldComponentUpdate=function(e,t){var n=!0;return u&&(n=!1),this.detached&&e.detach&&(n=!1),n},t.prototype.render=function(){var e=this;if(u)return null;var t=this.props.className?"react-codemirror2 "+this.props.className:"react-codemirror2";return l.createElement("div",{className:t,ref:function(t){return e.ref=t}})},t}(l.Component);t.Rt=p},1350:(e,t,n)=>{"use strict";var r=n(2784);function i(e){for(var t="https://reactjs.org/docs/error-decoder.html?invariant="+e,n=1;n<arguments.length;n++)t+="&args[]="+encodeURIComponent(arguments[n]);return"Minified React error #"+e+"; visit "+t+" for the full message or use the non-minified dev environment for full errors and additional helpful warnings."}var o=Object.prototype.hasOwnProperty,a=/^[:A-Z_a-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD][:A-Z_a-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD\-.0-9\u00B7\u0300-\u036F\u203F-\u2040]*$/,s={},l={};function u(e){return!!o.call(l,e)||!o.call(s,e)&&(a.test(e)?l[e]=!0:(s[e]=!0,!1))}function c(e,t,n,r,i,o,a){this.acceptsBooleans=2===t||3===t||4===t,this.attributeName=r,this.attributeNamespace=i,this.mustUseProperty=n,this.propertyName=e,this.type=t,this.sanitizeURL=o,this.removeEmptyString=a}var d={};"children dangerouslySetInnerHTML defaultValue defaultChecked innerHTML suppressContentEditableWarning suppressHydrationWarning style".split(" ").forEach((function(e){d[e]=new c(e,0,!1,e,null,!1,!1)})),[["acceptCharset","accept-charset"],["className","class"],["htmlFor","for"],["httpEquiv","http-equiv"]].forEach((function(e){var t=e[0];d[t]=new c(t,1,!1,e[1],null,!1,!1)})),["contentEditable","draggable","spellCheck","value"].forEach((function(e){d[e]=new c(e,2,!1,e.toLowerCase(),null,!1,!1)})),["autoReverse","externalResourcesRequired","focusable","preserveAlpha"].forEach((function(e){d[e]=new c(e,2,!1,e,null,!1,!1)})),"allowFullScreen async autoFocus autoPlay controls default defer disabled disablePictureInPicture disableRemotePlayback formNoValidate hidden loop noModule noValidate open playsInline readOnly required reversed scoped seamless itemScope".split(" ").forEach((function(e){d[e]=new c(e,3,!1,e.toLowerCase(),null,!1,!1)})),["checked","multiple","muted","selected"].forEach((function(e){d[e]=new c(e,3,!0,e,null,!1,!1)})),["capture","download"].forEach((function(e){d[e]=new c(e,4,!1,e,null,!1,!1)})),["cols","rows","size","span"].forEach((function(e){d[e]=new c(e,6,!1,e,null,!1,!1)})),["rowSpan","start"].forEach((function(e){d[e]=new c(e,5,!1,e.toLowerCase(),null,!1,!1)}));var p=/[\-:]([a-z])/g;function f(e){return e[1].toUpperCase()}"accent-height alignment-baseline arabic-form baseline-shift cap-height clip-path clip-rule color-interpolation color-interpolation-filters color-profile color-rendering dominant-baseline enable-background fill-opacity fill-rule flood-color flood-opacity font-family font-size font-size-adjust font-stretch font-style font-variant font-weight glyph-name glyph-orientation-horizontal glyph-orientation-vertical horiz-adv-x horiz-origin-x image-rendering letter-spacing lighting-color marker-end marker-mid marker-start overline-position overline-thickness paint-order panose-1 pointer-events rendering-intent shape-rendering stop-color stop-opacity strikethrough-position strikethrough-thickness stroke-dasharray stroke-dashoffset stroke-linecap stroke-linejoin stroke-miterlimit stroke-opacity stroke-width text-anchor text-decoration text-rendering underline-position underline-thickness unicode-bidi unicode-range units-per-em v-alphabetic v-hanging v-ideographic v-mathematical vector-effect vert-adv-y vert-origin-x vert-origin-y word-spacing writing-mode xmlns:xlink x-height".split(" ").forEach((function(e){var t=e.replace(p,f);d[t]=new c(t,1,!1,e,null,!1,!1)})),"xlink:actuate xlink:arcrole xlink:role xlink:show xlink:title xlink:type".split(" ").forEach((function(e){var t=e.replace(p,f);d[t]=new c(t,1,!1,e,"http://www.w3.org/1999/xlink",!1,!1)})),["xml:base","xml:lang","xml:space"].forEach((function(e){var t=e.replace(p,f);d[t]=new c(t,1,!1,e,"http://www.w3.org/XML/1998/namespace",!1,!1)})),["tabIndex","crossOrigin"].forEach((function(e){d[e]=new c(e,1,!1,e.toLowerCase(),null,!1,!1)})),d.xlinkHref=new c("xlinkHref",1,!1,"xlink:href","http://www.w3.org/1999/xlink",!0,!1),["src","href","action","formAction"].forEach((function(e){d[e]=new c(e,1,!1,e.toLowerCase(),null,!0,!0)}));var h={animationIterationCount:!0,aspectRatio:!0,borderImageOutset:!0,borderImageSlice:!0,borderImageWidth:!0,boxFlex:!0,boxFlexGroup:!0,boxOrdinalGroup:!0,columnCount:!0,columns:!0,flex:!0,flexGrow:!0,flexPositive:!0,flexShrink:!0,flexNegative:!0,flexOrder:!0,gridArea:!0,gridRow:!0,gridRowEnd:!0,gridRowSpan:!0,gridRowStart:!0,gridColumn:!0,gridColumnEnd:!0,gridColumnSpan:!0,gridColumnStart:!0,fontWeight:!0,lineClamp:!0,lineHeight:!0,opacity:!0,order:!0,orphans:!0,tabSize:!0,widows:!0,zIndex:!0,zoom:!0,fillOpacity:!0,floodOpacity:!0,stopOpacity:!0,strokeDasharray:!0,strokeDashoffset:!0,strokeMiterlimit:!0,strokeOpacity:!0,strokeWidth:!0},m=["Webkit","ms","Moz","O"];Object.keys(h).forEach((function(e){m.forEach((function(t){t=t+e.charAt(0).toUpperCase()+e.substring(1),h[t]=h[e]}))}));var g=/["'&<>]/;function v(e){if("boolean"==typeof e||"number"==typeof e)return""+e;e=""+e;var t=g.exec(e);if(t){var n,r="",i=0;for(n=t.index;n<e.length;n++){switch(e.charCodeAt(n)){case 34:t="&quot;";break;case 38:t="&amp;";break;case 39:t="&#x27;";break;case 60:t="&lt;";break;case 62:t="&gt;";break;default:continue}i!==n&&(r+=e.substring(i,n)),i=n+1,r+=t}e=i!==n?r+e.substring(i,n):r}return e}var y=/([A-Z])/g,b=/^ms-/,w=Array.isArray;function _(e,t){return{insertionMode:e,selectedValue:t}}var x=new Map;function k(e,t,n){if("object"!=typeof n)throw Error(i(62));for(var r in t=!0,n)if(o.call(n,r)){var a=n[r];if(null!=a&&"boolean"!=typeof a&&""!==a){if(0===r.indexOf("--")){var s=v(r);a=v((""+a).trim())}else{s=r;var l=x.get(s);void 0!==l||(l=v(s.replace(y,"-$1").toLowerCase().replace(b,"-ms-")),x.set(s,l)),s=l,a="number"==typeof a?0===a||o.call(h,r)?""+a:a+"px":v((""+a).trim())}t?(t=!1,e.push(' style="',s,":",a)):e.push(";",s,":",a)}}t||e.push('"')}function E(e,t,n,r){switch(n){case"style":return void k(e,t,r);case"defaultValue":case"defaultChecked":case"innerHTML":case"suppressContentEditableWarning":case"suppressHydrationWarning":return}if(!(2<n.length)||"o"!==n[0]&&"O"!==n[0]||"n"!==n[1]&&"N"!==n[1])if(null!==(t=d.hasOwnProperty(n)?d[n]:null)){switch(typeof r){case"function":case"symbol":return;case"boolean":if(!t.acceptsBooleans)return}switch(n=t.attributeName,t.type){case 3:r&&e.push(" ",n,'=""');break;case 4:!0===r?e.push(" ",n,'=""'):!1!==r&&e.push(" ",n,'="',v(r),'"');break;case 5:isNaN(r)||e.push(" ",n,'="',v(r),'"');break;case 6:!isNaN(r)&&1<=r&&e.push(" ",n,'="',v(r),'"');break;default:t.sanitizeURL&&(r=""+r),e.push(" ",n,'="',v(r),'"')}}else if(u(n)){switch(typeof r){case"function":case"symbol":return;case"boolean":if("data-"!==(t=n.toLowerCase().slice(0,5))&&"aria-"!==t)return}e.push(" ",n,'="',v(r),'"')}}function C(e,t,n){if(null!=t){if(null!=n)throw Error(i(60));if("object"!=typeof t||!("__html"in t))throw Error(i(61));null!=(t=t.__html)&&e.push(""+t)}}function S(e,t,n,r){e.push(O(n));var i,a=n=null;for(i in t)if(o.call(t,i)){var s=t[i];if(null!=s)switch(i){case"children":n=s;break;case"dangerouslySetInnerHTML":a=s;break;default:E(e,r,i,s)}}return e.push(">"),C(e,a,n),"string"==typeof n?(e.push(v(n)),null):n}var A=/^[a-zA-Z][a-zA-Z:_\.\-\d]*$/,T=new Map;function O(e){var t=T.get(e);if(void 0===t){if(!A.test(e))throw Error(i(65,e));t="<"+e,T.set(e,t)}return t}function P(e,t,n){if(e.push('\x3c!--$?--\x3e<template id="'),null===n)throw Error(i(395));return e.push(n),e.push('"></template>')}var D=/[<\u2028\u2029]/g;function R(e){return JSON.stringify(e).replace(D,(function(e){switch(e){case"<":return"\\u003c";case"\u2028":return"\\u2028";case"\u2029":return"\\u2029";default:throw Error("escapeJSStringsForInstructionScripts encountered a match it does not know how to replace. this means the match regex and the replacement characters are no longer in sync. This is a bug in React")}}))}function I(e,t,n,r){return n.generateStaticMarkup?(e.push(v(t)),!1):(""===t?e=r:(r&&e.push("\x3c!-- --\x3e"),e.push(v(t)),e=!0),e)}var M=Object.assign,L=Symbol.for("react.element"),N=Symbol.for("react.portal"),j=Symbol.for("react.fragment"),F=Symbol.for("react.strict_mode"),B=Symbol.for("react.profiler"),z=Symbol.for("react.provider"),U=Symbol.for("react.context"),q=Symbol.for("react.forward_ref"),$=Symbol.for("react.suspense"),W=Symbol.for("react.suspense_list"),H=Symbol.for("react.memo"),V=Symbol.for("react.lazy"),G=Symbol.for("react.scope"),K=Symbol.for("react.debug_trace_mode"),Y=Symbol.for("react.legacy_hidden"),Z=Symbol.for("react.default_value"),X=Symbol.iterator;function Q(e){if(null==e)return null;if("function"==typeof e)return e.displayName||e.name||null;if("string"==typeof e)return e;switch(e){case j:return"Fragment";case N:return"Portal";case B:return"Profiler";case F:return"StrictMode";case $:return"Suspense";case W:return"SuspenseList"}if("object"==typeof e)switch(e.$$typeof){case U:return(e.displayName||"Context")+".Consumer";case z:return(e._context.displayName||"Context")+".Provider";case q:var t=e.render;return(e=e.displayName)||(e=""!==(e=t.displayName||t.name||"")?"ForwardRef("+e+")":"ForwardRef"),e;case H:return null!==(t=e.displayName||null)?t:Q(e.type)||"Memo";case V:t=e._payload,e=e._init;try{return Q(e(t))}catch(e){}}return null}var J={};function ee(e,t){if(!(e=e.contextTypes))return J;var n,r={};for(n in e)r[n]=t[n];return r}var te=null;function ne(e,t){if(e!==t){e.context._currentValue2=e.parentValue,e=e.parent;var n=t.parent;if(null===e){if(null!==n)throw Error(i(401))}else{if(null===n)throw Error(i(401));ne(e,n)}t.context._currentValue2=t.value}}function re(e){e.context._currentValue2=e.parentValue,null!==(e=e.parent)&&re(e)}function ie(e){var t=e.parent;null!==t&&ie(t),e.context._currentValue2=e.value}function oe(e,t){if(e.context._currentValue2=e.parentValue,null===(e=e.parent))throw Error(i(402));e.depth===t.depth?ne(e,t):oe(e,t)}function ae(e,t){var n=t.parent;if(null===n)throw Error(i(402));e.depth===n.depth?ne(e,n):ae(e,n),t.context._currentValue2=t.value}function se(e){var t=te;t!==e&&(null===t?ie(e):null===e?re(t):t.depth===e.depth?ne(t,e):t.depth>e.depth?oe(t,e):ae(t,e),te=e)}var le={isMounted:function(){return!1},enqueueSetState:function(e,t){null!==(e=e._reactInternals).queue&&e.queue.push(t)},enqueueReplaceState:function(e,t){(e=e._reactInternals).replace=!0,e.queue=[t]},enqueueForceUpdate:function(){}};function ue(e,t,n,r){var i=void 0!==e.state?e.state:null;e.updater=le,e.props=n,e.state=i;var o={queue:[],replace:!1};e._reactInternals=o;var a=t.contextType;if(e.context="object"==typeof a&&null!==a?a._currentValue2:r,"function"==typeof(a=t.getDerivedStateFromProps)&&(i=null==(a=a(n,i))?i:M({},i,a),e.state=i),"function"!=typeof t.getDerivedStateFromProps&&"function"!=typeof e.getSnapshotBeforeUpdate&&("function"==typeof e.UNSAFE_componentWillMount||"function"==typeof e.componentWillMount))if(t=e.state,"function"==typeof e.componentWillMount&&e.componentWillMount(),"function"==typeof e.UNSAFE_componentWillMount&&e.UNSAFE_componentWillMount(),t!==e.state&&le.enqueueReplaceState(e,e.state,null),null!==o.queue&&0<o.queue.length)if(t=o.queue,a=o.replace,o.queue=null,o.replace=!1,a&&1===t.length)e.state=t[0];else{for(o=a?t[0]:e.state,i=!0,a=a?1:0;a<t.length;a++){var s=t[a];null!=(s="function"==typeof s?s.call(e,o,n,r):s)&&(i?(i=!1,o=M({},o,s)):M(o,s))}e.state=o}else o.queue=null}var ce={id:1,overflow:""};function de(e,t,n){var r=e.id;e=e.overflow;var i=32-pe(r)-1;r&=~(1<<i),n+=1;var o=32-pe(t)+i;if(30<o){var a=i-i%5;return o=(r&(1<<a)-1).toString(32),r>>=a,i-=a,{id:1<<32-pe(t)+i|n<<i|r,overflow:o+e}}return{id:1<<o|n<<i|r,overflow:e}}var pe=Math.clz32?Math.clz32:function(e){return 0==(e>>>=0)?32:31-(fe(e)/he|0)|0},fe=Math.log,he=Math.LN2,me="function"==typeof Object.is?Object.is:function(e,t){return e===t&&(0!==e||1/e==1/t)||e!=e&&t!=t},ge=null,ve=null,ye=null,be=null,we=!1,_e=!1,xe=0,ke=null,Ee=0;function Ce(){if(null===ge)throw Error(i(321));return ge}function Se(){if(0<Ee)throw Error(i(312));return{memoizedState:null,queue:null,next:null}}function Ae(){return null===be?null===ye?(we=!1,ye=be=Se()):(we=!0,be=ye):null===be.next?(we=!1,be=be.next=Se()):(we=!0,be=be.next),be}function Te(){ve=ge=null,_e=!1,ye=null,Ee=0,be=ke=null}function Oe(e,t){return"function"==typeof t?t(e):t}function Pe(e,t,n){if(ge=Ce(),be=Ae(),we){var r=be.queue;if(t=r.dispatch,null!==ke&&void 0!==(n=ke.get(r))){ke.delete(r),r=be.memoizedState;do{r=e(r,n.action),n=n.next}while(null!==n);return be.memoizedState=r,[r,t]}return[be.memoizedState,t]}return e=e===Oe?"function"==typeof t?t():t:void 0!==n?n(t):t,be.memoizedState=e,e=(e=be.queue={last:null,dispatch:null}).dispatch=Re.bind(null,ge,e),[be.memoizedState,e]}function De(e,t){if(ge=Ce(),t=void 0===t?null:t,null!==(be=Ae())){var n=be.memoizedState;if(null!==n&&null!==t){var r=n[1];e:if(null===r)r=!1;else{for(var i=0;i<r.length&&i<t.length;i++)if(!me(t[i],r[i])){r=!1;break e}r=!0}if(r)return n[0]}}return e=e(),be.memoizedState=[e,t],e}function Re(e,t,n){if(25<=Ee)throw Error(i(301));if(e===ge)if(_e=!0,e={action:n,next:null},null===ke&&(ke=new Map),void 0===(n=ke.get(t)))ke.set(t,e);else{for(t=n;null!==t.next;)t=t.next;t.next=e}}function Ie(){throw Error(i(394))}function Me(){}var Le={readContext:function(e){return e._currentValue2},useContext:function(e){return Ce(),e._currentValue2},useMemo:De,useReducer:Pe,useRef:function(e){ge=Ce();var t=(be=Ae()).memoizedState;return null===t?(e={current:e},be.memoizedState=e):t},useState:function(e){return Pe(Oe,e)},useInsertionEffect:Me,useLayoutEffect:function(){},useCallback:function(e,t){return De((function(){return e}),t)},useImperativeHandle:Me,useEffect:Me,useDebugValue:Me,useDeferredValue:function(e){return Ce(),e},useTransition:function(){return Ce(),[!1,Ie]},useId:function(){var e=ve.treeContext,t=e.overflow;e=((e=e.id)&~(1<<32-pe(e)-1)).toString(32)+t;var n=Ne;if(null===n)throw Error(i(404));return t=xe++,e=":"+n.idPrefix+"R"+e,0<t&&(e+="H"+t.toString(32)),e+":"},useMutableSource:function(e,t){return Ce(),t(e._source)},useSyncExternalStore:function(e,t,n){if(void 0===n)throw Error(i(407));return n()}},Ne=null,je=r.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED.ReactCurrentDispatcher;function Fe(e){return console.error(e),null}function Be(){}function ze(e,t,n,r,i,o,a,s){e.allPendingTasks++,null===n?e.pendingRootTasks++:n.pendingTasks++;var l={node:t,ping:function(){var t=e.pingedTasks;t.push(l),1===t.length&&tt(e)},blockedBoundary:n,blockedSegment:r,abortSet:i,legacyContext:o,context:a,treeContext:s};return i.add(l),l}function Ue(e,t,n,r,i,o){return{status:0,id:-1,index:t,parentFlushed:!1,chunks:[],children:[],formatContext:r,boundary:n,lastPushedText:i,textEmbedded:o}}function qe(e,t){if(null!=(e=e.onError(t))&&"string"!=typeof e)throw Error('onError returned something with a type other than "string". onError should return a string and may return null or undefined but must not return anything else. It received something of type "'+typeof e+'" instead');return e}function $e(e,t){var n=e.onShellError;n(t),(n=e.onFatalError)(t),null!==e.destination?(e.status=2,e.destination.destroy(t)):(e.status=1,e.fatalError=t)}function We(e,t,n,r,i){for(ge={},ve=t,xe=0,e=n(r,i);_e;)_e=!1,xe=0,Ee+=1,be=null,e=n(r,i);return Te(),e}function He(e,t,n,r){var o=n.render(),a=r.childContextTypes;if(null!=a){var s=t.legacyContext;if("function"!=typeof n.getChildContext)r=s;else{for(var l in n=n.getChildContext())if(!(l in a))throw Error(i(108,Q(r)||"Unknown",l));r=M({},s,n)}t.legacyContext=r,Ke(e,t,o),t.legacyContext=s}else Ke(e,t,o)}function Ve(e,t){if(e&&e.defaultProps){for(var n in t=M({},t),e=e.defaultProps)void 0===t[n]&&(t[n]=e[n]);return t}return t}function Ge(e,t,n,a,s){if("function"==typeof n)if(n.prototype&&n.prototype.isReactComponent){s=ee(n,t.legacyContext);var l=n.contextType;ue(l=new n(a,"object"==typeof l&&null!==l?l._currentValue2:s),n,a,s),He(e,t,l,n)}else{s=We(e,t,n,a,l=ee(n,t.legacyContext));var c=0!==xe;if("object"==typeof s&&null!==s&&"function"==typeof s.render&&void 0===s.$$typeof)ue(s,n,a,l),He(e,t,s,n);else if(c){a=t.treeContext,t.treeContext=de(a,1,0);try{Ke(e,t,s)}finally{t.treeContext=a}}else Ke(e,t,s)}else{if("string"!=typeof n){switch(n){case Y:case K:case F:case B:case j:case W:return void Ke(e,t,a.children);case G:throw Error(i(343));case $:e:{n=t.blockedBoundary,s=t.blockedSegment,l=a.fallback,a=a.children;var d={id:null,rootSegmentID:-1,parentFlushed:!1,pendingTasks:0,forceClientRender:!1,completedSegments:[],byteSize:0,fallbackAbortableTasks:c=new Set,errorDigest:null},p=Ue(0,s.chunks.length,d,s.formatContext,!1,!1);s.children.push(p),s.lastPushedText=!1;var f=Ue(0,0,null,s.formatContext,!1,!1);f.parentFlushed=!0,t.blockedBoundary=d,t.blockedSegment=f;try{if(Ze(e,t,a),e.responseState.generateStaticMarkup||f.lastPushedText&&f.textEmbedded&&f.chunks.push("\x3c!-- --\x3e"),f.status=1,Je(d,f),0===d.pendingTasks)break e}catch(t){f.status=4,d.forceClientRender=!0,d.errorDigest=qe(e,t)}finally{t.blockedBoundary=n,t.blockedSegment=s}t=ze(e,l,n,p,c,t.legacyContext,t.context,t.treeContext),e.pingedTasks.push(t)}return}if("object"==typeof n&&null!==n)switch(n.$$typeof){case q:if(a=We(e,t,n.render,a,s),0!==xe){n=t.treeContext,t.treeContext=de(n,1,0);try{Ke(e,t,a)}finally{t.treeContext=n}}else Ke(e,t,a);return;case H:return void Ge(e,t,n=n.type,a=Ve(n,a),s);case z:if(s=a.children,n=n._context,a=a.value,l=n._currentValue2,n._currentValue2=a,te=a={parent:c=te,depth:null===c?0:c.depth+1,context:n,parentValue:l,value:a},t.context=a,Ke(e,t,s),null===(e=te))throw Error(i(403));return a=e.parentValue,e.context._currentValue2=a===Z?e.context._defaultValue:a,e=te=e.parent,void(t.context=e);case U:return void Ke(e,t,a=(a=a.children)(n._currentValue2));case V:return void Ge(e,t,n=(s=n._init)(n._payload),a=Ve(n,a),void 0)}throw Error(i(130,null==n?n:typeof n,""))}switch(l=function(e,t,n,a,s){switch(t){case"select":e.push(O("select"));var l=null,c=null;for(h in n)if(o.call(n,h)){var d=n[h];if(null!=d)switch(h){case"children":l=d;break;case"dangerouslySetInnerHTML":c=d;break;case"defaultValue":case"value":break;default:E(e,a,h,d)}}return e.push(">"),C(e,c,l),l;case"option":c=s.selectedValue,e.push(O("option"));var p=d=null,f=null,h=null;for(l in n)if(o.call(n,l)){var m=n[l];if(null!=m)switch(l){case"children":d=m;break;case"selected":f=m;break;case"dangerouslySetInnerHTML":h=m;break;case"value":p=m;default:E(e,a,l,m)}}if(null!=c)if(n=null!==p?""+p:function(e){var t="";return r.Children.forEach(e,(function(e){null!=e&&(t+=e)})),t}(d),w(c)){for(a=0;a<c.length;a++)if(""+c[a]===n){e.push(' selected=""');break}}else""+c===n&&e.push(' selected=""');else f&&e.push(' selected=""');return e.push(">"),C(e,h,d),d;case"textarea":for(d in e.push(O("textarea")),h=c=l=null,n)if(o.call(n,d)&&null!=(p=n[d]))switch(d){case"children":h=p;break;case"value":l=p;break;case"defaultValue":c=p;break;case"dangerouslySetInnerHTML":throw Error(i(91));default:E(e,a,d,p)}if(null===l&&null!==c&&(l=c),e.push(">"),null!=h){if(null!=l)throw Error(i(92));if(w(h)&&1<h.length)throw Error(i(93));l=""+h}return"string"==typeof l&&"\n"===l[0]&&e.push("\n"),null!==l&&e.push(v(""+l)),null;case"input":for(c in e.push(O("input")),p=h=d=l=null,n)if(o.call(n,c)&&null!=(f=n[c]))switch(c){case"children":case"dangerouslySetInnerHTML":throw Error(i(399,"input"));case"defaultChecked":p=f;break;case"defaultValue":d=f;break;case"checked":h=f;break;case"value":l=f;break;default:E(e,a,c,f)}return null!==h?E(e,a,"checked",h):null!==p&&E(e,a,"checked",p),null!==l?E(e,a,"value",l):null!==d&&E(e,a,"value",d),e.push("/>"),null;case"menuitem":for(var g in e.push(O("menuitem")),n)if(o.call(n,g)&&null!=(l=n[g]))switch(g){case"children":case"dangerouslySetInnerHTML":throw Error(i(400));default:E(e,a,g,l)}return e.push(">"),null;case"title":for(m in e.push(O("title")),l=null,n)if(o.call(n,m)&&null!=(c=n[m]))switch(m){case"children":l=c;break;case"dangerouslySetInnerHTML":throw Error(i(434));default:E(e,a,m,c)}return e.push(">"),l;case"listing":case"pre":for(p in e.push(O(t)),c=l=null,n)if(o.call(n,p)&&null!=(d=n[p]))switch(p){case"children":l=d;break;case"dangerouslySetInnerHTML":c=d;break;default:E(e,a,p,d)}if(e.push(">"),null!=c){if(null!=l)throw Error(i(60));if("object"!=typeof c||!("__html"in c))throw Error(i(61));null!=(n=c.__html)&&("string"==typeof n&&0<n.length&&"\n"===n[0]?e.push("\n",n):e.push(""+n))}return"string"==typeof l&&"\n"===l[0]&&e.push("\n"),l;case"area":case"base":case"br":case"col":case"embed":case"hr":case"img":case"keygen":case"link":case"meta":case"param":case"source":case"track":case"wbr":for(var y in e.push(O(t)),n)if(o.call(n,y)&&null!=(l=n[y]))switch(y){case"children":case"dangerouslySetInnerHTML":throw Error(i(399,t));default:E(e,a,y,l)}return e.push("/>"),null;case"annotation-xml":case"color-profile":case"font-face":case"font-face-src":case"font-face-uri":case"font-face-format":case"font-face-name":case"missing-glyph":return S(e,n,t,a);case"html":return 0===s.insertionMode&&e.push("<!DOCTYPE html>"),S(e,n,t,a);default:if(-1===t.indexOf("-")&&"string"!=typeof n.is)return S(e,n,t,a);for(f in e.push(O(t)),c=l=null,n)if(o.call(n,f)&&null!=(d=n[f]))switch(f){case"children":l=d;break;case"dangerouslySetInnerHTML":c=d;break;case"style":k(e,a,d);break;case"suppressContentEditableWarning":case"suppressHydrationWarning":break;default:u(f)&&"function"!=typeof d&&"symbol"!=typeof d&&e.push(" ",f,'="',v(d),'"')}return e.push(">"),C(e,c,l),l}}((s=t.blockedSegment).chunks,n,a,e.responseState,s.formatContext),s.lastPushedText=!1,c=s.formatContext,s.formatContext=function(e,t,n){switch(t){case"select":return _(1,null!=n.value?n.value:n.defaultValue);case"svg":return _(2,null);case"math":return _(3,null);case"foreignObject":return _(1,null);case"table":return _(4,null);case"thead":case"tbody":case"tfoot":return _(5,null);case"colgroup":return _(7,null);case"tr":return _(6,null)}return 4<=e.insertionMode||0===e.insertionMode?_(1,null):e}(c,n,a),Ze(e,t,l),s.formatContext=c,n){case"area":case"base":case"br":case"col":case"embed":case"hr":case"img":case"input":case"keygen":case"link":case"meta":case"param":case"source":case"track":case"wbr":break;default:s.chunks.push("</",n,">")}s.lastPushedText=!1}}function Ke(e,t,n){if(t.node=n,"object"==typeof n&&null!==n){switch(n.$$typeof){case L:return void Ge(e,t,n.type,n.props,n.ref);case N:throw Error(i(257));case V:var r=n._init;return void Ke(e,t,n=r(n._payload))}if(w(n))return void Ye(e,t,n);if((r=null===n||"object"!=typeof n?null:"function"==typeof(r=X&&n[X]||n["@@iterator"])?r:null)&&(r=r.call(n))){if(!(n=r.next()).done){var o=[];do{o.push(n.value),n=r.next()}while(!n.done);Ye(e,t,o)}return}throw e=Object.prototype.toString.call(n),Error(i(31,"[object Object]"===e?"object with keys {"+Object.keys(n).join(", ")+"}":e))}"string"==typeof n?(r=t.blockedSegment).lastPushedText=I(t.blockedSegment.chunks,n,e.responseState,r.lastPushedText):"number"==typeof n&&((r=t.blockedSegment).lastPushedText=I(t.blockedSegment.chunks,""+n,e.responseState,r.lastPushedText))}function Ye(e,t,n){for(var r=n.length,i=0;i<r;i++){var o=t.treeContext;t.treeContext=de(o,r,i);try{Ze(e,t,n[i])}finally{t.treeContext=o}}}function Ze(e,t,n){var r=t.blockedSegment.formatContext,i=t.legacyContext,o=t.context;try{return Ke(e,t,n)}catch(l){if(Te(),"object"!=typeof l||null===l||"function"!=typeof l.then)throw t.blockedSegment.formatContext=r,t.legacyContext=i,t.context=o,se(o),l;n=l;var a=t.blockedSegment,s=Ue(0,a.chunks.length,null,a.formatContext,a.lastPushedText,!0);a.children.push(s),a.lastPushedText=!1,e=ze(e,t.node,t.blockedBoundary,s,t.abortSet,t.legacyContext,t.context,t.treeContext).ping,n.then(e,e),t.blockedSegment.formatContext=r,t.legacyContext=i,t.context=o,se(o)}}function Xe(e){var t=e.blockedBoundary;(e=e.blockedSegment).status=3,et(this,t,e)}function Qe(e,t,n){var r=e.blockedBoundary;e.blockedSegment.status=3,null===r?(t.allPendingTasks--,2!==t.status&&(t.status=2,null!==t.destination&&t.destination.push(null))):(r.pendingTasks--,r.forceClientRender||(r.forceClientRender=!0,e=void 0===n?Error(i(432)):n,r.errorDigest=t.onError(e),r.parentFlushed&&t.clientRenderedBoundaries.push(r)),r.fallbackAbortableTasks.forEach((function(e){return Qe(e,t,n)})),r.fallbackAbortableTasks.clear(),t.allPendingTasks--,0===t.allPendingTasks&&(r=t.onAllReady)())}function Je(e,t){if(0===t.chunks.length&&1===t.children.length&&null===t.children[0].boundary){var n=t.children[0];n.id=t.id,n.parentFlushed=!0,1===n.status&&Je(e,n)}else e.completedSegments.push(t)}function et(e,t,n){if(null===t){if(n.parentFlushed){if(null!==e.completedRootSegment)throw Error(i(389));e.completedRootSegment=n}e.pendingRootTasks--,0===e.pendingRootTasks&&(e.onShellError=Be,(t=e.onShellReady)())}else t.pendingTasks--,t.forceClientRender||(0===t.pendingTasks?(n.parentFlushed&&1===n.status&&Je(t,n),t.parentFlushed&&e.completedBoundaries.push(t),t.fallbackAbortableTasks.forEach(Xe,e),t.fallbackAbortableTasks.clear()):n.parentFlushed&&1===n.status&&(Je(t,n),1===t.completedSegments.length&&t.parentFlushed&&e.partialBoundaries.push(t)));e.allPendingTasks--,0===e.allPendingTasks&&(e=e.onAllReady)()}function tt(e){if(2!==e.status){var t=te,n=je.current;je.current=Le;var r=Ne;Ne=e.responseState;try{var i,o=e.pingedTasks;for(i=0;i<o.length;i++){var a=o[i],s=e,l=a.blockedSegment;if(0===l.status){se(a.context);try{Ke(s,a,a.node),s.responseState.generateStaticMarkup||l.lastPushedText&&l.textEmbedded&&l.chunks.push("\x3c!-- --\x3e"),a.abortSet.delete(a),l.status=1,et(s,a.blockedBoundary,l)}catch(e){if(Te(),"object"==typeof e&&null!==e&&"function"==typeof e.then){var u=a.ping;e.then(u,u)}else{a.abortSet.delete(a),l.status=4;var c=a.blockedBoundary,d=e,p=qe(s,d);null===c?$e(s,d):(c.pendingTasks--,c.forceClientRender||(c.forceClientRender=!0,c.errorDigest=p,c.parentFlushed&&s.clientRenderedBoundaries.push(c))),s.allPendingTasks--,0===s.allPendingTasks&&(0,s.onAllReady)()}}}}o.splice(0,i),null!==e.destination&&st(e,e.destination)}catch(t){qe(e,t),$e(e,t)}finally{Ne=r,je.current=n,n===Le&&se(t)}}}function nt(e,t,n){switch(n.parentFlushed=!0,n.status){case 0:var r=n.id=e.nextSegmentId++;return n.lastPushedText=!1,n.textEmbedded=!1,e=e.responseState,t.push('<template id="'),t.push(e.placeholderPrefix),e=r.toString(16),t.push(e),t.push('"></template>');case 1:n.status=2;var o=!0;r=n.chunks;var a=0;n=n.children;for(var s=0;s<n.length;s++){for(o=n[s];a<o.index;a++)t.push(r[a]);o=rt(e,t,o)}for(;a<r.length-1;a++)t.push(r[a]);return a<r.length&&(o=t.push(r[a])),o;default:throw Error(i(390))}}function rt(e,t,n){var r=n.boundary;if(null===r)return nt(e,t,n);if(r.parentFlushed=!0,r.forceClientRender)return e.responseState.generateStaticMarkup||(r=r.errorDigest,t.push("\x3c!--$!--\x3e"),t.push("<template"),r&&(t.push(' data-dgst="'),r=v(r),t.push(r),t.push('"')),t.push("></template>")),nt(e,t,n),!!e.responseState.generateStaticMarkup||t.push("\x3c!--/$--\x3e");if(0<r.pendingTasks){r.rootSegmentID=e.nextSegmentId++,0<r.completedSegments.length&&e.partialBoundaries.push(r);var o=e.responseState,a=o.nextSuspenseID++;return o=o.boundaryPrefix+a.toString(16),r=r.id=o,P(t,e.responseState,r),nt(e,t,n),t.push("\x3c!--/$--\x3e")}if(r.byteSize>e.progressiveChunkSize)return r.rootSegmentID=e.nextSegmentId++,e.completedBoundaries.push(r),P(t,e.responseState,r.id),nt(e,t,n),t.push("\x3c!--/$--\x3e");if(e.responseState.generateStaticMarkup||t.push("\x3c!--$--\x3e"),1!==(n=r.completedSegments).length)throw Error(i(391));return rt(e,t,n[0]),!!e.responseState.generateStaticMarkup||t.push("\x3c!--/$--\x3e")}function it(e,t,n){return function(e,t,n,r){switch(n.insertionMode){case 0:case 1:return e.push('<div hidden id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');case 2:return e.push('<svg aria-hidden="true" style="display:none" id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');case 3:return e.push('<math aria-hidden="true" style="display:none" id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');case 4:return e.push('<table hidden id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');case 5:return e.push('<table hidden><tbody id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');case 6:return e.push('<table hidden><tr id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');case 7:return e.push('<table hidden><colgroup id="'),e.push(t.segmentPrefix),t=r.toString(16),e.push(t),e.push('">');default:throw Error(i(397))}}(t,e.responseState,n.formatContext,n.id),rt(e,t,n),function(e,t){switch(t.insertionMode){case 0:case 1:return e.push("</div>");case 2:return e.push("</svg>");case 3:return e.push("</math>");case 4:return e.push("</table>");case 5:return e.push("</tbody></table>");case 6:return e.push("</tr></table>");case 7:return e.push("</colgroup></table>");default:throw Error(i(397))}}(t,n.formatContext)}function ot(e,t,n){for(var r=n.completedSegments,o=0;o<r.length;o++)at(e,t,n,r[o]);if(r.length=0,e=e.responseState,r=n.id,n=n.rootSegmentID,t.push(e.startInlineScript),e.sentCompleteBoundaryFunction?t.push('$RC("'):(e.sentCompleteBoundaryFunction=!0,t.push('function $RC(a,b){a=document.getElementById(a);b=document.getElementById(b);b.parentNode.removeChild(b);if(a){a=a.previousSibling;var f=a.parentNode,c=a.nextSibling,e=0;do{if(c&&8===c.nodeType){var d=c.data;if("/$"===d)if(0===e)break;else e--;else"$"!==d&&"$?"!==d&&"$!"!==d||e++}d=c.nextSibling;f.removeChild(c);c=d}while(c);for(;b.firstChild;)f.insertBefore(b.firstChild,c);a.data="$";a._reactRetry&&a._reactRetry()}};$RC("')),null===r)throw Error(i(395));return n=n.toString(16),t.push(r),t.push('","'),t.push(e.segmentPrefix),t.push(n),t.push('")<\/script>')}function at(e,t,n,r){if(2===r.status)return!0;var o=r.id;if(-1===o){if(-1===(r.id=n.rootSegmentID))throw Error(i(392));return it(e,t,r)}return it(e,t,r),e=e.responseState,t.push(e.startInlineScript),e.sentCompleteSegmentFunction?t.push('$RS("'):(e.sentCompleteSegmentFunction=!0,t.push('function $RS(a,b){a=document.getElementById(a);b=document.getElementById(b);for(a.parentNode.removeChild(a);a.firstChild;)b.parentNode.insertBefore(a.firstChild,b);b.parentNode.removeChild(b)};$RS("')),t.push(e.segmentPrefix),o=o.toString(16),t.push(o),t.push('","'),t.push(e.placeholderPrefix),t.push(o),t.push('")<\/script>')}function st(e,t){try{var n=e.completedRootSegment;if(null!==n&&0===e.pendingRootTasks){rt(e,t,n),e.completedRootSegment=null;var r=e.responseState.bootstrapChunks;for(n=0;n<r.length-1;n++)t.push(r[n]);n<r.length&&t.push(r[n])}var o,a=e.clientRenderedBoundaries;for(o=0;o<a.length;o++){var s=a[o];r=t;var l=e.responseState,u=s.id,c=s.errorDigest,d=s.errorMessage,p=s.errorComponentStack;if(r.push(l.startInlineScript),l.sentClientRenderFunction?r.push('$RX("'):(l.sentClientRenderFunction=!0,r.push('function $RX(b,c,d,e){var a=document.getElementById(b);a&&(b=a.previousSibling,b.data="$!",a=a.dataset,c&&(a.dgst=c),d&&(a.msg=d),e&&(a.stck=e),b._reactRetry&&b._reactRetry())};$RX("')),null===u)throw Error(i(395));if(r.push(u),r.push('"'),c||d||p){r.push(",");var f=R(c||"");r.push(f)}if(d||p){r.push(",");var h=R(d||"");r.push(h)}if(p){r.push(",");var m=R(p);r.push(m)}if(!r.push(")<\/script>"))return e.destination=null,o++,void a.splice(0,o)}a.splice(0,o);var g=e.completedBoundaries;for(o=0;o<g.length;o++)if(!ot(e,t,g[o]))return e.destination=null,o++,void g.splice(0,o);g.splice(0,o);var v=e.partialBoundaries;for(o=0;o<v.length;o++){var y=v[o];e:{a=e,s=t;var b=y.completedSegments;for(l=0;l<b.length;l++)if(!at(a,s,y,b[l])){l++,b.splice(0,l);var w=!1;break e}b.splice(0,l),w=!0}if(!w)return e.destination=null,o++,void v.splice(0,o)}v.splice(0,o);var _=e.completedBoundaries;for(o=0;o<_.length;o++)if(!ot(e,t,_[o]))return e.destination=null,o++,void _.splice(0,o);_.splice(0,o)}finally{0===e.allPendingTasks&&0===e.pingedTasks.length&&0===e.clientRenderedBoundaries.length&&0===e.completedBoundaries.length&&t.push(null)}}function lt(e,t){try{var n=e.abortableTasks;n.forEach((function(n){return Qe(n,e,t)})),n.clear(),null!==e.destination&&st(e,e.destination)}catch(t){qe(e,t),$e(e,t)}}function ut(){}function ct(e,t,n,r){var o=!1,a=null,s="",l={push:function(e){return null!==e&&(s+=e),!0},destroy:function(e){o=!0,a=e}},u=!1;if(e=function(e,t,n,r,i,o,a,s,l){var u=[],c=new Set;return(n=Ue(t={destination:null,responseState:t,progressiveChunkSize:r,status:0,fatalError:null,nextSegmentId:0,allPendingTasks:0,pendingRootTasks:0,completedRootSegment:null,abortableTasks:c,pingedTasks:u,clientRenderedBoundaries:[],completedBoundaries:[],partialBoundaries:[],onError:void 0===i?Fe:i,onAllReady:Be,onShellReady:void 0===a?Be:a,onShellError:Be,onFatalError:Be},0,null,n,!1,!1)).parentFlushed=!0,e=ze(t,e,null,n,c,J,null,ce),u.push(e),t}(e,function(e,t){return{bootstrapChunks:[],startInlineScript:"<script>",placeholderPrefix:(t=void 0===t?"":t)+"P:",segmentPrefix:t+"S:",boundaryPrefix:t+"B:",idPrefix:t,nextSuspenseID:0,sentCompleteSegmentFunction:!1,sentCompleteBoundaryFunction:!1,sentClientRenderFunction:!1,generateStaticMarkup:e}}(n,t?t.identifierPrefix:void 0),{insertionMode:1,selectedValue:null},1/0,ut,0,(function(){u=!0})),tt(e),lt(e,r),1===e.status)e.status=2,l.destroy(e.fatalError);else if(2!==e.status&&null===e.destination){e.destination=l;try{st(e,l)}catch(t){qe(e,t),$e(e,t)}}if(o)throw a;if(!u)throw Error(i(426));return s}t.renderToNodeStream=function(){throw Error(i(207))},t.renderToStaticMarkup=function(e,t){return ct(e,t,!0,'The server used "renderToStaticMarkup" which does not support Suspense. If you intended to have the server wait for the suspended component please switch to "renderToReadableStream" which supports Suspense on the server')},t.renderToStaticNodeStream=function(){throw Error(i(208))},t.renderToString=function(e,t){return ct(e,t,!1,'The server used "renderToString" which does not support Suspense. If you intended for this Suspense boundary to render the fallback content on the server consider throwing an Error somewhere within the Suspense boundary. If you intended to have the server wait for the suspended component please switch to "renderToReadableStream" which supports Suspense on the server')},t.version="18.2.0"},1418:(e,t,n)=>{"use strict";var r=n(2784);function i(e){for(var t="https://reactjs.org/docs/error-decoder.html?invariant="+e,n=1;n<arguments.length;n++)t+="&args[]="+encodeURIComponent(arguments[n]);return"Minified React error #"+e+"; visit "+t+" for the full message or use the non-minified dev environment for full errors and additional helpful warnings."}var o=null,a=0;function s(e,t){if(0!==t.length)if(512<t.length)0<a&&(e.enqueue(new Uint8Array(o.buffer,0,a)),o=new Uint8Array(512),a=0),e.enqueue(t);else{var n=o.length-a;n<t.length&&(0===n?e.enqueue(o):(o.set(t.subarray(0,n),a),e.enqueue(o),t=t.subarray(n)),o=new Uint8Array(512),a=0),o.set(t,a),a+=t.length}}function l(e,t){return s(e,t),!0}function u(e){o&&0<a&&(e.enqueue(new Uint8Array(o.buffer,0,a)),o=null,a=0)}var c=new TextEncoder;function d(e){return c.encode(e)}function p(e){return c.encode(e)}function f(e,t){"function"==typeof e.error?e.error(t):e.close()}var h=Object.prototype.hasOwnProperty,m=/^[:A-Z_a-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD][:A-Z_a-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD\-.0-9\u00B7\u0300-\u036F\u203F-\u2040]*$/,g={},v={};function y(e){return!!h.call(v,e)||!h.call(g,e)&&(m.test(e)?v[e]=!0:(g[e]=!0,!1))}function b(e,t,n,r,i,o,a){this.acceptsBooleans=2===t||3===t||4===t,this.attributeName=r,this.attributeNamespace=i,this.mustUseProperty=n,this.propertyName=e,this.type=t,this.sanitizeURL=o,this.removeEmptyString=a}var w={};"children dangerouslySetInnerHTML defaultValue defaultChecked innerHTML suppressContentEditableWarning suppressHydrationWarning style".split(" ").forEach((function(e){w[e]=new b(e,0,!1,e,null,!1,!1)})),[["acceptCharset","accept-charset"],["className","class"],["htmlFor","for"],["httpEquiv","http-equiv"]].forEach((function(e){var t=e[0];w[t]=new b(t,1,!1,e[1],null,!1,!1)})),["contentEditable","draggable","spellCheck","value"].forEach((function(e){w[e]=new b(e,2,!1,e.toLowerCase(),null,!1,!1)})),["autoReverse","externalResourcesRequired","focusable","preserveAlpha"].forEach((function(e){w[e]=new b(e,2,!1,e,null,!1,!1)})),"allowFullScreen async autoFocus autoPlay controls default defer disabled disablePictureInPicture disableRemotePlayback formNoValidate hidden loop noModule noValidate open playsInline readOnly required reversed scoped seamless itemScope".split(" ").forEach((function(e){w[e]=new b(e,3,!1,e.toLowerCase(),null,!1,!1)})),["checked","multiple","muted","selected"].forEach((function(e){w[e]=new b(e,3,!0,e,null,!1,!1)})),["capture","download"].forEach((function(e){w[e]=new b(e,4,!1,e,null,!1,!1)})),["cols","rows","size","span"].forEach((function(e){w[e]=new b(e,6,!1,e,null,!1,!1)})),["rowSpan","start"].forEach((function(e){w[e]=new b(e,5,!1,e.toLowerCase(),null,!1,!1)}));var _=/[\-:]([a-z])/g;function x(e){return e[1].toUpperCase()}"accent-height alignment-baseline arabic-form baseline-shift cap-height clip-path clip-rule color-interpolation color-interpolation-filters color-profile color-rendering dominant-baseline enable-background fill-opacity fill-rule flood-color flood-opacity font-family font-size font-size-adjust font-stretch font-style font-variant font-weight glyph-name glyph-orientation-horizontal glyph-orientation-vertical horiz-adv-x horiz-origin-x image-rendering letter-spacing lighting-color marker-end marker-mid marker-start overline-position overline-thickness paint-order panose-1 pointer-events rendering-intent shape-rendering stop-color stop-opacity strikethrough-position strikethrough-thickness stroke-dasharray stroke-dashoffset stroke-linecap stroke-linejoin stroke-miterlimit stroke-opacity stroke-width text-anchor text-decoration text-rendering underline-position underline-thickness unicode-bidi unicode-range units-per-em v-alphabetic v-hanging v-ideographic v-mathematical vector-effect vert-adv-y vert-origin-x vert-origin-y word-spacing writing-mode xmlns:xlink x-height".split(" ").forEach((function(e){var t=e.replace(_,x);w[t]=new b(t,1,!1,e,null,!1,!1)})),"xlink:actuate xlink:arcrole xlink:role xlink:show xlink:title xlink:type".split(" ").forEach((function(e){var t=e.replace(_,x);w[t]=new b(t,1,!1,e,"http://www.w3.org/1999/xlink",!1,!1)})),["xml:base","xml:lang","xml:space"].forEach((function(e){var t=e.replace(_,x);w[t]=new b(t,1,!1,e,"http://www.w3.org/XML/1998/namespace",!1,!1)})),["tabIndex","crossOrigin"].forEach((function(e){w[e]=new b(e,1,!1,e.toLowerCase(),null,!1,!1)})),w.xlinkHref=new b("xlinkHref",1,!1,"xlink:href","http://www.w3.org/1999/xlink",!0,!1),["src","href","action","formAction"].forEach((function(e){w[e]=new b(e,1,!1,e.toLowerCase(),null,!0,!0)}));var k={animationIterationCount:!0,aspectRatio:!0,borderImageOutset:!0,borderImageSlice:!0,borderImageWidth:!0,boxFlex:!0,boxFlexGroup:!0,boxOrdinalGroup:!0,columnCount:!0,columns:!0,flex:!0,flexGrow:!0,flexPositive:!0,flexShrink:!0,flexNegative:!0,flexOrder:!0,gridArea:!0,gridRow:!0,gridRowEnd:!0,gridRowSpan:!0,gridRowStart:!0,gridColumn:!0,gridColumnEnd:!0,gridColumnSpan:!0,gridColumnStart:!0,fontWeight:!0,lineClamp:!0,lineHeight:!0,opacity:!0,order:!0,orphans:!0,tabSize:!0,widows:!0,zIndex:!0,zoom:!0,fillOpacity:!0,floodOpacity:!0,stopOpacity:!0,strokeDasharray:!0,strokeDashoffset:!0,strokeMiterlimit:!0,strokeOpacity:!0,strokeWidth:!0},E=["Webkit","ms","Moz","O"];Object.keys(k).forEach((function(e){E.forEach((function(t){t=t+e.charAt(0).toUpperCase()+e.substring(1),k[t]=k[e]}))}));var C=/["'&<>]/;function S(e){if("boolean"==typeof e||"number"==typeof e)return""+e;e=""+e;var t=C.exec(e);if(t){var n,r="",i=0;for(n=t.index;n<e.length;n++){switch(e.charCodeAt(n)){case 34:t="&quot;";break;case 38:t="&amp;";break;case 39:t="&#x27;";break;case 60:t="&lt;";break;case 62:t="&gt;";break;default:continue}i!==n&&(r+=e.substring(i,n)),i=n+1,r+=t}e=i!==n?r+e.substring(i,n):r}return e}var A=/([A-Z])/g,T=/^ms-/,O=Array.isArray,P=p("<script>"),D=p("<\/script>"),R=p('<script src="'),I=p('<script type="module" src="'),M=p('" async=""><\/script>'),L=/(<\/|<)(s)(cript)/gi;function N(e,t,n,r){return t+("s"===n?"\\u0073":"\\u0053")+r}function j(e,t){return{insertionMode:e,selectedValue:t}}var F=p("\x3c!-- --\x3e");function B(e,t,n,r){return""===t?r:(r&&e.push(F),e.push(d(S(t))),!0)}var z=new Map,U=p(' style="'),q=p(":"),$=p(";");function W(e,t,n){if("object"!=typeof n)throw Error(i(62));for(var r in t=!0,n)if(h.call(n,r)){var o=n[r];if(null!=o&&"boolean"!=typeof o&&""!==o){if(0===r.indexOf("--")){var a=d(S(r));o=d(S((""+o).trim()))}else{a=r;var s=z.get(a);void 0!==s||(s=p(S(a.replace(A,"-$1").toLowerCase().replace(T,"-ms-"))),z.set(a,s)),a=s,o="number"==typeof o?0===o||h.call(k,r)?d(""+o):d(o+"px"):d(S((""+o).trim()))}t?(t=!1,e.push(U,a,q,o)):e.push($,a,q,o)}}t||e.push(G)}var H=p(" "),V=p('="'),G=p('"'),K=p('=""');function Y(e,t,n,r){switch(n){case"style":return void W(e,t,r);case"defaultValue":case"defaultChecked":case"innerHTML":case"suppressContentEditableWarning":case"suppressHydrationWarning":return}if(!(2<n.length)||"o"!==n[0]&&"O"!==n[0]||"n"!==n[1]&&"N"!==n[1])if(null!==(t=w.hasOwnProperty(n)?w[n]:null)){switch(typeof r){case"function":case"symbol":return;case"boolean":if(!t.acceptsBooleans)return}switch(n=d(t.attributeName),t.type){case 3:r&&e.push(H,n,K);break;case 4:!0===r?e.push(H,n,K):!1!==r&&e.push(H,n,V,d(S(r)),G);break;case 5:isNaN(r)||e.push(H,n,V,d(S(r)),G);break;case 6:!isNaN(r)&&1<=r&&e.push(H,n,V,d(S(r)),G);break;default:t.sanitizeURL&&(r=""+r),e.push(H,n,V,d(S(r)),G)}}else if(y(n)){switch(typeof r){case"function":case"symbol":return;case"boolean":if("data-"!==(t=n.toLowerCase().slice(0,5))&&"aria-"!==t)return}e.push(H,d(n),V,d(S(r)),G)}}var Z=p(">"),X=p("/>");function Q(e,t,n){if(null!=t){if(null!=n)throw Error(i(60));if("object"!=typeof t||!("__html"in t))throw Error(i(61));null!=(t=t.__html)&&e.push(d(""+t))}}var J=p(' selected=""');function ee(e,t,n,r){e.push(ie(n));var i,o=n=null;for(i in t)if(h.call(t,i)){var a=t[i];if(null!=a)switch(i){case"children":n=a;break;case"dangerouslySetInnerHTML":o=a;break;default:Y(e,r,i,a)}}return e.push(Z),Q(e,o,n),"string"==typeof n?(e.push(d(S(n))),null):n}var te=p("\n"),ne=/^[a-zA-Z][a-zA-Z:_\.\-\d]*$/,re=new Map;function ie(e){var t=re.get(e);if(void 0===t){if(!ne.test(e))throw Error(i(65,e));t=p("<"+e),re.set(e,t)}return t}var oe=p("<!DOCTYPE html>");var ae=p("</"),se=p(">"),le=p('<template id="'),ue=p('"></template>'),ce=p("\x3c!--$--\x3e"),de=p('\x3c!--$?--\x3e<template id="'),pe=p('"></template>'),fe=p("\x3c!--$!--\x3e"),he=p("\x3c!--/$--\x3e"),me=p("<template"),ge=p('"'),ve=p(' data-dgst="');p(' data-msg="'),p(' data-stck="');var ye=p("></template>");function be(e,t,n){if(s(e,de),null===n)throw Error(i(395));return s(e,n),l(e,pe)}var we=p('<div hidden id="'),_e=p('">'),xe=p("</div>"),ke=p('<svg aria-hidden="true" style="display:none" id="'),Ee=p('">'),Ce=p("</svg>"),Se=p('<math aria-hidden="true" style="display:none" id="'),Ae=p('">'),Te=p("</math>"),Oe=p('<table hidden id="'),Pe=p('">'),De=p("</table>"),Re=p('<table hidden><tbody id="'),Ie=p('">'),Me=p("</tbody></table>"),Le=p('<table hidden><tr id="'),Ne=p('">'),je=p("</tr></table>"),Fe=p('<table hidden><colgroup id="'),Be=p('">'),ze=p("</colgroup></table>"),Ue=p('function $RS(a,b){a=document.getElementById(a);b=document.getElementById(b);for(a.parentNode.removeChild(a);a.firstChild;)b.parentNode.insertBefore(a.firstChild,b);b.parentNode.removeChild(b)};$RS("'),qe=p('$RS("'),$e=p('","'),We=p('")<\/script>'),He=p('function $RC(a,b){a=document.getElementById(a);b=document.getElementById(b);b.parentNode.removeChild(b);if(a){a=a.previousSibling;var f=a.parentNode,c=a.nextSibling,e=0;do{if(c&&8===c.nodeType){var d=c.data;if("/$"===d)if(0===e)break;else e--;else"$"!==d&&"$?"!==d&&"$!"!==d||e++}d=c.nextSibling;f.removeChild(c);c=d}while(c);for(;b.firstChild;)f.insertBefore(b.firstChild,c);a.data="$";a._reactRetry&&a._reactRetry()}};$RC("'),Ve=p('$RC("'),Ge=p('","'),Ke=p('")<\/script>'),Ye=p('function $RX(b,c,d,e){var a=document.getElementById(b);a&&(b=a.previousSibling,b.data="$!",a=a.dataset,c&&(a.dgst=c),d&&(a.msg=d),e&&(a.stck=e),b._reactRetry&&b._reactRetry())};$RX("'),Ze=p('$RX("'),Xe=p('"'),Qe=p(")<\/script>"),Je=p(","),et=/[<\u2028\u2029]/g;function tt(e){return JSON.stringify(e).replace(et,(function(e){switch(e){case"<":return"\\u003c";case"\u2028":return"\\u2028";case"\u2029":return"\\u2029";default:throw Error("escapeJSStringsForInstructionScripts encountered a match it does not know how to replace. this means the match regex and the replacement characters are no longer in sync. This is a bug in React")}}))}var nt=Object.assign,rt=Symbol.for("react.element"),it=Symbol.for("react.portal"),ot=Symbol.for("react.fragment"),at=Symbol.for("react.strict_mode"),st=Symbol.for("react.profiler"),lt=Symbol.for("react.provider"),ut=Symbol.for("react.context"),ct=Symbol.for("react.forward_ref"),dt=Symbol.for("react.suspense"),pt=Symbol.for("react.suspense_list"),ft=Symbol.for("react.memo"),ht=Symbol.for("react.lazy"),mt=Symbol.for("react.scope"),gt=Symbol.for("react.debug_trace_mode"),vt=Symbol.for("react.legacy_hidden"),yt=Symbol.for("react.default_value"),bt=Symbol.iterator;function wt(e){if(null==e)return null;if("function"==typeof e)return e.displayName||e.name||null;if("string"==typeof e)return e;switch(e){case ot:return"Fragment";case it:return"Portal";case st:return"Profiler";case at:return"StrictMode";case dt:return"Suspense";case pt:return"SuspenseList"}if("object"==typeof e)switch(e.$$typeof){case ut:return(e.displayName||"Context")+".Consumer";case lt:return(e._context.displayName||"Context")+".Provider";case ct:var t=e.render;return(e=e.displayName)||(e=""!==(e=t.displayName||t.name||"")?"ForwardRef("+e+")":"ForwardRef"),e;case ft:return null!==(t=e.displayName||null)?t:wt(e.type)||"Memo";case ht:t=e._payload,e=e._init;try{return wt(e(t))}catch(e){}}return null}var _t={};function xt(e,t){if(!(e=e.contextTypes))return _t;var n,r={};for(n in e)r[n]=t[n];return r}var kt=null;function Et(e,t){if(e!==t){e.context._currentValue=e.parentValue,e=e.parent;var n=t.parent;if(null===e){if(null!==n)throw Error(i(401))}else{if(null===n)throw Error(i(401));Et(e,n)}t.context._currentValue=t.value}}function Ct(e){e.context._currentValue=e.parentValue,null!==(e=e.parent)&&Ct(e)}function St(e){var t=e.parent;null!==t&&St(t),e.context._currentValue=e.value}function At(e,t){if(e.context._currentValue=e.parentValue,null===(e=e.parent))throw Error(i(402));e.depth===t.depth?Et(e,t):At(e,t)}function Tt(e,t){var n=t.parent;if(null===n)throw Error(i(402));e.depth===n.depth?Et(e,n):Tt(e,n),t.context._currentValue=t.value}function Ot(e){var t=kt;t!==e&&(null===t?St(e):null===e?Ct(t):t.depth===e.depth?Et(t,e):t.depth>e.depth?At(t,e):Tt(t,e),kt=e)}var Pt={isMounted:function(){return!1},enqueueSetState:function(e,t){null!==(e=e._reactInternals).queue&&e.queue.push(t)},enqueueReplaceState:function(e,t){(e=e._reactInternals).replace=!0,e.queue=[t]},enqueueForceUpdate:function(){}};function Dt(e,t,n,r){var i=void 0!==e.state?e.state:null;e.updater=Pt,e.props=n,e.state=i;var o={queue:[],replace:!1};e._reactInternals=o;var a=t.contextType;if(e.context="object"==typeof a&&null!==a?a._currentValue:r,"function"==typeof(a=t.getDerivedStateFromProps)&&(i=null==(a=a(n,i))?i:nt({},i,a),e.state=i),"function"!=typeof t.getDerivedStateFromProps&&"function"!=typeof e.getSnapshotBeforeUpdate&&("function"==typeof e.UNSAFE_componentWillMount||"function"==typeof e.componentWillMount))if(t=e.state,"function"==typeof e.componentWillMount&&e.componentWillMount(),"function"==typeof e.UNSAFE_componentWillMount&&e.UNSAFE_componentWillMount(),t!==e.state&&Pt.enqueueReplaceState(e,e.state,null),null!==o.queue&&0<o.queue.length)if(t=o.queue,a=o.replace,o.queue=null,o.replace=!1,a&&1===t.length)e.state=t[0];else{for(o=a?t[0]:e.state,i=!0,a=a?1:0;a<t.length;a++){var s=t[a];null!=(s="function"==typeof s?s.call(e,o,n,r):s)&&(i?(i=!1,o=nt({},o,s)):nt(o,s))}e.state=o}else o.queue=null}var Rt={id:1,overflow:""};function It(e,t,n){var r=e.id;e=e.overflow;var i=32-Mt(r)-1;r&=~(1<<i),n+=1;var o=32-Mt(t)+i;if(30<o){var a=i-i%5;return o=(r&(1<<a)-1).toString(32),r>>=a,i-=a,{id:1<<32-Mt(t)+i|n<<i|r,overflow:o+e}}return{id:1<<o|n<<i|r,overflow:e}}var Mt=Math.clz32?Math.clz32:function(e){return 0==(e>>>=0)?32:31-(Lt(e)/Nt|0)|0},Lt=Math.log,Nt=Math.LN2,jt="function"==typeof Object.is?Object.is:function(e,t){return e===t&&(0!==e||1/e==1/t)||e!=e&&t!=t},Ft=null,Bt=null,zt=null,Ut=null,qt=!1,$t=!1,Wt=0,Ht=null,Vt=0;function Gt(){if(null===Ft)throw Error(i(321));return Ft}function Kt(){if(0<Vt)throw Error(i(312));return{memoizedState:null,queue:null,next:null}}function Yt(){return null===Ut?null===zt?(qt=!1,zt=Ut=Kt()):(qt=!0,Ut=zt):null===Ut.next?(qt=!1,Ut=Ut.next=Kt()):(qt=!0,Ut=Ut.next),Ut}function Zt(){Bt=Ft=null,$t=!1,zt=null,Vt=0,Ut=Ht=null}function Xt(e,t){return"function"==typeof t?t(e):t}function Qt(e,t,n){if(Ft=Gt(),Ut=Yt(),qt){var r=Ut.queue;if(t=r.dispatch,null!==Ht&&void 0!==(n=Ht.get(r))){Ht.delete(r),r=Ut.memoizedState;do{r=e(r,n.action),n=n.next}while(null!==n);return Ut.memoizedState=r,[r,t]}return[Ut.memoizedState,t]}return e=e===Xt?"function"==typeof t?t():t:void 0!==n?n(t):t,Ut.memoizedState=e,e=(e=Ut.queue={last:null,dispatch:null}).dispatch=en.bind(null,Ft,e),[Ut.memoizedState,e]}function Jt(e,t){if(Ft=Gt(),t=void 0===t?null:t,null!==(Ut=Yt())){var n=Ut.memoizedState;if(null!==n&&null!==t){var r=n[1];e:if(null===r)r=!1;else{for(var i=0;i<r.length&&i<t.length;i++)if(!jt(t[i],r[i])){r=!1;break e}r=!0}if(r)return n[0]}}return e=e(),Ut.memoizedState=[e,t],e}function en(e,t,n){if(25<=Vt)throw Error(i(301));if(e===Ft)if($t=!0,e={action:n,next:null},null===Ht&&(Ht=new Map),void 0===(n=Ht.get(t)))Ht.set(t,e);else{for(t=n;null!==t.next;)t=t.next;t.next=e}}function tn(){throw Error(i(394))}function nn(){}var rn={readContext:function(e){return e._currentValue},useContext:function(e){return Gt(),e._currentValue},useMemo:Jt,useReducer:Qt,useRef:function(e){Ft=Gt();var t=(Ut=Yt()).memoizedState;return null===t?(e={current:e},Ut.memoizedState=e):t},useState:function(e){return Qt(Xt,e)},useInsertionEffect:nn,useLayoutEffect:function(){},useCallback:function(e,t){return Jt((function(){return e}),t)},useImperativeHandle:nn,useEffect:nn,useDebugValue:nn,useDeferredValue:function(e){return Gt(),e},useTransition:function(){return Gt(),[!1,tn]},useId:function(){var e=Bt.treeContext,t=e.overflow;e=((e=e.id)&~(1<<32-Mt(e)-1)).toString(32)+t;var n=on;if(null===n)throw Error(i(404));return t=Wt++,e=":"+n.idPrefix+"R"+e,0<t&&(e+="H"+t.toString(32)),e+":"},useMutableSource:function(e,t){return Gt(),t(e._source)},useSyncExternalStore:function(e,t,n){if(void 0===n)throw Error(i(407));return n()}},on=null,an=r.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED.ReactCurrentDispatcher;function sn(e){return console.error(e),null}function ln(){}function un(e,t,n,r,i,o,a,s){e.allPendingTasks++,null===n?e.pendingRootTasks++:n.pendingTasks++;var l={node:t,ping:function(){var t=e.pingedTasks;t.push(l),1===t.length&&En(e)},blockedBoundary:n,blockedSegment:r,abortSet:i,legacyContext:o,context:a,treeContext:s};return i.add(l),l}function cn(e,t,n,r,i,o){return{status:0,id:-1,index:t,parentFlushed:!1,chunks:[],children:[],formatContext:r,boundary:n,lastPushedText:i,textEmbedded:o}}function dn(e,t){if(null!=(e=e.onError(t))&&"string"!=typeof e)throw Error('onError returned something with a type other than "string". onError should return a string and may return null or undefined but must not return anything else. It received something of type "'+typeof e+'" instead');return e}function pn(e,t){var n=e.onShellError;n(t),(n=e.onFatalError)(t),null!==e.destination?(e.status=2,f(e.destination,t)):(e.status=1,e.fatalError=t)}function fn(e,t,n,r,i){for(Ft={},Bt=t,Wt=0,e=n(r,i);$t;)$t=!1,Wt=0,Vt+=1,Ut=null,e=n(r,i);return Zt(),e}function hn(e,t,n,r){var o=n.render(),a=r.childContextTypes;if(null!=a){var s=t.legacyContext;if("function"!=typeof n.getChildContext)r=s;else{for(var l in n=n.getChildContext())if(!(l in a))throw Error(i(108,wt(r)||"Unknown",l));r=nt({},s,n)}t.legacyContext=r,vn(e,t,o),t.legacyContext=s}else vn(e,t,o)}function mn(e,t){if(e&&e.defaultProps){for(var n in t=nt({},t),e=e.defaultProps)void 0===t[n]&&(t[n]=e[n]);return t}return t}function gn(e,t,n,o,a){if("function"==typeof n)if(n.prototype&&n.prototype.isReactComponent){a=xt(n,t.legacyContext);var s=n.contextType;Dt(s=new n(o,"object"==typeof s&&null!==s?s._currentValue:a),n,o,a),hn(e,t,s,n)}else{a=fn(e,t,n,o,s=xt(n,t.legacyContext));var l=0!==Wt;if("object"==typeof a&&null!==a&&"function"==typeof a.render&&void 0===a.$$typeof)Dt(a,n,o,s),hn(e,t,a,n);else if(l){o=t.treeContext,t.treeContext=It(o,1,0);try{vn(e,t,a)}finally{t.treeContext=o}}else vn(e,t,a)}else{if("string"!=typeof n){switch(n){case vt:case gt:case at:case st:case ot:case pt:return void vn(e,t,o.children);case mt:throw Error(i(343));case dt:e:{n=t.blockedBoundary,a=t.blockedSegment,s=o.fallback,o=o.children;var u={id:null,rootSegmentID:-1,parentFlushed:!1,pendingTasks:0,forceClientRender:!1,completedSegments:[],byteSize:0,fallbackAbortableTasks:l=new Set,errorDigest:null},c=cn(0,a.chunks.length,u,a.formatContext,!1,!1);a.children.push(c),a.lastPushedText=!1;var p=cn(0,0,null,a.formatContext,!1,!1);p.parentFlushed=!0,t.blockedBoundary=u,t.blockedSegment=p;try{if(bn(e,t,o),p.lastPushedText&&p.textEmbedded&&p.chunks.push(F),p.status=1,xn(u,p),0===u.pendingTasks)break e}catch(t){p.status=4,u.forceClientRender=!0,u.errorDigest=dn(e,t)}finally{t.blockedBoundary=n,t.blockedSegment=a}t=un(e,s,n,c,l,t.legacyContext,t.context,t.treeContext),e.pingedTasks.push(t)}return}if("object"==typeof n&&null!==n)switch(n.$$typeof){case ct:if(o=fn(e,t,n.render,o,a),0!==Wt){n=t.treeContext,t.treeContext=It(n,1,0);try{vn(e,t,o)}finally{t.treeContext=n}}else vn(e,t,o);return;case ft:return void gn(e,t,n=n.type,o=mn(n,o),a);case lt:if(a=o.children,n=n._context,o=o.value,s=n._currentValue,n._currentValue=o,kt=o={parent:l=kt,depth:null===l?0:l.depth+1,context:n,parentValue:s,value:o},t.context=o,vn(e,t,a),null===(e=kt))throw Error(i(403));return o=e.parentValue,e.context._currentValue=o===yt?e.context._defaultValue:o,e=kt=e.parent,void(t.context=e);case ut:return void vn(e,t,o=(o=o.children)(n._currentValue));case ht:return void gn(e,t,n=(a=n._init)(n._payload),o=mn(n,o),void 0)}throw Error(i(130,null==n?n:typeof n,""))}switch(s=function(e,t,n,o,a){switch(t){case"select":e.push(ie("select"));var s=null,l=null;for(f in n)if(h.call(n,f)){var u=n[f];if(null!=u)switch(f){case"children":s=u;break;case"dangerouslySetInnerHTML":l=u;break;case"defaultValue":case"value":break;default:Y(e,o,f,u)}}return e.push(Z),Q(e,l,s),s;case"option":l=a.selectedValue,e.push(ie("option"));var c=u=null,p=null,f=null;for(s in n)if(h.call(n,s)){var m=n[s];if(null!=m)switch(s){case"children":u=m;break;case"selected":p=m;break;case"dangerouslySetInnerHTML":f=m;break;case"value":c=m;default:Y(e,o,s,m)}}if(null!=l)if(n=null!==c?""+c:function(e){var t="";return r.Children.forEach(e,(function(e){null!=e&&(t+=e)})),t}(u),O(l)){for(o=0;o<l.length;o++)if(""+l[o]===n){e.push(J);break}}else""+l===n&&e.push(J);else p&&e.push(J);return e.push(Z),Q(e,f,u),u;case"textarea":for(u in e.push(ie("textarea")),f=l=s=null,n)if(h.call(n,u)&&null!=(c=n[u]))switch(u){case"children":f=c;break;case"value":s=c;break;case"defaultValue":l=c;break;case"dangerouslySetInnerHTML":throw Error(i(91));default:Y(e,o,u,c)}if(null===s&&null!==l&&(s=l),e.push(Z),null!=f){if(null!=s)throw Error(i(92));if(O(f)&&1<f.length)throw Error(i(93));s=""+f}return"string"==typeof s&&"\n"===s[0]&&e.push(te),null!==s&&e.push(d(S(""+s))),null;case"input":for(l in e.push(ie("input")),c=f=u=s=null,n)if(h.call(n,l)&&null!=(p=n[l]))switch(l){case"children":case"dangerouslySetInnerHTML":throw Error(i(399,"input"));case"defaultChecked":c=p;break;case"defaultValue":u=p;break;case"checked":f=p;break;case"value":s=p;break;default:Y(e,o,l,p)}return null!==f?Y(e,o,"checked",f):null!==c&&Y(e,o,"checked",c),null!==s?Y(e,o,"value",s):null!==u&&Y(e,o,"value",u),e.push(X),null;case"menuitem":for(var g in e.push(ie("menuitem")),n)if(h.call(n,g)&&null!=(s=n[g]))switch(g){case"children":case"dangerouslySetInnerHTML":throw Error(i(400));default:Y(e,o,g,s)}return e.push(Z),null;case"title":for(m in e.push(ie("title")),s=null,n)if(h.call(n,m)&&null!=(l=n[m]))switch(m){case"children":s=l;break;case"dangerouslySetInnerHTML":throw Error(i(434));default:Y(e,o,m,l)}return e.push(Z),s;case"listing":case"pre":for(c in e.push(ie(t)),l=s=null,n)if(h.call(n,c)&&null!=(u=n[c]))switch(c){case"children":s=u;break;case"dangerouslySetInnerHTML":l=u;break;default:Y(e,o,c,u)}if(e.push(Z),null!=l){if(null!=s)throw Error(i(60));if("object"!=typeof l||!("__html"in l))throw Error(i(61));null!=(n=l.__html)&&("string"==typeof n&&0<n.length&&"\n"===n[0]?e.push(te,d(n)):e.push(d(""+n)))}return"string"==typeof s&&"\n"===s[0]&&e.push(te),s;case"area":case"base":case"br":case"col":case"embed":case"hr":case"img":case"keygen":case"link":case"meta":case"param":case"source":case"track":case"wbr":for(var v in e.push(ie(t)),n)if(h.call(n,v)&&null!=(s=n[v]))switch(v){case"children":case"dangerouslySetInnerHTML":throw Error(i(399,t));default:Y(e,o,v,s)}return e.push(X),null;case"annotation-xml":case"color-profile":case"font-face":case"font-face-src":case"font-face-uri":case"font-face-format":case"font-face-name":case"missing-glyph":return ee(e,n,t,o);case"html":return 0===a.insertionMode&&e.push(oe),ee(e,n,t,o);default:if(-1===t.indexOf("-")&&"string"!=typeof n.is)return ee(e,n,t,o);for(p in e.push(ie(t)),l=s=null,n)if(h.call(n,p)&&null!=(u=n[p]))switch(p){case"children":s=u;break;case"dangerouslySetInnerHTML":l=u;break;case"style":W(e,o,u);break;case"suppressContentEditableWarning":case"suppressHydrationWarning":break;default:y(p)&&"function"!=typeof u&&"symbol"!=typeof u&&e.push(H,d(p),V,d(S(u)),G)}return e.push(Z),Q(e,l,s),s}}((a=t.blockedSegment).chunks,n,o,e.responseState,a.formatContext),a.lastPushedText=!1,l=a.formatContext,a.formatContext=function(e,t,n){switch(t){case"select":return j(1,null!=n.value?n.value:n.defaultValue);case"svg":return j(2,null);case"math":return j(3,null);case"foreignObject":return j(1,null);case"table":return j(4,null);case"thead":case"tbody":case"tfoot":return j(5,null);case"colgroup":return j(7,null);case"tr":return j(6,null)}return 4<=e.insertionMode||0===e.insertionMode?j(1,null):e}(l,n,o),bn(e,t,s),a.formatContext=l,n){case"area":case"base":case"br":case"col":case"embed":case"hr":case"img":case"input":case"keygen":case"link":case"meta":case"param":case"source":case"track":case"wbr":break;default:a.chunks.push(ae,d(n),se)}a.lastPushedText=!1}}function vn(e,t,n){if(t.node=n,"object"==typeof n&&null!==n){switch(n.$$typeof){case rt:return void gn(e,t,n.type,n.props,n.ref);case it:throw Error(i(257));case ht:var r=n._init;return void vn(e,t,n=r(n._payload))}if(O(n))return void yn(e,t,n);if((r=null===n||"object"!=typeof n?null:"function"==typeof(r=bt&&n[bt]||n["@@iterator"])?r:null)&&(r=r.call(n))){if(!(n=r.next()).done){var o=[];do{o.push(n.value),n=r.next()}while(!n.done);yn(e,t,o)}return}throw e=Object.prototype.toString.call(n),Error(i(31,"[object Object]"===e?"object with keys {"+Object.keys(n).join(", ")+"}":e))}"string"==typeof n?(r=t.blockedSegment).lastPushedText=B(t.blockedSegment.chunks,n,e.responseState,r.lastPushedText):"number"==typeof n&&((r=t.blockedSegment).lastPushedText=B(t.blockedSegment.chunks,""+n,e.responseState,r.lastPushedText))}function yn(e,t,n){for(var r=n.length,i=0;i<r;i++){var o=t.treeContext;t.treeContext=It(o,r,i);try{bn(e,t,n[i])}finally{t.treeContext=o}}}function bn(e,t,n){var r=t.blockedSegment.formatContext,i=t.legacyContext,o=t.context;try{return vn(e,t,n)}catch(l){if(Zt(),"object"!=typeof l||null===l||"function"!=typeof l.then)throw t.blockedSegment.formatContext=r,t.legacyContext=i,t.context=o,Ot(o),l;n=l;var a=t.blockedSegment,s=cn(0,a.chunks.length,null,a.formatContext,a.lastPushedText,!0);a.children.push(s),a.lastPushedText=!1,e=un(e,t.node,t.blockedBoundary,s,t.abortSet,t.legacyContext,t.context,t.treeContext).ping,n.then(e,e),t.blockedSegment.formatContext=r,t.legacyContext=i,t.context=o,Ot(o)}}function wn(e){var t=e.blockedBoundary;(e=e.blockedSegment).status=3,kn(this,t,e)}function _n(e,t,n){var r=e.blockedBoundary;e.blockedSegment.status=3,null===r?(t.allPendingTasks--,2!==t.status&&(t.status=2,null!==t.destination&&t.destination.close())):(r.pendingTasks--,r.forceClientRender||(r.forceClientRender=!0,e=void 0===n?Error(i(432)):n,r.errorDigest=t.onError(e),r.parentFlushed&&t.clientRenderedBoundaries.push(r)),r.fallbackAbortableTasks.forEach((function(e){return _n(e,t,n)})),r.fallbackAbortableTasks.clear(),t.allPendingTasks--,0===t.allPendingTasks&&(r=t.onAllReady)())}function xn(e,t){if(0===t.chunks.length&&1===t.children.length&&null===t.children[0].boundary){var n=t.children[0];n.id=t.id,n.parentFlushed=!0,1===n.status&&xn(e,n)}else e.completedSegments.push(t)}function kn(e,t,n){if(null===t){if(n.parentFlushed){if(null!==e.completedRootSegment)throw Error(i(389));e.completedRootSegment=n}e.pendingRootTasks--,0===e.pendingRootTasks&&(e.onShellError=ln,(t=e.onShellReady)())}else t.pendingTasks--,t.forceClientRender||(0===t.pendingTasks?(n.parentFlushed&&1===n.status&&xn(t,n),t.parentFlushed&&e.completedBoundaries.push(t),t.fallbackAbortableTasks.forEach(wn,e),t.fallbackAbortableTasks.clear()):n.parentFlushed&&1===n.status&&(xn(t,n),1===t.completedSegments.length&&t.parentFlushed&&e.partialBoundaries.push(t)));e.allPendingTasks--,0===e.allPendingTasks&&(e=e.onAllReady)()}function En(e){if(2!==e.status){var t=kt,n=an.current;an.current=rn;var r=on;on=e.responseState;try{var i,o=e.pingedTasks;for(i=0;i<o.length;i++){var a=o[i],s=e,l=a.blockedSegment;if(0===l.status){Ot(a.context);try{vn(s,a,a.node),l.lastPushedText&&l.textEmbedded&&l.chunks.push(F),a.abortSet.delete(a),l.status=1,kn(s,a.blockedBoundary,l)}catch(e){if(Zt(),"object"==typeof e&&null!==e&&"function"==typeof e.then){var u=a.ping;e.then(u,u)}else{a.abortSet.delete(a),l.status=4;var c=a.blockedBoundary,d=e,p=dn(s,d);null===c?pn(s,d):(c.pendingTasks--,c.forceClientRender||(c.forceClientRender=!0,c.errorDigest=p,c.parentFlushed&&s.clientRenderedBoundaries.push(c))),s.allPendingTasks--,0===s.allPendingTasks&&(0,s.onAllReady)()}}}}o.splice(0,i),null!==e.destination&&Pn(e,e.destination)}catch(t){dn(e,t),pn(e,t)}finally{on=r,an.current=n,n===rn&&Ot(t)}}}function Cn(e,t,n){switch(n.parentFlushed=!0,n.status){case 0:var r=n.id=e.nextSegmentId++;return n.lastPushedText=!1,n.textEmbedded=!1,e=e.responseState,s(t,le),s(t,e.placeholderPrefix),s(t,e=d(r.toString(16))),l(t,ue);case 1:n.status=2;var o=!0;r=n.chunks;var a=0;n=n.children;for(var u=0;u<n.length;u++){for(o=n[u];a<o.index;a++)s(t,r[a]);o=Sn(e,t,o)}for(;a<r.length-1;a++)s(t,r[a]);return a<r.length&&(o=l(t,r[a])),o;default:throw Error(i(390))}}function Sn(e,t,n){var r=n.boundary;if(null===r)return Cn(e,t,n);if(r.parentFlushed=!0,r.forceClientRender)r=r.errorDigest,l(t,fe),s(t,me),r&&(s(t,ve),s(t,d(S(r))),s(t,ge)),l(t,ye),Cn(e,t,n);else if(0<r.pendingTasks){r.rootSegmentID=e.nextSegmentId++,0<r.completedSegments.length&&e.partialBoundaries.push(r);var o=e.responseState,a=o.nextSuspenseID++;o=p(o.boundaryPrefix+a.toString(16)),r=r.id=o,be(t,e.responseState,r),Cn(e,t,n)}else if(r.byteSize>e.progressiveChunkSize)r.rootSegmentID=e.nextSegmentId++,e.completedBoundaries.push(r),be(t,e.responseState,r.id),Cn(e,t,n);else{if(l(t,ce),1!==(n=r.completedSegments).length)throw Error(i(391));Sn(e,t,n[0])}return l(t,he)}function An(e,t,n){return function(e,t,n,r){switch(n.insertionMode){case 0:case 1:return s(e,we),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,_e);case 2:return s(e,ke),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,Ee);case 3:return s(e,Se),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,Ae);case 4:return s(e,Oe),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,Pe);case 5:return s(e,Re),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,Ie);case 6:return s(e,Le),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,Ne);case 7:return s(e,Fe),s(e,t.segmentPrefix),s(e,d(r.toString(16))),l(e,Be);default:throw Error(i(397))}}(t,e.responseState,n.formatContext,n.id),Sn(e,t,n),function(e,t){switch(t.insertionMode){case 0:case 1:return l(e,xe);case 2:return l(e,Ce);case 3:return l(e,Te);case 4:return l(e,De);case 5:return l(e,Me);case 6:return l(e,je);case 7:return l(e,ze);default:throw Error(i(397))}}(t,n.formatContext)}function Tn(e,t,n){for(var r=n.completedSegments,o=0;o<r.length;o++)On(e,t,n,r[o]);if(r.length=0,e=e.responseState,r=n.id,n=n.rootSegmentID,s(t,e.startInlineScript),e.sentCompleteBoundaryFunction?s(t,Ve):(e.sentCompleteBoundaryFunction=!0,s(t,He)),null===r)throw Error(i(395));return n=d(n.toString(16)),s(t,r),s(t,Ge),s(t,e.segmentPrefix),s(t,n),l(t,Ke)}function On(e,t,n,r){if(2===r.status)return!0;var o=r.id;if(-1===o){if(-1===(r.id=n.rootSegmentID))throw Error(i(392));return An(e,t,r)}return An(e,t,r),s(t,(e=e.responseState).startInlineScript),e.sentCompleteSegmentFunction?s(t,qe):(e.sentCompleteSegmentFunction=!0,s(t,Ue)),s(t,e.segmentPrefix),s(t,o=d(o.toString(16))),s(t,$e),s(t,e.placeholderPrefix),s(t,o),l(t,We)}function Pn(e,t){o=new Uint8Array(512),a=0;try{var n=e.completedRootSegment;if(null!==n&&0===e.pendingRootTasks){Sn(e,t,n),e.completedRootSegment=null;var r=e.responseState.bootstrapChunks;for(n=0;n<r.length-1;n++)s(t,r[n]);n<r.length&&l(t,r[n])}var c,p=e.clientRenderedBoundaries;for(c=0;c<p.length;c++){var f=p[c];r=t;var h=e.responseState,m=f.id,g=f.errorDigest,v=f.errorMessage,y=f.errorComponentStack;if(s(r,h.startInlineScript),h.sentClientRenderFunction?s(r,Ze):(h.sentClientRenderFunction=!0,s(r,Ye)),null===m)throw Error(i(395));if(s(r,m),s(r,Xe),(g||v||y)&&(s(r,Je),s(r,d(tt(g||"")))),(v||y)&&(s(r,Je),s(r,d(tt(v||"")))),y&&(s(r,Je),s(r,d(tt(y)))),!l(r,Qe))return e.destination=null,c++,void p.splice(0,c)}p.splice(0,c);var b=e.completedBoundaries;for(c=0;c<b.length;c++)if(!Tn(e,t,b[c]))return e.destination=null,c++,void b.splice(0,c);b.splice(0,c),u(t),o=new Uint8Array(512),a=0;var w=e.partialBoundaries;for(c=0;c<w.length;c++){var _=w[c];e:{p=e,f=t;var x=_.completedSegments;for(h=0;h<x.length;h++)if(!On(p,f,_,x[h])){h++,x.splice(0,h);var k=!1;break e}x.splice(0,h),k=!0}if(!k)return e.destination=null,c++,void w.splice(0,c)}w.splice(0,c);var E=e.completedBoundaries;for(c=0;c<E.length;c++)if(!Tn(e,t,E[c]))return e.destination=null,c++,void E.splice(0,c);E.splice(0,c)}finally{u(t),0===e.allPendingTasks&&0===e.pingedTasks.length&&0===e.clientRenderedBoundaries.length&&0===e.completedBoundaries.length&&t.close()}}function Dn(e,t){try{var n=e.abortableTasks;n.forEach((function(n){return _n(n,e,t)})),n.clear(),null!==e.destination&&Pn(e,e.destination)}catch(t){dn(e,t),pn(e,t)}}t.renderToReadableStream=function(e,t){return new Promise((function(n,r){var i,o,a=new Promise((function(e,t){o=e,i=t})),s=function(e,t,n,r,i,o,a,s,l){var u=[],c=new Set;return(n=cn(t={destination:null,responseState:t,progressiveChunkSize:void 0===r?12800:r,status:0,fatalError:null,nextSegmentId:0,allPendingTasks:0,pendingRootTasks:0,completedRootSegment:null,abortableTasks:c,pingedTasks:u,clientRenderedBoundaries:[],completedBoundaries:[],partialBoundaries:[],onError:void 0===i?sn:i,onAllReady:void 0===o?ln:o,onShellReady:void 0===a?ln:a,onShellError:void 0===s?ln:s,onFatalError:void 0===l?ln:l},0,null,n,!1,!1)).parentFlushed=!0,e=un(t,e,null,n,c,_t,null,Rt),u.push(e),t}(e,function(e,t,n,r,i){e=void 0===e?"":e,t=void 0===t?P:p('<script nonce="'+S(t)+'">');var o=[];if(void 0!==n&&o.push(t,d((""+n).replace(L,N)),D),void 0!==r)for(n=0;n<r.length;n++)o.push(R,d(S(r[n])),M);if(void 0!==i)for(r=0;r<i.length;r++)o.push(I,d(S(i[r])),M);return{bootstrapChunks:o,startInlineScript:t,placeholderPrefix:p(e+"P:"),segmentPrefix:p(e+"S:"),boundaryPrefix:e+"B:",idPrefix:e,nextSuspenseID:0,sentCompleteSegmentFunction:!1,sentCompleteBoundaryFunction:!1,sentClientRenderFunction:!1}}(t?t.identifierPrefix:void 0,t?t.nonce:void 0,t?t.bootstrapScriptContent:void 0,t?t.bootstrapScripts:void 0,t?t.bootstrapModules:void 0),function(e){return j("http://www.w3.org/2000/svg"===e?2:"http://www.w3.org/1998/Math/MathML"===e?3:0,null)}(t?t.namespaceURI:void 0),t?t.progressiveChunkSize:void 0,t?t.onError:void 0,o,(function(){var e=new ReadableStream({type:"bytes",pull:function(e){if(1===s.status)s.status=2,f(e,s.fatalError);else if(2!==s.status&&null===s.destination){s.destination=e;try{Pn(s,e)}catch(e){dn(s,e),pn(s,e)}}},cancel:function(){Dn(s)}},{highWaterMark:0});e.allReady=a,n(e)}),(function(e){a.catch((function(){})),r(e)}),i);if(t&&t.signal){var l=t.signal,u=function(){Dn(s,l.reason),l.removeEventListener("abort",u)};l.addEventListener("abort",u)}En(s)}))},t.version="18.2.0"},52967:(e,t,n)=>{"use strict";var r=n(2784),i=n(14616);function o(e){for(var t="https://reactjs.org/docs/error-decoder.html?invariant="+e,n=1;n<arguments.length;n++)t+="&args[]="+encodeURIComponent(arguments[n]);return"Minified React error #"+e+"; visit "+t+" for the full message or use the non-minified dev environment for full errors and additional helpful warnings."}var a=new Set,s={};function l(e,t){u(e,t),u(e+"Capture",t)}function u(e,t){for(s[e]=t,e=0;e<t.length;e++)a.add(t[e])}var c=!("undefined"==typeof window||void 0===window.document||void 0===window.document.createElement),d=Object.prototype.hasOwnProperty,p=/^[:A-Z_a-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD][:A-Z_a-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD\-.0-9\u00B7\u0300-\u036F\u203F-\u2040]*$/,f={},h={};function m(e,t,n,r,i,o,a){this.acceptsBooleans=2===t||3===t||4===t,this.attributeName=r,this.attributeNamespace=i,this.mustUseProperty=n,this.propertyName=e,this.type=t,this.sanitizeURL=o,this.removeEmptyString=a}var g={};"children dangerouslySetInnerHTML defaultValue defaultChecked innerHTML suppressContentEditableWarning suppressHydrationWarning style".split(" ").forEach((function(e){g[e]=new m(e,0,!1,e,null,!1,!1)})),[["acceptCharset","accept-charset"],["className","class"],["htmlFor","for"],["httpEquiv","http-equiv"]].forEach((function(e){var t=e[0];g[t]=new m(t,1,!1,e[1],null,!1,!1)})),["contentEditable","draggable","spellCheck","value"].forEach((function(e){g[e]=new m(e,2,!1,e.toLowerCase(),null,!1,!1)})),["autoReverse","externalResourcesRequired","focusable","preserveAlpha"].forEach((function(e){g[e]=new m(e,2,!1,e,null,!1,!1)})),"allowFullScreen async autoFocus autoPlay controls default defer disabled disablePictureInPicture disableRemotePlayback formNoValidate hidden loop noModule noValidate open playsInline readOnly required reversed scoped seamless itemScope".split(" ").forEach((function(e){g[e]=new m(e,3,!1,e.toLowerCase(),null,!1,!1)})),["checked","multiple","muted","selected"].forEach((function(e){g[e]=new m(e,3,!0,e,null,!1,!1)})),["capture","download"].forEach((function(e){g[e]=new m(e,4,!1,e,null,!1,!1)})),["cols","rows","size","span"].forEach((function(e){g[e]=new m(e,6,!1,e,null,!1,!1)})),["rowSpan","start"].forEach((function(e){g[e]=new m(e,5,!1,e.toLowerCase(),null,!1,!1)}));var v=/[\-:]([a-z])/g;function y(e){return e[1].toUpperCase()}function b(e,t,n,r){var i=g.hasOwnProperty(t)?g[t]:null;(null!==i?0!==i.type:r||!(2<t.length)||"o"!==t[0]&&"O"!==t[0]||"n"!==t[1]&&"N"!==t[1])&&(function(e,t,n,r){if(null==t||function(e,t,n,r){if(null!==n&&0===n.type)return!1;switch(typeof t){case"function":case"symbol":return!0;case"boolean":return!r&&(null!==n?!n.acceptsBooleans:"data-"!==(e=e.toLowerCase().slice(0,5))&&"aria-"!==e);default:return!1}}(e,t,n,r))return!0;if(r)return!1;if(null!==n)switch(n.type){case 3:return!t;case 4:return!1===t;case 5:return isNaN(t);case 6:return isNaN(t)||1>t}return!1}(t,n,i,r)&&(n=null),r||null===i?function(e){return!!d.call(h,e)||!d.call(f,e)&&(p.test(e)?h[e]=!0:(f[e]=!0,!1))}(t)&&(null===n?e.removeAttribute(t):e.setAttribute(t,""+n)):i.mustUseProperty?e[i.propertyName]=null===n?3!==i.type&&"":n:(t=i.attributeName,r=i.attributeNamespace,null===n?e.removeAttribute(t):(n=3===(i=i.type)||4===i&&!0===n?"":""+n,r?e.setAttributeNS(r,t,n):e.setAttribute(t,n))))}"accent-height alignment-baseline arabic-form baseline-shift cap-height clip-path clip-rule color-interpolation color-interpolation-filters color-profile color-rendering dominant-baseline enable-background fill-opacity fill-rule flood-color flood-opacity font-family font-size font-size-adjust font-stretch font-style font-variant font-weight glyph-name glyph-orientation-horizontal glyph-orientation-vertical horiz-adv-x horiz-origin-x image-rendering letter-spacing lighting-color marker-end marker-mid marker-start overline-position overline-thickness paint-order panose-1 pointer-events rendering-intent shape-rendering stop-color stop-opacity strikethrough-position strikethrough-thickness stroke-dasharray stroke-dashoffset stroke-linecap stroke-linejoin stroke-miterlimit stroke-opacity stroke-width text-anchor text-decoration text-rendering underline-position underline-thickness unicode-bidi unicode-range units-per-em v-alphabetic v-hanging v-ideographic v-mathematical vector-effect vert-adv-y vert-origin-x vert-origin-y word-spacing writing-mode xmlns:xlink x-height".split(" ").forEach((function(e){var t=e.replace(v,y);g[t]=new m(t,1,!1,e,null,!1,!1)})),"xlink:actuate xlink:arcrole xlink:role xlink:show xlink:title xlink:type".split(" ").forEach((function(e){var t=e.replace(v,y);g[t]=new m(t,1,!1,e,"http://www.w3.org/1999/xlink",!1,!1)})),["xml:base","xml:lang","xml:space"].forEach((function(e){var t=e.replace(v,y);g[t]=new m(t,1,!1,e,"http://www.w3.org/XML/1998/namespace",!1,!1)})),["tabIndex","crossOrigin"].forEach((function(e){g[e]=new m(e,1,!1,e.toLowerCase(),null,!1,!1)})),g.xlinkHref=new m("xlinkHref",1,!1,"xlink:href","http://www.w3.org/1999/xlink",!0,!1),["src","href","action","formAction"].forEach((function(e){g[e]=new m(e,1,!1,e.toLowerCase(),null,!0,!0)}));var w=r.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED,_=Symbol.for("react.element"),x=Symbol.for("react.portal"),k=Symbol.for("react.fragment"),E=Symbol.for("react.strict_mode"),C=Symbol.for("react.profiler"),S=Symbol.for("react.provider"),A=Symbol.for("react.context"),T=Symbol.for("react.forward_ref"),O=Symbol.for("react.suspense"),P=Symbol.for("react.suspense_list"),D=Symbol.for("react.memo"),R=Symbol.for("react.lazy");Symbol.for("react.scope"),Symbol.for("react.debug_trace_mode");var I=Symbol.for("react.offscreen");Symbol.for("react.legacy_hidden"),Symbol.for("react.cache"),Symbol.for("react.tracing_marker");var M=Symbol.iterator;function L(e){return null===e||"object"!=typeof e?null:"function"==typeof(e=M&&e[M]||e["@@iterator"])?e:null}var N,j=Object.assign;function F(e){if(void 0===N)try{throw Error()}catch(e){var t=e.stack.trim().match(/\n( *(at )?)/);N=t&&t[1]||""}return"\n"+N+e}var B=!1;function z(e,t){if(!e||B)return"";B=!0;var n=Error.prepareStackTrace;Error.prepareStackTrace=void 0;try{if(t)if(t=function(){throw Error()},Object.defineProperty(t.prototype,"props",{set:function(){throw Error()}}),"object"==typeof Reflect&&Reflect.construct){try{Reflect.construct(t,[])}catch(e){var r=e}Reflect.construct(e,[],t)}else{try{t.call()}catch(e){r=e}e.call(t.prototype)}else{try{throw Error()}catch(e){r=e}e()}}catch(t){if(t&&r&&"string"==typeof t.stack){for(var i=t.stack.split("\n"),o=r.stack.split("\n"),a=i.length-1,s=o.length-1;1<=a&&0<=s&&i[a]!==o[s];)s--;for(;1<=a&&0<=s;a--,s--)if(i[a]!==o[s]){if(1!==a||1!==s)do{if(a--,0>--s||i[a]!==o[s]){var l="\n"+i[a].replace(" at new "," at ");return e.displayName&&l.includes("<anonymous>")&&(l=l.replace("<anonymous>",e.displayName)),l}}while(1<=a&&0<=s);break}}}finally{B=!1,Error.prepareStackTrace=n}return(e=e?e.displayName||e.name:"")?F(e):""}function U(e){switch(e.tag){case 5:return F(e.type);case 16:return F("Lazy");case 13:return F("Suspense");case 19:return F("SuspenseList");case 0:case 2:case 15:return z(e.type,!1);case 11:return z(e.type.render,!1);case 1:return z(e.type,!0);default:return""}}function q(e){if(null==e)return null;if("function"==typeof e)return e.displayName||e.name||null;if("string"==typeof e)return e;switch(e){case k:return"Fragment";case x:return"Portal";case C:return"Profiler";case E:return"StrictMode";case O:return"Suspense";case P:return"SuspenseList"}if("object"==typeof e)switch(e.$$typeof){case A:return(e.displayName||"Context")+".Consumer";case S:return(e._context.displayName||"Context")+".Provider";case T:var t=e.render;return(e=e.displayName)||(e=""!==(e=t.displayName||t.name||"")?"ForwardRef("+e+")":"ForwardRef"),e;case D:return null!==(t=e.displayName||null)?t:q(e.type)||"Memo";case R:t=e._payload,e=e._init;try{return q(e(t))}catch(e){}}return null}function $(e){var t=e.type;switch(e.tag){case 24:return"Cache";case 9:return(t.displayName||"Context")+".Consumer";case 10:return(t._context.displayName||"Context")+".Provider";case 18:return"DehydratedFragment";case 11:return e=(e=t.render).displayName||e.name||"",t.displayName||(""!==e?"ForwardRef("+e+")":"ForwardRef");case 7:return"Fragment";case 5:return t;case 4:return"Portal";case 3:return"Root";case 6:return"Text";case 16:return q(t);case 8:return t===E?"StrictMode":"Mode";case 22:return"Offscreen";case 12:return"Profiler";case 21:return"Scope";case 13:return"Suspense";case 19:return"SuspenseList";case 25:return"TracingMarker";case 1:case 0:case 17:case 2:case 14:case 15:if("function"==typeof t)return t.displayName||t.name||null;if("string"==typeof t)return t}return null}function W(e){switch(typeof e){case"boolean":case"number":case"string":case"undefined":case"object":return e;default:return""}}function H(e){var t=e.type;return(e=e.nodeName)&&"input"===e.toLowerCase()&&("checkbox"===t||"radio"===t)}function V(e){e._valueTracker||(e._valueTracker=function(e){var t=H(e)?"checked":"value",n=Object.getOwnPropertyDescriptor(e.constructor.prototype,t),r=""+e[t];if(!e.hasOwnProperty(t)&&void 0!==n&&"function"==typeof n.get&&"function"==typeof n.set){var i=n.get,o=n.set;return Object.defineProperty(e,t,{configurable:!0,get:function(){return i.call(this)},set:function(e){r=""+e,o.call(this,e)}}),Object.defineProperty(e,t,{enumerable:n.enumerable}),{getValue:function(){return r},setValue:function(e){r=""+e},stopTracking:function(){e._valueTracker=null,delete e[t]}}}}(e))}function G(e){if(!e)return!1;var t=e._valueTracker;if(!t)return!0;var n=t.getValue(),r="";return e&&(r=H(e)?e.checked?"true":"false":e.value),(e=r)!==n&&(t.setValue(e),!0)}function K(e){if(void 0===(e=e||("undefined"!=typeof document?document:void 0)))return null;try{return e.activeElement||e.body}catch(t){return e.body}}function Y(e,t){var n=t.checked;return j({},t,{defaultChecked:void 0,defaultValue:void 0,value:void 0,checked:null!=n?n:e._wrapperState.initialChecked})}function Z(e,t){var n=null==t.defaultValue?"":t.defaultValue,r=null!=t.checked?t.checked:t.defaultChecked;n=W(null!=t.value?t.value:n),e._wrapperState={initialChecked:r,initialValue:n,controlled:"checkbox"===t.type||"radio"===t.type?null!=t.checked:null!=t.value}}function X(e,t){null!=(t=t.checked)&&b(e,"checked",t,!1)}function Q(e,t){X(e,t);var n=W(t.value),r=t.type;if(null!=n)"number"===r?(0===n&&""===e.value||e.value!=n)&&(e.value=""+n):e.value!==""+n&&(e.value=""+n);else if("submit"===r||"reset"===r)return void e.removeAttribute("value");t.hasOwnProperty("value")?ee(e,t.type,n):t.hasOwnProperty("defaultValue")&&ee(e,t.type,W(t.defaultValue)),null==t.checked&&null!=t.defaultChecked&&(e.defaultChecked=!!t.defaultChecked)}function J(e,t,n){if(t.hasOwnProperty("value")||t.hasOwnProperty("defaultValue")){var r=t.type;if(!("submit"!==r&&"reset"!==r||void 0!==t.value&&null!==t.value))return;t=""+e._wrapperState.initialValue,n||t===e.value||(e.value=t),e.defaultValue=t}""!==(n=e.name)&&(e.name=""),e.defaultChecked=!!e._wrapperState.initialChecked,""!==n&&(e.name=n)}function ee(e,t,n){"number"===t&&K(e.ownerDocument)===e||(null==n?e.defaultValue=""+e._wrapperState.initialValue:e.defaultValue!==""+n&&(e.defaultValue=""+n))}var te=Array.isArray;function ne(e,t,n,r){if(e=e.options,t){t={};for(var i=0;i<n.length;i++)t["$"+n[i]]=!0;for(n=0;n<e.length;n++)i=t.hasOwnProperty("$"+e[n].value),e[n].selected!==i&&(e[n].selected=i),i&&r&&(e[n].defaultSelected=!0)}else{for(n=""+W(n),t=null,i=0;i<e.length;i++){if(e[i].value===n)return e[i].selected=!0,void(r&&(e[i].defaultSelected=!0));null!==t||e[i].disabled||(t=e[i])}null!==t&&(t.selected=!0)}}function re(e,t){if(null!=t.dangerouslySetInnerHTML)throw Error(o(91));return j({},t,{value:void 0,defaultValue:void 0,children:""+e._wrapperState.initialValue})}function ie(e,t){var n=t.value;if(null==n){if(n=t.children,t=t.defaultValue,null!=n){if(null!=t)throw Error(o(92));if(te(n)){if(1<n.length)throw Error(o(93));n=n[0]}t=n}null==t&&(t=""),n=t}e._wrapperState={initialValue:W(n)}}function oe(e,t){var n=W(t.value),r=W(t.defaultValue);null!=n&&((n=""+n)!==e.value&&(e.value=n),null==t.defaultValue&&e.defaultValue!==n&&(e.defaultValue=n)),null!=r&&(e.defaultValue=""+r)}function ae(e){var t=e.textContent;t===e._wrapperState.initialValue&&""!==t&&null!==t&&(e.value=t)}function se(e){switch(e){case"svg":return"http://www.w3.org/2000/svg";case"math":return"http://www.w3.org/1998/Math/MathML";default:return"http://www.w3.org/1999/xhtml"}}function le(e,t){return null==e||"http://www.w3.org/1999/xhtml"===e?se(t):"http://www.w3.org/2000/svg"===e&&"foreignObject"===t?"http://www.w3.org/1999/xhtml":e}var ue,ce,de=(ce=function(e,t){if("http://www.w3.org/2000/svg"!==e.namespaceURI||"innerHTML"in e)e.innerHTML=t;else{for((ue=ue||document.createElement("div")).innerHTML="<svg>"+t.valueOf().toString()+"</svg>",t=ue.firstChild;e.firstChild;)e.removeChild(e.firstChild);for(;t.firstChild;)e.appendChild(t.firstChild)}},"undefined"!=typeof MSApp&&MSApp.execUnsafeLocalFunction?function(e,t,n,r){MSApp.execUnsafeLocalFunction((function(){return ce(e,t)}))}:ce);function pe(e,t){if(t){var n=e.firstChild;if(n&&n===e.lastChild&&3===n.nodeType)return void(n.nodeValue=t)}e.textContent=t}var fe={animationIterationCount:!0,aspectRatio:!0,borderImageOutset:!0,borderImageSlice:!0,borderImageWidth:!0,boxFlex:!0,boxFlexGroup:!0,boxOrdinalGroup:!0,columnCount:!0,columns:!0,flex:!0,flexGrow:!0,flexPositive:!0,flexShrink:!0,flexNegative:!0,flexOrder:!0,gridArea:!0,gridRow:!0,gridRowEnd:!0,gridRowSpan:!0,gridRowStart:!0,gridColumn:!0,gridColumnEnd:!0,gridColumnSpan:!0,gridColumnStart:!0,fontWeight:!0,lineClamp:!0,lineHeight:!0,opacity:!0,order:!0,orphans:!0,tabSize:!0,widows:!0,zIndex:!0,zoom:!0,fillOpacity:!0,floodOpacity:!0,stopOpacity:!0,strokeDasharray:!0,strokeDashoffset:!0,strokeMiterlimit:!0,strokeOpacity:!0,strokeWidth:!0},he=["Webkit","ms","Moz","O"];function me(e,t,n){return null==t||"boolean"==typeof t||""===t?"":n||"number"!=typeof t||0===t||fe.hasOwnProperty(e)&&fe[e]?(""+t).trim():t+"px"}function ge(e,t){for(var n in e=e.style,t)if(t.hasOwnProperty(n)){var r=0===n.indexOf("--"),i=me(n,t[n],r);"float"===n&&(n="cssFloat"),r?e.setProperty(n,i):e[n]=i}}Object.keys(fe).forEach((function(e){he.forEach((function(t){t=t+e.charAt(0).toUpperCase()+e.substring(1),fe[t]=fe[e]}))}));var ve=j({menuitem:!0},{area:!0,base:!0,br:!0,col:!0,embed:!0,hr:!0,img:!0,input:!0,keygen:!0,link:!0,meta:!0,param:!0,source:!0,track:!0,wbr:!0});function ye(e,t){if(t){if(ve[e]&&(null!=t.children||null!=t.dangerouslySetInnerHTML))throw Error(o(137,e));if(null!=t.dangerouslySetInnerHTML){if(null!=t.children)throw Error(o(60));if("object"!=typeof t.dangerouslySetInnerHTML||!("__html"in t.dangerouslySetInnerHTML))throw Error(o(61))}if(null!=t.style&&"object"!=typeof t.style)throw Error(o(62))}}function be(e,t){if(-1===e.indexOf("-"))return"string"==typeof t.is;switch(e){case"annotation-xml":case"color-profile":case"font-face":case"font-face-src":case"font-face-uri":case"font-face-format":case"font-face-name":case"missing-glyph":return!1;default:return!0}}var we=null;function _e(e){return(e=e.target||e.srcElement||window).correspondingUseElement&&(e=e.correspondingUseElement),3===e.nodeType?e.parentNode:e}var xe=null,ke=null,Ee=null;function Ce(e){if(e=bi(e)){if("function"!=typeof xe)throw Error(o(280));var t=e.stateNode;t&&(t=_i(t),xe(e.stateNode,e.type,t))}}function Se(e){ke?Ee?Ee.push(e):Ee=[e]:ke=e}function Ae(){if(ke){var e=ke,t=Ee;if(Ee=ke=null,Ce(e),t)for(e=0;e<t.length;e++)Ce(t[e])}}function Te(e,t){return e(t)}function Oe(){}var Pe=!1;function De(e,t,n){if(Pe)return e(t,n);Pe=!0;try{return Te(e,t,n)}finally{Pe=!1,(null!==ke||null!==Ee)&&(Oe(),Ae())}}function Re(e,t){var n=e.stateNode;if(null===n)return null;var r=_i(n);if(null===r)return null;n=r[t];e:switch(t){case"onClick":case"onClickCapture":case"onDoubleClick":case"onDoubleClickCapture":case"onMouseDown":case"onMouseDownCapture":case"onMouseMove":case"onMouseMoveCapture":case"onMouseUp":case"onMouseUpCapture":case"onMouseEnter":(r=!r.disabled)||(r=!("button"===(e=e.type)||"input"===e||"select"===e||"textarea"===e)),e=!r;break e;default:e=!1}if(e)return null;if(n&&"function"!=typeof n)throw Error(o(231,t,typeof n));return n}var Ie=!1;if(c)try{var Me={};Object.defineProperty(Me,"passive",{get:function(){Ie=!0}}),window.addEventListener("test",Me,Me),window.removeEventListener("test",Me,Me)}catch(ce){Ie=!1}function Le(e,t,n,r,i,o,a,s,l){var u=Array.prototype.slice.call(arguments,3);try{t.apply(n,u)}catch(e){this.onError(e)}}var Ne=!1,je=null,Fe=!1,Be=null,ze={onError:function(e){Ne=!0,je=e}};function Ue(e,t,n,r,i,o,a,s,l){Ne=!1,je=null,Le.apply(ze,arguments)}function qe(e){var t=e,n=e;if(e.alternate)for(;t.return;)t=t.return;else{e=t;do{0!=(4098&(t=e).flags)&&(n=t.return),e=t.return}while(e)}return 3===t.tag?n:null}function $e(e){if(13===e.tag){var t=e.memoizedState;if(null===t&&null!==(e=e.alternate)&&(t=e.memoizedState),null!==t)return t.dehydrated}return null}function We(e){if(qe(e)!==e)throw Error(o(188))}function He(e){return null!==(e=function(e){var t=e.alternate;if(!t){if(null===(t=qe(e)))throw Error(o(188));return t!==e?null:e}for(var n=e,r=t;;){var i=n.return;if(null===i)break;var a=i.alternate;if(null===a){if(null!==(r=i.return)){n=r;continue}break}if(i.child===a.child){for(a=i.child;a;){if(a===n)return We(i),e;if(a===r)return We(i),t;a=a.sibling}throw Error(o(188))}if(n.return!==r.return)n=i,r=a;else{for(var s=!1,l=i.child;l;){if(l===n){s=!0,n=i,r=a;break}if(l===r){s=!0,r=i,n=a;break}l=l.sibling}if(!s){for(l=a.child;l;){if(l===n){s=!0,n=a,r=i;break}if(l===r){s=!0,r=a,n=i;break}l=l.sibling}if(!s)throw Error(o(189))}}if(n.alternate!==r)throw Error(o(190))}if(3!==n.tag)throw Error(o(188));return n.stateNode.current===n?e:t}(e))?Ve(e):null}function Ve(e){if(5===e.tag||6===e.tag)return e;for(e=e.child;null!==e;){var t=Ve(e);if(null!==t)return t;e=e.sibling}return null}var Ge=i.unstable_scheduleCallback,Ke=i.unstable_cancelCallback,Ye=i.unstable_shouldYield,Ze=i.unstable_requestPaint,Xe=i.unstable_now,Qe=i.unstable_getCurrentPriorityLevel,Je=i.unstable_ImmediatePriority,et=i.unstable_UserBlockingPriority,tt=i.unstable_NormalPriority,nt=i.unstable_LowPriority,rt=i.unstable_IdlePriority,it=null,ot=null,at=Math.clz32?Math.clz32:function(e){return 0===(e>>>=0)?32:31-(st(e)/lt|0)|0},st=Math.log,lt=Math.LN2,ut=64,ct=4194304;function dt(e){switch(e&-e){case 1:return 1;case 2:return 2;case 4:return 4;case 8:return 8;case 16:return 16;case 32:return 32;case 64:case 128:case 256:case 512:case 1024:case 2048:case 4096:case 8192:case 16384:case 32768:case 65536:case 131072:case 262144:case 524288:case 1048576:case 2097152:return 4194240&e;case 4194304:case 8388608:case 16777216:case 33554432:case 67108864:return 130023424&e;case 134217728:return 134217728;case 268435456:return 268435456;case 536870912:return 536870912;case 1073741824:return 1073741824;default:return e}}function pt(e,t){var n=e.pendingLanes;if(0===n)return 0;var r=0,i=e.suspendedLanes,o=e.pingedLanes,a=268435455&n;if(0!==a){var s=a&~i;0!==s?r=dt(s):0!=(o&=a)&&(r=dt(o))}else 0!=(a=n&~i)?r=dt(a):0!==o&&(r=dt(o));if(0===r)return 0;if(0!==t&&t!==r&&0==(t&i)&&((i=r&-r)>=(o=t&-t)||16===i&&0!=(4194240&o)))return t;if(0!=(4&r)&&(r|=16&n),0!==(t=e.entangledLanes))for(e=e.entanglements,t&=r;0<t;)i=1<<(n=31-at(t)),r|=e[n],t&=~i;return r}function ft(e,t){switch(e){case 1:case 2:case 4:return t+250;case 8:case 16:case 32:case 64:case 128:case 256:case 512:case 1024:case 2048:case 4096:case 8192:case 16384:case 32768:case 65536:case 131072:case 262144:case 524288:case 1048576:case 2097152:return t+5e3;default:return-1}}function ht(e){return 0!=(e=-1073741825&e.pendingLanes)?e:1073741824&e?1073741824:0}function mt(){var e=ut;return 0==(4194240&(ut<<=1))&&(ut=64),e}function gt(e){for(var t=[],n=0;31>n;n++)t.push(e);return t}function vt(e,t,n){e.pendingLanes|=t,536870912!==t&&(e.suspendedLanes=0,e.pingedLanes=0),(e=e.eventTimes)[t=31-at(t)]=n}function yt(e,t){var n=e.entangledLanes|=t;for(e=e.entanglements;n;){var r=31-at(n),i=1<<r;i&t|e[r]&t&&(e[r]|=t),n&=~i}}var bt=0;function wt(e){return 1<(e&=-e)?4<e?0!=(268435455&e)?16:536870912:4:1}var _t,xt,kt,Et,Ct,St=!1,At=[],Tt=null,Ot=null,Pt=null,Dt=new Map,Rt=new Map,It=[],Mt="mousedown mouseup touchcancel touchend touchstart auxclick dblclick pointercancel pointerdown pointerup dragend dragstart drop compositionend compositionstart keydown keypress keyup input textInput copy cut paste click change contextmenu reset submit".split(" ");function Lt(e,t){switch(e){case"focusin":case"focusout":Tt=null;break;case"dragenter":case"dragleave":Ot=null;break;case"mouseover":case"mouseout":Pt=null;break;case"pointerover":case"pointerout":Dt.delete(t.pointerId);break;case"gotpointercapture":case"lostpointercapture":Rt.delete(t.pointerId)}}function Nt(e,t,n,r,i,o){return null===e||e.nativeEvent!==o?(e={blockedOn:t,domEventName:n,eventSystemFlags:r,nativeEvent:o,targetContainers:[i]},null!==t&&null!==(t=bi(t))&&xt(t),e):(e.eventSystemFlags|=r,t=e.targetContainers,null!==i&&-1===t.indexOf(i)&&t.push(i),e)}function jt(e){var t=yi(e.target);if(null!==t){var n=qe(t);if(null!==n)if(13===(t=n.tag)){if(null!==(t=$e(n)))return e.blockedOn=t,void Ct(e.priority,(function(){kt(n)}))}else if(3===t&&n.stateNode.current.memoizedState.isDehydrated)return void(e.blockedOn=3===n.tag?n.stateNode.containerInfo:null)}e.blockedOn=null}function Ft(e){if(null!==e.blockedOn)return!1;for(var t=e.targetContainers;0<t.length;){var n=Yt(e.domEventName,e.eventSystemFlags,t[0],e.nativeEvent);if(null!==n)return null!==(t=bi(n))&&xt(t),e.blockedOn=n,!1;var r=new(n=e.nativeEvent).constructor(n.type,n);we=r,n.target.dispatchEvent(r),we=null,t.shift()}return!0}function Bt(e,t,n){Ft(e)&&n.delete(t)}function zt(){St=!1,null!==Tt&&Ft(Tt)&&(Tt=null),null!==Ot&&Ft(Ot)&&(Ot=null),null!==Pt&&Ft(Pt)&&(Pt=null),Dt.forEach(Bt),Rt.forEach(Bt)}function Ut(e,t){e.blockedOn===t&&(e.blockedOn=null,St||(St=!0,i.unstable_scheduleCallback(i.unstable_NormalPriority,zt)))}function qt(e){function t(t){return Ut(t,e)}if(0<At.length){Ut(At[0],e);for(var n=1;n<At.length;n++){var r=At[n];r.blockedOn===e&&(r.blockedOn=null)}}for(null!==Tt&&Ut(Tt,e),null!==Ot&&Ut(Ot,e),null!==Pt&&Ut(Pt,e),Dt.forEach(t),Rt.forEach(t),n=0;n<It.length;n++)(r=It[n]).blockedOn===e&&(r.blockedOn=null);for(;0<It.length&&null===(n=It[0]).blockedOn;)jt(n),null===n.blockedOn&&It.shift()}var $t=w.ReactCurrentBatchConfig,Wt=!0;function Ht(e,t,n,r){var i=bt,o=$t.transition;$t.transition=null;try{bt=1,Gt(e,t,n,r)}finally{bt=i,$t.transition=o}}function Vt(e,t,n,r){var i=bt,o=$t.transition;$t.transition=null;try{bt=4,Gt(e,t,n,r)}finally{bt=i,$t.transition=o}}function Gt(e,t,n,r){if(Wt){var i=Yt(e,t,n,r);if(null===i)Wr(e,t,r,Kt,n),Lt(e,r);else if(function(e,t,n,r,i){switch(t){case"focusin":return Tt=Nt(Tt,e,t,n,r,i),!0;case"dragenter":return Ot=Nt(Ot,e,t,n,r,i),!0;case"mouseover":return Pt=Nt(Pt,e,t,n,r,i),!0;case"pointerover":var o=i.pointerId;return Dt.set(o,Nt(Dt.get(o)||null,e,t,n,r,i)),!0;case"gotpointercapture":return o=i.pointerId,Rt.set(o,Nt(Rt.get(o)||null,e,t,n,r,i)),!0}return!1}(i,e,t,n,r))r.stopPropagation();else if(Lt(e,r),4&t&&-1<Mt.indexOf(e)){for(;null!==i;){var o=bi(i);if(null!==o&&_t(o),null===(o=Yt(e,t,n,r))&&Wr(e,t,r,Kt,n),o===i)break;i=o}null!==i&&r.stopPropagation()}else Wr(e,t,r,null,n)}}var Kt=null;function Yt(e,t,n,r){if(Kt=null,null!==(e=yi(e=_e(r))))if(null===(t=qe(e)))e=null;else if(13===(n=t.tag)){if(null!==(e=$e(t)))return e;e=null}else if(3===n){if(t.stateNode.current.memoizedState.isDehydrated)return 3===t.tag?t.stateNode.containerInfo:null;e=null}else t!==e&&(e=null);return Kt=e,null}function Zt(e){switch(e){case"cancel":case"click":case"close":case"contextmenu":case"copy":case"cut":case"auxclick":case"dblclick":case"dragend":case"dragstart":case"drop":case"focusin":case"focusout":case"input":case"invalid":case"keydown":case"keypress":case"keyup":case"mousedown":case"mouseup":case"paste":case"pause":case"play":case"pointercancel":case"pointerdown":case"pointerup":case"ratechange":case"reset":case"resize":case"seeked":case"submit":case"touchcancel":case"touchend":case"touchstart":case"volumechange":case"change":case"selectionchange":case"textInput":case"compositionstart":case"compositionend":case"compositionupdate":case"beforeblur":case"afterblur":case"beforeinput":case"blur":case"fullscreenchange":case"focus":case"hashchange":case"popstate":case"select":case"selectstart":return 1;case"drag":case"dragenter":case"dragexit":case"dragleave":case"dragover":case"mousemove":case"mouseout":case"mouseover":case"pointermove":case"pointerout":case"pointerover":case"scroll":case"toggle":case"touchmove":case"wheel":case"mouseenter":case"mouseleave":case"pointerenter":case"pointerleave":return 4;case"message":switch(Qe()){case Je:return 1;case et:return 4;case tt:case nt:return 16;case rt:return 536870912;default:return 16}default:return 16}}var Xt=null,Qt=null,Jt=null;function en(){if(Jt)return Jt;var e,t,n=Qt,r=n.length,i="value"in Xt?Xt.value:Xt.textContent,o=i.length;for(e=0;e<r&&n[e]===i[e];e++);var a=r-e;for(t=1;t<=a&&n[r-t]===i[o-t];t++);return Jt=i.slice(e,1<t?1-t:void 0)}function tn(e){var t=e.keyCode;return"charCode"in e?0===(e=e.charCode)&&13===t&&(e=13):e=t,10===e&&(e=13),32<=e||13===e?e:0}function nn(){return!0}function rn(){return!1}function on(e){function t(t,n,r,i,o){for(var a in this._reactName=t,this._targetInst=r,this.type=n,this.nativeEvent=i,this.target=o,this.currentTarget=null,e)e.hasOwnProperty(a)&&(t=e[a],this[a]=t?t(i):i[a]);return this.isDefaultPrevented=(null!=i.defaultPrevented?i.defaultPrevented:!1===i.returnValue)?nn:rn,this.isPropagationStopped=rn,this}return j(t.prototype,{preventDefault:function(){this.defaultPrevented=!0;var e=this.nativeEvent;e&&(e.preventDefault?e.preventDefault():"unknown"!=typeof e.returnValue&&(e.returnValue=!1),this.isDefaultPrevented=nn)},stopPropagation:function(){var e=this.nativeEvent;e&&(e.stopPropagation?e.stopPropagation():"unknown"!=typeof e.cancelBubble&&(e.cancelBubble=!0),this.isPropagationStopped=nn)},persist:function(){},isPersistent:nn}),t}var an,sn,ln,un={eventPhase:0,bubbles:0,cancelable:0,timeStamp:function(e){return e.timeStamp||Date.now()},defaultPrevented:0,isTrusted:0},cn=on(un),dn=j({},un,{view:0,detail:0}),pn=on(dn),fn=j({},dn,{screenX:0,screenY:0,clientX:0,clientY:0,pageX:0,pageY:0,ctrlKey:0,shiftKey:0,altKey:0,metaKey:0,getModifierState:Cn,button:0,buttons:0,relatedTarget:function(e){return void 0===e.relatedTarget?e.fromElement===e.srcElement?e.toElement:e.fromElement:e.relatedTarget},movementX:function(e){return"movementX"in e?e.movementX:(e!==ln&&(ln&&"mousemove"===e.type?(an=e.screenX-ln.screenX,sn=e.screenY-ln.screenY):sn=an=0,ln=e),an)},movementY:function(e){return"movementY"in e?e.movementY:sn}}),hn=on(fn),mn=on(j({},fn,{dataTransfer:0})),gn=on(j({},dn,{relatedTarget:0})),vn=on(j({},un,{animationName:0,elapsedTime:0,pseudoElement:0})),yn=j({},un,{clipboardData:function(e){return"clipboardData"in e?e.clipboardData:window.clipboardData}}),bn=on(yn),wn=on(j({},un,{data:0})),_n={Esc:"Escape",Spacebar:" ",Left:"ArrowLeft",Up:"ArrowUp",Right:"ArrowRight",Down:"ArrowDown",Del:"Delete",Win:"OS",Menu:"ContextMenu",Apps:"ContextMenu",Scroll:"ScrollLock",MozPrintableKey:"Unidentified"},xn={8:"Backspace",9:"Tab",12:"Clear",13:"Enter",16:"Shift",17:"Control",18:"Alt",19:"Pause",20:"CapsLock",27:"Escape",32:" ",33:"PageUp",34:"PageDown",35:"End",36:"Home",37:"ArrowLeft",38:"ArrowUp",39:"ArrowRight",40:"ArrowDown",45:"Insert",46:"Delete",112:"F1",113:"F2",114:"F3",115:"F4",116:"F5",117:"F6",118:"F7",119:"F8",120:"F9",121:"F10",122:"F11",123:"F12",144:"NumLock",145:"ScrollLock",224:"Meta"},kn={Alt:"altKey",Control:"ctrlKey",Meta:"metaKey",Shift:"shiftKey"};function En(e){var t=this.nativeEvent;return t.getModifierState?t.getModifierState(e):!!(e=kn[e])&&!!t[e]}function Cn(){return En}var Sn=j({},dn,{key:function(e){if(e.key){var t=_n[e.key]||e.key;if("Unidentified"!==t)return t}return"keypress"===e.type?13===(e=tn(e))?"Enter":String.fromCharCode(e):"keydown"===e.type||"keyup"===e.type?xn[e.keyCode]||"Unidentified":""},code:0,location:0,ctrlKey:0,shiftKey:0,altKey:0,metaKey:0,repeat:0,locale:0,getModifierState:Cn,charCode:function(e){return"keypress"===e.type?tn(e):0},keyCode:function(e){return"keydown"===e.type||"keyup"===e.type?e.keyCode:0},which:function(e){return"keypress"===e.type?tn(e):"keydown"===e.type||"keyup"===e.type?e.keyCode:0}}),An=on(Sn),Tn=on(j({},fn,{pointerId:0,width:0,height:0,pressure:0,tangentialPressure:0,tiltX:0,tiltY:0,twist:0,pointerType:0,isPrimary:0})),On=on(j({},dn,{touches:0,targetTouches:0,changedTouches:0,altKey:0,metaKey:0,ctrlKey:0,shiftKey:0,getModifierState:Cn})),Pn=on(j({},un,{propertyName:0,elapsedTime:0,pseudoElement:0})),Dn=j({},fn,{deltaX:function(e){return"deltaX"in e?e.deltaX:"wheelDeltaX"in e?-e.wheelDeltaX:0},deltaY:function(e){return"deltaY"in e?e.deltaY:"wheelDeltaY"in e?-e.wheelDeltaY:"wheelDelta"in e?-e.wheelDelta:0},deltaZ:0,deltaMode:0}),Rn=on(Dn),In=[9,13,27,32],Mn=c&&"CompositionEvent"in window,Ln=null;c&&"documentMode"in document&&(Ln=document.documentMode);var Nn=c&&"TextEvent"in window&&!Ln,jn=c&&(!Mn||Ln&&8<Ln&&11>=Ln),Fn=String.fromCharCode(32),Bn=!1;function zn(e,t){switch(e){case"keyup":return-1!==In.indexOf(t.keyCode);case"keydown":return 229!==t.keyCode;case"keypress":case"mousedown":case"focusout":return!0;default:return!1}}function Un(e){return"object"==typeof(e=e.detail)&&"data"in e?e.data:null}var qn=!1,$n={color:!0,date:!0,datetime:!0,"datetime-local":!0,email:!0,month:!0,number:!0,password:!0,range:!0,search:!0,tel:!0,text:!0,time:!0,url:!0,week:!0};function Wn(e){var t=e&&e.nodeName&&e.nodeName.toLowerCase();return"input"===t?!!$n[e.type]:"textarea"===t}function Hn(e,t,n,r){Se(r),0<(t=Vr(t,"onChange")).length&&(n=new cn("onChange","change",null,n,r),e.push({event:n,listeners:t}))}var Vn=null,Gn=null;function Kn(e){Fr(e,0)}function Yn(e){if(G(wi(e)))return e}function Zn(e,t){if("change"===e)return t}var Xn=!1;if(c){var Qn;if(c){var Jn="oninput"in document;if(!Jn){var er=document.createElement("div");er.setAttribute("oninput","return;"),Jn="function"==typeof er.oninput}Qn=Jn}else Qn=!1;Xn=Qn&&(!document.documentMode||9<document.documentMode)}function tr(){Vn&&(Vn.detachEvent("onpropertychange",nr),Gn=Vn=null)}function nr(e){if("value"===e.propertyName&&Yn(Gn)){var t=[];Hn(t,Gn,e,_e(e)),De(Kn,t)}}function rr(e,t,n){"focusin"===e?(tr(),Gn=n,(Vn=t).attachEvent("onpropertychange",nr)):"focusout"===e&&tr()}function ir(e){if("selectionchange"===e||"keyup"===e||"keydown"===e)return Yn(Gn)}function or(e,t){if("click"===e)return Yn(t)}function ar(e,t){if("input"===e||"change"===e)return Yn(t)}var sr="function"==typeof Object.is?Object.is:function(e,t){return e===t&&(0!==e||1/e==1/t)||e!=e&&t!=t};function lr(e,t){if(sr(e,t))return!0;if("object"!=typeof e||null===e||"object"!=typeof t||null===t)return!1;var n=Object.keys(e),r=Object.keys(t);if(n.length!==r.length)return!1;for(r=0;r<n.length;r++){var i=n[r];if(!d.call(t,i)||!sr(e[i],t[i]))return!1}return!0}function ur(e){for(;e&&e.firstChild;)e=e.firstChild;return e}function cr(e,t){var n,r=ur(e);for(e=0;r;){if(3===r.nodeType){if(n=e+r.textContent.length,e<=t&&n>=t)return{node:r,offset:t-e};e=n}e:{for(;r;){if(r.nextSibling){r=r.nextSibling;break e}r=r.parentNode}r=void 0}r=ur(r)}}function dr(e,t){return!(!e||!t)&&(e===t||(!e||3!==e.nodeType)&&(t&&3===t.nodeType?dr(e,t.parentNode):"contains"in e?e.contains(t):!!e.compareDocumentPosition&&!!(16&e.compareDocumentPosition(t))))}function pr(){for(var e=window,t=K();t instanceof e.HTMLIFrameElement;){try{var n="string"==typeof t.contentWindow.location.href}catch(e){n=!1}if(!n)break;t=K((e=t.contentWindow).document)}return t}function fr(e){var t=e&&e.nodeName&&e.nodeName.toLowerCase();return t&&("input"===t&&("text"===e.type||"search"===e.type||"tel"===e.type||"url"===e.type||"password"===e.type)||"textarea"===t||"true"===e.contentEditable)}function hr(e){var t=pr(),n=e.focusedElem,r=e.selectionRange;if(t!==n&&n&&n.ownerDocument&&dr(n.ownerDocument.documentElement,n)){if(null!==r&&fr(n))if(t=r.start,void 0===(e=r.end)&&(e=t),"selectionStart"in n)n.selectionStart=t,n.selectionEnd=Math.min(e,n.value.length);else if((e=(t=n.ownerDocument||document)&&t.defaultView||window).getSelection){e=e.getSelection();var i=n.textContent.length,o=Math.min(r.start,i);r=void 0===r.end?o:Math.min(r.end,i),!e.extend&&o>r&&(i=r,r=o,o=i),i=cr(n,o);var a=cr(n,r);i&&a&&(1!==e.rangeCount||e.anchorNode!==i.node||e.anchorOffset!==i.offset||e.focusNode!==a.node||e.focusOffset!==a.offset)&&((t=t.createRange()).setStart(i.node,i.offset),e.removeAllRanges(),o>r?(e.addRange(t),e.extend(a.node,a.offset)):(t.setEnd(a.node,a.offset),e.addRange(t)))}for(t=[],e=n;e=e.parentNode;)1===e.nodeType&&t.push({element:e,left:e.scrollLeft,top:e.scrollTop});for("function"==typeof n.focus&&n.focus(),n=0;n<t.length;n++)(e=t[n]).element.scrollLeft=e.left,e.element.scrollTop=e.top}}var mr=c&&"documentMode"in document&&11>=document.documentMode,gr=null,vr=null,yr=null,br=!1;function wr(e,t,n){var r=n.window===n?n.document:9===n.nodeType?n:n.ownerDocument;br||null==gr||gr!==K(r)||(r="selectionStart"in(r=gr)&&fr(r)?{start:r.selectionStart,end:r.selectionEnd}:{anchorNode:(r=(r.ownerDocument&&r.ownerDocument.defaultView||window).getSelection()).anchorNode,anchorOffset:r.anchorOffset,focusNode:r.focusNode,focusOffset:r.focusOffset},yr&&lr(yr,r)||(yr=r,0<(r=Vr(vr,"onSelect")).length&&(t=new cn("onSelect","select",null,t,n),e.push({event:t,listeners:r}),t.target=gr)))}function _r(e,t){var n={};return n[e.toLowerCase()]=t.toLowerCase(),n["Webkit"+e]="webkit"+t,n["Moz"+e]="moz"+t,n}var xr={animationend:_r("Animation","AnimationEnd"),animationiteration:_r("Animation","AnimationIteration"),animationstart:_r("Animation","AnimationStart"),transitionend:_r("Transition","TransitionEnd")},kr={},Er={};function Cr(e){if(kr[e])return kr[e];if(!xr[e])return e;var t,n=xr[e];for(t in n)if(n.hasOwnProperty(t)&&t in Er)return kr[e]=n[t];return e}c&&(Er=document.createElement("div").style,"AnimationEvent"in window||(delete xr.animationend.animation,delete xr.animationiteration.animation,delete xr.animationstart.animation),"TransitionEvent"in window||delete xr.transitionend.transition);var Sr=Cr("animationend"),Ar=Cr("animationiteration"),Tr=Cr("animationstart"),Or=Cr("transitionend"),Pr=new Map,Dr="abort auxClick cancel canPlay canPlayThrough click close contextMenu copy cut drag dragEnd dragEnter dragExit dragLeave dragOver dragStart drop durationChange emptied encrypted ended error gotPointerCapture input invalid keyDown keyPress keyUp load loadedData loadedMetadata loadStart lostPointerCapture mouseDown mouseMove mouseOut mouseOver mouseUp paste pause play playing pointerCancel pointerDown pointerMove pointerOut pointerOver pointerUp progress rateChange reset resize seeked seeking stalled submit suspend timeUpdate touchCancel touchEnd touchStart volumeChange scroll toggle touchMove waiting wheel".split(" ");function Rr(e,t){Pr.set(e,t),l(t,[e])}for(var Ir=0;Ir<Dr.length;Ir++){var Mr=Dr[Ir];Rr(Mr.toLowerCase(),"on"+(Mr[0].toUpperCase()+Mr.slice(1)))}Rr(Sr,"onAnimationEnd"),Rr(Ar,"onAnimationIteration"),Rr(Tr,"onAnimationStart"),Rr("dblclick","onDoubleClick"),Rr("focusin","onFocus"),Rr("focusout","onBlur"),Rr(Or,"onTransitionEnd"),u("onMouseEnter",["mouseout","mouseover"]),u("onMouseLeave",["mouseout","mouseover"]),u("onPointerEnter",["pointerout","pointerover"]),u("onPointerLeave",["pointerout","pointerover"]),l("onChange","change click focusin focusout input keydown keyup selectionchange".split(" ")),l("onSelect","focusout contextmenu dragend focusin keydown keyup mousedown mouseup selectionchange".split(" ")),l("onBeforeInput",["compositionend","keypress","textInput","paste"]),l("onCompositionEnd","compositionend focusout keydown keypress keyup mousedown".split(" ")),l("onCompositionStart","compositionstart focusout keydown keypress keyup mousedown".split(" ")),l("onCompositionUpdate","compositionupdate focusout keydown keypress keyup mousedown".split(" "));var Lr="abort canplay canplaythrough durationchange emptied encrypted ended error loadeddata loadedmetadata loadstart pause play playing progress ratechange resize seeked seeking stalled suspend timeupdate volumechange waiting".split(" "),Nr=new Set("cancel close invalid load scroll toggle".split(" ").concat(Lr));function jr(e,t,n){var r=e.type||"unknown-event";e.currentTarget=n,function(e,t,n,r,i,a,s,l,u){if(Ue.apply(this,arguments),Ne){if(!Ne)throw Error(o(198));var c=je;Ne=!1,je=null,Fe||(Fe=!0,Be=c)}}(r,t,void 0,e),e.currentTarget=null}function Fr(e,t){t=0!=(4&t);for(var n=0;n<e.length;n++){var r=e[n],i=r.event;r=r.listeners;e:{var o=void 0;if(t)for(var a=r.length-1;0<=a;a--){var s=r[a],l=s.instance,u=s.currentTarget;if(s=s.listener,l!==o&&i.isPropagationStopped())break e;jr(i,s,u),o=l}else for(a=0;a<r.length;a++){if(l=(s=r[a]).instance,u=s.currentTarget,s=s.listener,l!==o&&i.isPropagationStopped())break e;jr(i,s,u),o=l}}}if(Fe)throw e=Be,Fe=!1,Be=null,e}function Br(e,t){var n=t[mi];void 0===n&&(n=t[mi]=new Set);var r=e+"__bubble";n.has(r)||($r(t,e,2,!1),n.add(r))}function zr(e,t,n){var r=0;t&&(r|=4),$r(n,e,r,t)}var Ur="_reactListening"+Math.random().toString(36).slice(2);function qr(e){if(!e[Ur]){e[Ur]=!0,a.forEach((function(t){"selectionchange"!==t&&(Nr.has(t)||zr(t,!1,e),zr(t,!0,e))}));var t=9===e.nodeType?e:e.ownerDocument;null===t||t[Ur]||(t[Ur]=!0,zr("selectionchange",!1,t))}}function $r(e,t,n,r){switch(Zt(t)){case 1:var i=Ht;break;case 4:i=Vt;break;default:i=Gt}n=i.bind(null,t,n,e),i=void 0,!Ie||"touchstart"!==t&&"touchmove"!==t&&"wheel"!==t||(i=!0),r?void 0!==i?e.addEventListener(t,n,{capture:!0,passive:i}):e.addEventListener(t,n,!0):void 0!==i?e.addEventListener(t,n,{passive:i}):e.addEventListener(t,n,!1)}function Wr(e,t,n,r,i){var o=r;if(0==(1&t)&&0==(2&t)&&null!==r)e:for(;;){if(null===r)return;var a=r.tag;if(3===a||4===a){var s=r.stateNode.containerInfo;if(s===i||8===s.nodeType&&s.parentNode===i)break;if(4===a)for(a=r.return;null!==a;){var l=a.tag;if((3===l||4===l)&&((l=a.stateNode.containerInfo)===i||8===l.nodeType&&l.parentNode===i))return;a=a.return}for(;null!==s;){if(null===(a=yi(s)))return;if(5===(l=a.tag)||6===l){r=o=a;continue e}s=s.parentNode}}r=r.return}De((function(){var r=o,i=_e(n),a=[];e:{var s=Pr.get(e);if(void 0!==s){var l=cn,u=e;switch(e){case"keypress":if(0===tn(n))break e;case"keydown":case"keyup":l=An;break;case"focusin":u="focus",l=gn;break;case"focusout":u="blur",l=gn;break;case"beforeblur":case"afterblur":l=gn;break;case"click":if(2===n.button)break e;case"auxclick":case"dblclick":case"mousedown":case"mousemove":case"mouseup":case"mouseout":case"mouseover":case"contextmenu":l=hn;break;case"drag":case"dragend":case"dragenter":case"dragexit":case"dragleave":case"dragover":case"dragstart":case"drop":l=mn;break;case"touchcancel":case"touchend":case"touchmove":case"touchstart":l=On;break;case Sr:case Ar:case Tr:l=vn;break;case Or:l=Pn;break;case"scroll":l=pn;break;case"wheel":l=Rn;break;case"copy":case"cut":case"paste":l=bn;break;case"gotpointercapture":case"lostpointercapture":case"pointercancel":case"pointerdown":case"pointermove":case"pointerout":case"pointerover":case"pointerup":l=Tn}var c=0!=(4&t),d=!c&&"scroll"===e,p=c?null!==s?s+"Capture":null:s;c=[];for(var f,h=r;null!==h;){var m=(f=h).stateNode;if(5===f.tag&&null!==m&&(f=m,null!==p&&null!=(m=Re(h,p))&&c.push(Hr(h,m,f))),d)break;h=h.return}0<c.length&&(s=new l(s,u,null,n,i),a.push({event:s,listeners:c}))}}if(0==(7&t)){if(l="mouseout"===e||"pointerout"===e,(!(s="mouseover"===e||"pointerover"===e)||n===we||!(u=n.relatedTarget||n.fromElement)||!yi(u)&&!u[hi])&&(l||s)&&(s=i.window===i?i:(s=i.ownerDocument)?s.defaultView||s.parentWindow:window,l?(l=r,null!==(u=(u=n.relatedTarget||n.toElement)?yi(u):null)&&(u!==(d=qe(u))||5!==u.tag&&6!==u.tag)&&(u=null)):(l=null,u=r),l!==u)){if(c=hn,m="onMouseLeave",p="onMouseEnter",h="mouse","pointerout"!==e&&"pointerover"!==e||(c=Tn,m="onPointerLeave",p="onPointerEnter",h="pointer"),d=null==l?s:wi(l),f=null==u?s:wi(u),(s=new c(m,h+"leave",l,n,i)).target=d,s.relatedTarget=f,m=null,yi(i)===r&&((c=new c(p,h+"enter",u,n,i)).target=f,c.relatedTarget=d,m=c),d=m,l&&u)e:{for(p=u,h=0,f=c=l;f;f=Gr(f))h++;for(f=0,m=p;m;m=Gr(m))f++;for(;0<h-f;)c=Gr(c),h--;for(;0<f-h;)p=Gr(p),f--;for(;h--;){if(c===p||null!==p&&c===p.alternate)break e;c=Gr(c),p=Gr(p)}c=null}else c=null;null!==l&&Kr(a,s,l,c,!1),null!==u&&null!==d&&Kr(a,d,u,c,!0)}if("select"===(l=(s=r?wi(r):window).nodeName&&s.nodeName.toLowerCase())||"input"===l&&"file"===s.type)var g=Zn;else if(Wn(s))if(Xn)g=ar;else{g=ir;var v=rr}else(l=s.nodeName)&&"input"===l.toLowerCase()&&("checkbox"===s.type||"radio"===s.type)&&(g=or);switch(g&&(g=g(e,r))?Hn(a,g,n,i):(v&&v(e,s,r),"focusout"===e&&(v=s._wrapperState)&&v.controlled&&"number"===s.type&&ee(s,"number",s.value)),v=r?wi(r):window,e){case"focusin":(Wn(v)||"true"===v.contentEditable)&&(gr=v,vr=r,yr=null);break;case"focusout":yr=vr=gr=null;break;case"mousedown":br=!0;break;case"contextmenu":case"mouseup":case"dragend":br=!1,wr(a,n,i);break;case"selectionchange":if(mr)break;case"keydown":case"keyup":wr(a,n,i)}var y;if(Mn)e:{switch(e){case"compositionstart":var b="onCompositionStart";break e;case"compositionend":b="onCompositionEnd";break e;case"compositionupdate":b="onCompositionUpdate";break e}b=void 0}else qn?zn(e,n)&&(b="onCompositionEnd"):"keydown"===e&&229===n.keyCode&&(b="onCompositionStart");b&&(jn&&"ko"!==n.locale&&(qn||"onCompositionStart"!==b?"onCompositionEnd"===b&&qn&&(y=en()):(Qt="value"in(Xt=i)?Xt.value:Xt.textContent,qn=!0)),0<(v=Vr(r,b)).length&&(b=new wn(b,e,null,n,i),a.push({event:b,listeners:v}),(y||null!==(y=Un(n)))&&(b.data=y))),(y=Nn?function(e,t){switch(e){case"compositionend":return Un(t);case"keypress":return 32!==t.which?null:(Bn=!0,Fn);case"textInput":return(e=t.data)===Fn&&Bn?null:e;default:return null}}(e,n):function(e,t){if(qn)return"compositionend"===e||!Mn&&zn(e,t)?(e=en(),Jt=Qt=Xt=null,qn=!1,e):null;switch(e){case"paste":default:return null;case"keypress":if(!(t.ctrlKey||t.altKey||t.metaKey)||t.ctrlKey&&t.altKey){if(t.char&&1<t.char.length)return t.char;if(t.which)return String.fromCharCode(t.which)}return null;case"compositionend":return jn&&"ko"!==t.locale?null:t.data}}(e,n))&&0<(r=Vr(r,"onBeforeInput")).length&&(i=new wn("onBeforeInput","beforeinput",null,n,i),a.push({event:i,listeners:r}),i.data=y)}Fr(a,t)}))}function Hr(e,t,n){return{instance:e,listener:t,currentTarget:n}}function Vr(e,t){for(var n=t+"Capture",r=[];null!==e;){var i=e,o=i.stateNode;5===i.tag&&null!==o&&(i=o,null!=(o=Re(e,n))&&r.unshift(Hr(e,o,i)),null!=(o=Re(e,t))&&r.push(Hr(e,o,i))),e=e.return}return r}function Gr(e){if(null===e)return null;do{e=e.return}while(e&&5!==e.tag);return e||null}function Kr(e,t,n,r,i){for(var o=t._reactName,a=[];null!==n&&n!==r;){var s=n,l=s.alternate,u=s.stateNode;if(null!==l&&l===r)break;5===s.tag&&null!==u&&(s=u,i?null!=(l=Re(n,o))&&a.unshift(Hr(n,l,s)):i||null!=(l=Re(n,o))&&a.push(Hr(n,l,s))),n=n.return}0!==a.length&&e.push({event:t,listeners:a})}var Yr=/\r\n?/g,Zr=/\u0000|\uFFFD/g;function Xr(e){return("string"==typeof e?e:""+e).replace(Yr,"\n").replace(Zr,"")}function Qr(e,t,n){if(t=Xr(t),Xr(e)!==t&&n)throw Error(o(425))}function Jr(){}var ei=null,ti=null;function ni(e,t){return"textarea"===e||"noscript"===e||"string"==typeof t.children||"number"==typeof t.children||"object"==typeof t.dangerouslySetInnerHTML&&null!==t.dangerouslySetInnerHTML&&null!=t.dangerouslySetInnerHTML.__html}var ri="function"==typeof setTimeout?setTimeout:void 0,ii="function"==typeof clearTimeout?clearTimeout:void 0,oi="function"==typeof Promise?Promise:void 0,ai="function"==typeof queueMicrotask?queueMicrotask:void 0!==oi?function(e){return oi.resolve(null).then(e).catch(si)}:ri;function si(e){setTimeout((function(){throw e}))}function li(e,t){var n=t,r=0;do{var i=n.nextSibling;if(e.removeChild(n),i&&8===i.nodeType)if("/$"===(n=i.data)){if(0===r)return e.removeChild(i),void qt(t);r--}else"$"!==n&&"$?"!==n&&"$!"!==n||r++;n=i}while(n);qt(t)}function ui(e){for(;null!=e;e=e.nextSibling){var t=e.nodeType;if(1===t||3===t)break;if(8===t){if("$"===(t=e.data)||"$!"===t||"$?"===t)break;if("/$"===t)return null}}return e}function ci(e){e=e.previousSibling;for(var t=0;e;){if(8===e.nodeType){var n=e.data;if("$"===n||"$!"===n||"$?"===n){if(0===t)return e;t--}else"/$"===n&&t++}e=e.previousSibling}return null}var di=Math.random().toString(36).slice(2),pi="__reactFiber$"+di,fi="__reactProps$"+di,hi="__reactContainer$"+di,mi="__reactEvents$"+di,gi="__reactListeners$"+di,vi="__reactHandles$"+di;function yi(e){var t=e[pi];if(t)return t;for(var n=e.parentNode;n;){if(t=n[hi]||n[pi]){if(n=t.alternate,null!==t.child||null!==n&&null!==n.child)for(e=ci(e);null!==e;){if(n=e[pi])return n;e=ci(e)}return t}n=(e=n).parentNode}return null}function bi(e){return!(e=e[pi]||e[hi])||5!==e.tag&&6!==e.tag&&13!==e.tag&&3!==e.tag?null:e}function wi(e){if(5===e.tag||6===e.tag)return e.stateNode;throw Error(o(33))}function _i(e){return e[fi]||null}var xi=[],ki=-1;function Ei(e){return{current:e}}function Ci(e){0>ki||(e.current=xi[ki],xi[ki]=null,ki--)}function Si(e,t){ki++,xi[ki]=e.current,e.current=t}var Ai={},Ti=Ei(Ai),Oi=Ei(!1),Pi=Ai;function Di(e,t){var n=e.type.contextTypes;if(!n)return Ai;var r=e.stateNode;if(r&&r.__reactInternalMemoizedUnmaskedChildContext===t)return r.__reactInternalMemoizedMaskedChildContext;var i,o={};for(i in n)o[i]=t[i];return r&&((e=e.stateNode).__reactInternalMemoizedUnmaskedChildContext=t,e.__reactInternalMemoizedMaskedChildContext=o),o}function Ri(e){return null!=e.childContextTypes}function Ii(){Ci(Oi),Ci(Ti)}function Mi(e,t,n){if(Ti.current!==Ai)throw Error(o(168));Si(Ti,t),Si(Oi,n)}function Li(e,t,n){var r=e.stateNode;if(t=t.childContextTypes,"function"!=typeof r.getChildContext)return n;for(var i in r=r.getChildContext())if(!(i in t))throw Error(o(108,$(e)||"Unknown",i));return j({},n,r)}function Ni(e){return e=(e=e.stateNode)&&e.__reactInternalMemoizedMergedChildContext||Ai,Pi=Ti.current,Si(Ti,e),Si(Oi,Oi.current),!0}function ji(e,t,n){var r=e.stateNode;if(!r)throw Error(o(169));n?(e=Li(e,t,Pi),r.__reactInternalMemoizedMergedChildContext=e,Ci(Oi),Ci(Ti),Si(Ti,e)):Ci(Oi),Si(Oi,n)}var Fi=null,Bi=!1,zi=!1;function Ui(e){null===Fi?Fi=[e]:Fi.push(e)}function qi(){if(!zi&&null!==Fi){zi=!0;var e=0,t=bt;try{var n=Fi;for(bt=1;e<n.length;e++){var r=n[e];do{r=r(!0)}while(null!==r)}Fi=null,Bi=!1}catch(t){throw null!==Fi&&(Fi=Fi.slice(e+1)),Ge(Je,qi),t}finally{bt=t,zi=!1}}return null}var $i=[],Wi=0,Hi=null,Vi=0,Gi=[],Ki=0,Yi=null,Zi=1,Xi="";function Qi(e,t){$i[Wi++]=Vi,$i[Wi++]=Hi,Hi=e,Vi=t}function Ji(e,t,n){Gi[Ki++]=Zi,Gi[Ki++]=Xi,Gi[Ki++]=Yi,Yi=e;var r=Zi;e=Xi;var i=32-at(r)-1;r&=~(1<<i),n+=1;var o=32-at(t)+i;if(30<o){var a=i-i%5;o=(r&(1<<a)-1).toString(32),r>>=a,i-=a,Zi=1<<32-at(t)+i|n<<i|r,Xi=o+e}else Zi=1<<o|n<<i|r,Xi=e}function eo(e){null!==e.return&&(Qi(e,1),Ji(e,1,0))}function to(e){for(;e===Hi;)Hi=$i[--Wi],$i[Wi]=null,Vi=$i[--Wi],$i[Wi]=null;for(;e===Yi;)Yi=Gi[--Ki],Gi[Ki]=null,Xi=Gi[--Ki],Gi[Ki]=null,Zi=Gi[--Ki],Gi[Ki]=null}var no=null,ro=null,io=!1,oo=null;function ao(e,t){var n=Ru(5,null,null,0);n.elementType="DELETED",n.stateNode=t,n.return=e,null===(t=e.deletions)?(e.deletions=[n],e.flags|=16):t.push(n)}function so(e,t){switch(e.tag){case 5:var n=e.type;return null!==(t=1!==t.nodeType||n.toLowerCase()!==t.nodeName.toLowerCase()?null:t)&&(e.stateNode=t,no=e,ro=ui(t.firstChild),!0);case 6:return null!==(t=""===e.pendingProps||3!==t.nodeType?null:t)&&(e.stateNode=t,no=e,ro=null,!0);case 13:return null!==(t=8!==t.nodeType?null:t)&&(n=null!==Yi?{id:Zi,overflow:Xi}:null,e.memoizedState={dehydrated:t,treeContext:n,retryLane:1073741824},(n=Ru(18,null,null,0)).stateNode=t,n.return=e,e.child=n,no=e,ro=null,!0);default:return!1}}function lo(e){return 0!=(1&e.mode)&&0==(128&e.flags)}function uo(e){if(io){var t=ro;if(t){var n=t;if(!so(e,t)){if(lo(e))throw Error(o(418));t=ui(n.nextSibling);var r=no;t&&so(e,t)?ao(r,n):(e.flags=-4097&e.flags|2,io=!1,no=e)}}else{if(lo(e))throw Error(o(418));e.flags=-4097&e.flags|2,io=!1,no=e}}}function co(e){for(e=e.return;null!==e&&5!==e.tag&&3!==e.tag&&13!==e.tag;)e=e.return;no=e}function po(e){if(e!==no)return!1;if(!io)return co(e),io=!0,!1;var t;if((t=3!==e.tag)&&!(t=5!==e.tag)&&(t="head"!==(t=e.type)&&"body"!==t&&!ni(e.type,e.memoizedProps)),t&&(t=ro)){if(lo(e))throw fo(),Error(o(418));for(;t;)ao(e,t),t=ui(t.nextSibling)}if(co(e),13===e.tag){if(!(e=null!==(e=e.memoizedState)?e.dehydrated:null))throw Error(o(317));e:{for(e=e.nextSibling,t=0;e;){if(8===e.nodeType){var n=e.data;if("/$"===n){if(0===t){ro=ui(e.nextSibling);break e}t--}else"$"!==n&&"$!"!==n&&"$?"!==n||t++}e=e.nextSibling}ro=null}}else ro=no?ui(e.stateNode.nextSibling):null;return!0}function fo(){for(var e=ro;e;)e=ui(e.nextSibling)}function ho(){ro=no=null,io=!1}function mo(e){null===oo?oo=[e]:oo.push(e)}var go=w.ReactCurrentBatchConfig;function vo(e,t){if(e&&e.defaultProps){for(var n in t=j({},t),e=e.defaultProps)void 0===t[n]&&(t[n]=e[n]);return t}return t}var yo=Ei(null),bo=null,wo=null,_o=null;function xo(){_o=wo=bo=null}function ko(e){var t=yo.current;Ci(yo),e._currentValue=t}function Eo(e,t,n){for(;null!==e;){var r=e.alternate;if((e.childLanes&t)!==t?(e.childLanes|=t,null!==r&&(r.childLanes|=t)):null!==r&&(r.childLanes&t)!==t&&(r.childLanes|=t),e===n)break;e=e.return}}function Co(e,t){bo=e,_o=wo=null,null!==(e=e.dependencies)&&null!==e.firstContext&&(0!=(e.lanes&t)&&(ws=!0),e.firstContext=null)}function So(e){var t=e._currentValue;if(_o!==e)if(e={context:e,memoizedValue:t,next:null},null===wo){if(null===bo)throw Error(o(308));wo=e,bo.dependencies={lanes:0,firstContext:e}}else wo=wo.next=e;return t}var Ao=null;function To(e){null===Ao?Ao=[e]:Ao.push(e)}function Oo(e,t,n,r){var i=t.interleaved;return null===i?(n.next=n,To(t)):(n.next=i.next,i.next=n),t.interleaved=n,Po(e,r)}function Po(e,t){e.lanes|=t;var n=e.alternate;for(null!==n&&(n.lanes|=t),n=e,e=e.return;null!==e;)e.childLanes|=t,null!==(n=e.alternate)&&(n.childLanes|=t),n=e,e=e.return;return 3===n.tag?n.stateNode:null}var Do=!1;function Ro(e){e.updateQueue={baseState:e.memoizedState,firstBaseUpdate:null,lastBaseUpdate:null,shared:{pending:null,interleaved:null,lanes:0},effects:null}}function Io(e,t){e=e.updateQueue,t.updateQueue===e&&(t.updateQueue={baseState:e.baseState,firstBaseUpdate:e.firstBaseUpdate,lastBaseUpdate:e.lastBaseUpdate,shared:e.shared,effects:e.effects})}function Mo(e,t){return{eventTime:e,lane:t,tag:0,payload:null,callback:null,next:null}}function Lo(e,t,n){var r=e.updateQueue;if(null===r)return null;if(r=r.shared,0!=(2&Ol)){var i=r.pending;return null===i?t.next=t:(t.next=i.next,i.next=t),r.pending=t,Po(e,n)}return null===(i=r.interleaved)?(t.next=t,To(r)):(t.next=i.next,i.next=t),r.interleaved=t,Po(e,n)}function No(e,t,n){if(null!==(t=t.updateQueue)&&(t=t.shared,0!=(4194240&n))){var r=t.lanes;n|=r&=e.pendingLanes,t.lanes=n,yt(e,n)}}function jo(e,t){var n=e.updateQueue,r=e.alternate;if(null!==r&&n===(r=r.updateQueue)){var i=null,o=null;if(null!==(n=n.firstBaseUpdate)){do{var a={eventTime:n.eventTime,lane:n.lane,tag:n.tag,payload:n.payload,callback:n.callback,next:null};null===o?i=o=a:o=o.next=a,n=n.next}while(null!==n);null===o?i=o=t:o=o.next=t}else i=o=t;return n={baseState:r.baseState,firstBaseUpdate:i,lastBaseUpdate:o,shared:r.shared,effects:r.effects},void(e.updateQueue=n)}null===(e=n.lastBaseUpdate)?n.firstBaseUpdate=t:e.next=t,n.lastBaseUpdate=t}function Fo(e,t,n,r){var i=e.updateQueue;Do=!1;var o=i.firstBaseUpdate,a=i.lastBaseUpdate,s=i.shared.pending;if(null!==s){i.shared.pending=null;var l=s,u=l.next;l.next=null,null===a?o=u:a.next=u,a=l;var c=e.alternate;null!==c&&(s=(c=c.updateQueue).lastBaseUpdate)!==a&&(null===s?c.firstBaseUpdate=u:s.next=u,c.lastBaseUpdate=l)}if(null!==o){var d=i.baseState;for(a=0,c=u=l=null,s=o;;){var p=s.lane,f=s.eventTime;if((r&p)===p){null!==c&&(c=c.next={eventTime:f,lane:0,tag:s.tag,payload:s.payload,callback:s.callback,next:null});e:{var h=e,m=s;switch(p=t,f=n,m.tag){case 1:if("function"==typeof(h=m.payload)){d=h.call(f,d,p);break e}d=h;break e;case 3:h.flags=-65537&h.flags|128;case 0:if(null==(p="function"==typeof(h=m.payload)?h.call(f,d,p):h))break e;d=j({},d,p);break e;case 2:Do=!0}}null!==s.callback&&0!==s.lane&&(e.flags|=64,null===(p=i.effects)?i.effects=[s]:p.push(s))}else f={eventTime:f,lane:p,tag:s.tag,payload:s.payload,callback:s.callback,next:null},null===c?(u=c=f,l=d):c=c.next=f,a|=p;if(null===(s=s.next)){if(null===(s=i.shared.pending))break;s=(p=s).next,p.next=null,i.lastBaseUpdate=p,i.shared.pending=null}}if(null===c&&(l=d),i.baseState=l,i.firstBaseUpdate=u,i.lastBaseUpdate=c,null!==(t=i.shared.interleaved)){i=t;do{a|=i.lane,i=i.next}while(i!==t)}else null===o&&(i.shared.lanes=0);jl|=a,e.lanes=a,e.memoizedState=d}}function Bo(e,t,n){if(e=t.effects,t.effects=null,null!==e)for(t=0;t<e.length;t++){var r=e[t],i=r.callback;if(null!==i){if(r.callback=null,r=n,"function"!=typeof i)throw Error(o(191,i));i.call(r)}}}var zo=(new r.Component).refs;function Uo(e,t,n,r){n=null==(n=n(r,t=e.memoizedState))?t:j({},t,n),e.memoizedState=n,0===e.lanes&&(e.updateQueue.baseState=n)}var qo={isMounted:function(e){return!!(e=e._reactInternals)&&qe(e)===e},enqueueSetState:function(e,t,n){e=e._reactInternals;var r=tu(),i=nu(e),o=Mo(r,i);o.payload=t,null!=n&&(o.callback=n),null!==(t=Lo(e,o,i))&&(ru(t,e,i,r),No(t,e,i))},enqueueReplaceState:function(e,t,n){e=e._reactInternals;var r=tu(),i=nu(e),o=Mo(r,i);o.tag=1,o.payload=t,null!=n&&(o.callback=n),null!==(t=Lo(e,o,i))&&(ru(t,e,i,r),No(t,e,i))},enqueueForceUpdate:function(e,t){e=e._reactInternals;var n=tu(),r=nu(e),i=Mo(n,r);i.tag=2,null!=t&&(i.callback=t),null!==(t=Lo(e,i,r))&&(ru(t,e,r,n),No(t,e,r))}};function $o(e,t,n,r,i,o,a){return"function"==typeof(e=e.stateNode).shouldComponentUpdate?e.shouldComponentUpdate(r,o,a):!(t.prototype&&t.prototype.isPureReactComponent&&lr(n,r)&&lr(i,o))}function Wo(e,t,n){var r=!1,i=Ai,o=t.contextType;return"object"==typeof o&&null!==o?o=So(o):(i=Ri(t)?Pi:Ti.current,o=(r=null!=(r=t.contextTypes))?Di(e,i):Ai),t=new t(n,o),e.memoizedState=null!==t.state&&void 0!==t.state?t.state:null,t.updater=qo,e.stateNode=t,t._reactInternals=e,r&&((e=e.stateNode).__reactInternalMemoizedUnmaskedChildContext=i,e.__reactInternalMemoizedMaskedChildContext=o),t}function Ho(e,t,n,r){e=t.state,"function"==typeof t.componentWillReceiveProps&&t.componentWillReceiveProps(n,r),"function"==typeof t.UNSAFE_componentWillReceiveProps&&t.UNSAFE_componentWillReceiveProps(n,r),t.state!==e&&qo.enqueueReplaceState(t,t.state,null)}function Vo(e,t,n,r){var i=e.stateNode;i.props=n,i.state=e.memoizedState,i.refs=zo,Ro(e);var o=t.contextType;"object"==typeof o&&null!==o?i.context=So(o):(o=Ri(t)?Pi:Ti.current,i.context=Di(e,o)),i.state=e.memoizedState,"function"==typeof(o=t.getDerivedStateFromProps)&&(Uo(e,t,o,n),i.state=e.memoizedState),"function"==typeof t.getDerivedStateFromProps||"function"==typeof i.getSnapshotBeforeUpdate||"function"!=typeof i.UNSAFE_componentWillMount&&"function"!=typeof i.componentWillMount||(t=i.state,"function"==typeof i.componentWillMount&&i.componentWillMount(),"function"==typeof i.UNSAFE_componentWillMount&&i.UNSAFE_componentWillMount(),t!==i.state&&qo.enqueueReplaceState(i,i.state,null),Fo(e,n,i,r),i.state=e.memoizedState),"function"==typeof i.componentDidMount&&(e.flags|=4194308)}function Go(e,t,n){if(null!==(e=n.ref)&&"function"!=typeof e&&"object"!=typeof e){if(n._owner){if(n=n._owner){if(1!==n.tag)throw Error(o(309));var r=n.stateNode}if(!r)throw Error(o(147,e));var i=r,a=""+e;return null!==t&&null!==t.ref&&"function"==typeof t.ref&&t.ref._stringRef===a?t.ref:(t=function(e){var t=i.refs;t===zo&&(t=i.refs={}),null===e?delete t[a]:t[a]=e},t._stringRef=a,t)}if("string"!=typeof e)throw Error(o(284));if(!n._owner)throw Error(o(290,e))}return e}function Ko(e,t){throw e=Object.prototype.toString.call(t),Error(o(31,"[object Object]"===e?"object with keys {"+Object.keys(t).join(", ")+"}":e))}function Yo(e){return(0,e._init)(e._payload)}function Zo(e){function t(t,n){if(e){var r=t.deletions;null===r?(t.deletions=[n],t.flags|=16):r.push(n)}}function n(n,r){if(!e)return null;for(;null!==r;)t(n,r),r=r.sibling;return null}function r(e,t){for(e=new Map;null!==t;)null!==t.key?e.set(t.key,t):e.set(t.index,t),t=t.sibling;return e}function i(e,t){return(e=Mu(e,t)).index=0,e.sibling=null,e}function a(t,n,r){return t.index=r,e?null!==(r=t.alternate)?(r=r.index)<n?(t.flags|=2,n):r:(t.flags|=2,n):(t.flags|=1048576,n)}function s(t){return e&&null===t.alternate&&(t.flags|=2),t}function l(e,t,n,r){return null===t||6!==t.tag?((t=Fu(n,e.mode,r)).return=e,t):((t=i(t,n)).return=e,t)}function u(e,t,n,r){var o=n.type;return o===k?d(e,t,n.props.children,r,n.key):null!==t&&(t.elementType===o||"object"==typeof o&&null!==o&&o.$$typeof===R&&Yo(o)===t.type)?((r=i(t,n.props)).ref=Go(e,t,n),r.return=e,r):((r=Lu(n.type,n.key,n.props,null,e.mode,r)).ref=Go(e,t,n),r.return=e,r)}function c(e,t,n,r){return null===t||4!==t.tag||t.stateNode.containerInfo!==n.containerInfo||t.stateNode.implementation!==n.implementation?((t=Bu(n,e.mode,r)).return=e,t):((t=i(t,n.children||[])).return=e,t)}function d(e,t,n,r,o){return null===t||7!==t.tag?((t=Nu(n,e.mode,r,o)).return=e,t):((t=i(t,n)).return=e,t)}function p(e,t,n){if("string"==typeof t&&""!==t||"number"==typeof t)return(t=Fu(""+t,e.mode,n)).return=e,t;if("object"==typeof t&&null!==t){switch(t.$$typeof){case _:return(n=Lu(t.type,t.key,t.props,null,e.mode,n)).ref=Go(e,null,t),n.return=e,n;case x:return(t=Bu(t,e.mode,n)).return=e,t;case R:return p(e,(0,t._init)(t._payload),n)}if(te(t)||L(t))return(t=Nu(t,e.mode,n,null)).return=e,t;Ko(e,t)}return null}function f(e,t,n,r){var i=null!==t?t.key:null;if("string"==typeof n&&""!==n||"number"==typeof n)return null!==i?null:l(e,t,""+n,r);if("object"==typeof n&&null!==n){switch(n.$$typeof){case _:return n.key===i?u(e,t,n,r):null;case x:return n.key===i?c(e,t,n,r):null;case R:return f(e,t,(i=n._init)(n._payload),r)}if(te(n)||L(n))return null!==i?null:d(e,t,n,r,null);Ko(e,n)}return null}function h(e,t,n,r,i){if("string"==typeof r&&""!==r||"number"==typeof r)return l(t,e=e.get(n)||null,""+r,i);if("object"==typeof r&&null!==r){switch(r.$$typeof){case _:return u(t,e=e.get(null===r.key?n:r.key)||null,r,i);case x:return c(t,e=e.get(null===r.key?n:r.key)||null,r,i);case R:return h(e,t,n,(0,r._init)(r._payload),i)}if(te(r)||L(r))return d(t,e=e.get(n)||null,r,i,null);Ko(t,r)}return null}function m(i,o,s,l){for(var u=null,c=null,d=o,m=o=0,g=null;null!==d&&m<s.length;m++){d.index>m?(g=d,d=null):g=d.sibling;var v=f(i,d,s[m],l);if(null===v){null===d&&(d=g);break}e&&d&&null===v.alternate&&t(i,d),o=a(v,o,m),null===c?u=v:c.sibling=v,c=v,d=g}if(m===s.length)return n(i,d),io&&Qi(i,m),u;if(null===d){for(;m<s.length;m++)null!==(d=p(i,s[m],l))&&(o=a(d,o,m),null===c?u=d:c.sibling=d,c=d);return io&&Qi(i,m),u}for(d=r(i,d);m<s.length;m++)null!==(g=h(d,i,m,s[m],l))&&(e&&null!==g.alternate&&d.delete(null===g.key?m:g.key),o=a(g,o,m),null===c?u=g:c.sibling=g,c=g);return e&&d.forEach((function(e){return t(i,e)})),io&&Qi(i,m),u}function g(i,s,l,u){var c=L(l);if("function"!=typeof c)throw Error(o(150));if(null==(l=c.call(l)))throw Error(o(151));for(var d=c=null,m=s,g=s=0,v=null,y=l.next();null!==m&&!y.done;g++,y=l.next()){m.index>g?(v=m,m=null):v=m.sibling;var b=f(i,m,y.value,u);if(null===b){null===m&&(m=v);break}e&&m&&null===b.alternate&&t(i,m),s=a(b,s,g),null===d?c=b:d.sibling=b,d=b,m=v}if(y.done)return n(i,m),io&&Qi(i,g),c;if(null===m){for(;!y.done;g++,y=l.next())null!==(y=p(i,y.value,u))&&(s=a(y,s,g),null===d?c=y:d.sibling=y,d=y);return io&&Qi(i,g),c}for(m=r(i,m);!y.done;g++,y=l.next())null!==(y=h(m,i,g,y.value,u))&&(e&&null!==y.alternate&&m.delete(null===y.key?g:y.key),s=a(y,s,g),null===d?c=y:d.sibling=y,d=y);return e&&m.forEach((function(e){return t(i,e)})),io&&Qi(i,g),c}return function e(r,o,a,l){if("object"==typeof a&&null!==a&&a.type===k&&null===a.key&&(a=a.props.children),"object"==typeof a&&null!==a){switch(a.$$typeof){case _:e:{for(var u=a.key,c=o;null!==c;){if(c.key===u){if((u=a.type)===k){if(7===c.tag){n(r,c.sibling),(o=i(c,a.props.children)).return=r,r=o;break e}}else if(c.elementType===u||"object"==typeof u&&null!==u&&u.$$typeof===R&&Yo(u)===c.type){n(r,c.sibling),(o=i(c,a.props)).ref=Go(r,c,a),o.return=r,r=o;break e}n(r,c);break}t(r,c),c=c.sibling}a.type===k?((o=Nu(a.props.children,r.mode,l,a.key)).return=r,r=o):((l=Lu(a.type,a.key,a.props,null,r.mode,l)).ref=Go(r,o,a),l.return=r,r=l)}return s(r);case x:e:{for(c=a.key;null!==o;){if(o.key===c){if(4===o.tag&&o.stateNode.containerInfo===a.containerInfo&&o.stateNode.implementation===a.implementation){n(r,o.sibling),(o=i(o,a.children||[])).return=r,r=o;break e}n(r,o);break}t(r,o),o=o.sibling}(o=Bu(a,r.mode,l)).return=r,r=o}return s(r);case R:return e(r,o,(c=a._init)(a._payload),l)}if(te(a))return m(r,o,a,l);if(L(a))return g(r,o,a,l);Ko(r,a)}return"string"==typeof a&&""!==a||"number"==typeof a?(a=""+a,null!==o&&6===o.tag?(n(r,o.sibling),(o=i(o,a)).return=r,r=o):(n(r,o),(o=Fu(a,r.mode,l)).return=r,r=o),s(r)):n(r,o)}}var Xo=Zo(!0),Qo=Zo(!1),Jo={},ea=Ei(Jo),ta=Ei(Jo),na=Ei(Jo);function ra(e){if(e===Jo)throw Error(o(174));return e}function ia(e,t){switch(Si(na,t),Si(ta,e),Si(ea,Jo),e=t.nodeType){case 9:case 11:t=(t=t.documentElement)?t.namespaceURI:le(null,"");break;default:t=le(t=(e=8===e?t.parentNode:t).namespaceURI||null,e=e.tagName)}Ci(ea),Si(ea,t)}function oa(){Ci(ea),Ci(ta),Ci(na)}function aa(e){ra(na.current);var t=ra(ea.current),n=le(t,e.type);t!==n&&(Si(ta,e),Si(ea,n))}function sa(e){ta.current===e&&(Ci(ea),Ci(ta))}var la=Ei(0);function ua(e){for(var t=e;null!==t;){if(13===t.tag){var n=t.memoizedState;if(null!==n&&(null===(n=n.dehydrated)||"$?"===n.data||"$!"===n.data))return t}else if(19===t.tag&&void 0!==t.memoizedProps.revealOrder){if(0!=(128&t.flags))return t}else if(null!==t.child){t.child.return=t,t=t.child;continue}if(t===e)break;for(;null===t.sibling;){if(null===t.return||t.return===e)return null;t=t.return}t.sibling.return=t.return,t=t.sibling}return null}var ca=[];function da(){for(var e=0;e<ca.length;e++)ca[e]._workInProgressVersionPrimary=null;ca.length=0}var pa=w.ReactCurrentDispatcher,fa=w.ReactCurrentBatchConfig,ha=0,ma=null,ga=null,va=null,ya=!1,ba=!1,wa=0,_a=0;function xa(){throw Error(o(321))}function ka(e,t){if(null===t)return!1;for(var n=0;n<t.length&&n<e.length;n++)if(!sr(e[n],t[n]))return!1;return!0}function Ea(e,t,n,r,i,a){if(ha=a,ma=t,t.memoizedState=null,t.updateQueue=null,t.lanes=0,pa.current=null===e||null===e.memoizedState?ss:ls,e=n(r,i),ba){a=0;do{if(ba=!1,wa=0,25<=a)throw Error(o(301));a+=1,va=ga=null,t.updateQueue=null,pa.current=us,e=n(r,i)}while(ba)}if(pa.current=as,t=null!==ga&&null!==ga.next,ha=0,va=ga=ma=null,ya=!1,t)throw Error(o(300));return e}function Ca(){var e=0!==wa;return wa=0,e}function Sa(){var e={memoizedState:null,baseState:null,baseQueue:null,queue:null,next:null};return null===va?ma.memoizedState=va=e:va=va.next=e,va}function Aa(){if(null===ga){var e=ma.alternate;e=null!==e?e.memoizedState:null}else e=ga.next;var t=null===va?ma.memoizedState:va.next;if(null!==t)va=t,ga=e;else{if(null===e)throw Error(o(310));e={memoizedState:(ga=e).memoizedState,baseState:ga.baseState,baseQueue:ga.baseQueue,queue:ga.queue,next:null},null===va?ma.memoizedState=va=e:va=va.next=e}return va}function Ta(e,t){return"function"==typeof t?t(e):t}function Oa(e){var t=Aa(),n=t.queue;if(null===n)throw Error(o(311));n.lastRenderedReducer=e;var r=ga,i=r.baseQueue,a=n.pending;if(null!==a){if(null!==i){var s=i.next;i.next=a.next,a.next=s}r.baseQueue=i=a,n.pending=null}if(null!==i){a=i.next,r=r.baseState;var l=s=null,u=null,c=a;do{var d=c.lane;if((ha&d)===d)null!==u&&(u=u.next={lane:0,action:c.action,hasEagerState:c.hasEagerState,eagerState:c.eagerState,next:null}),r=c.hasEagerState?c.eagerState:e(r,c.action);else{var p={lane:d,action:c.action,hasEagerState:c.hasEagerState,eagerState:c.eagerState,next:null};null===u?(l=u=p,s=r):u=u.next=p,ma.lanes|=d,jl|=d}c=c.next}while(null!==c&&c!==a);null===u?s=r:u.next=l,sr(r,t.memoizedState)||(ws=!0),t.memoizedState=r,t.baseState=s,t.baseQueue=u,n.lastRenderedState=r}if(null!==(e=n.interleaved)){i=e;do{a=i.lane,ma.lanes|=a,jl|=a,i=i.next}while(i!==e)}else null===i&&(n.lanes=0);return[t.memoizedState,n.dispatch]}function Pa(e){var t=Aa(),n=t.queue;if(null===n)throw Error(o(311));n.lastRenderedReducer=e;var r=n.dispatch,i=n.pending,a=t.memoizedState;if(null!==i){n.pending=null;var s=i=i.next;do{a=e(a,s.action),s=s.next}while(s!==i);sr(a,t.memoizedState)||(ws=!0),t.memoizedState=a,null===t.baseQueue&&(t.baseState=a),n.lastRenderedState=a}return[a,r]}function Da(){}function Ra(e,t){var n=ma,r=Aa(),i=t(),a=!sr(r.memoizedState,i);if(a&&(r.memoizedState=i,ws=!0),r=r.queue,Wa(La.bind(null,n,r,e),[e]),r.getSnapshot!==t||a||null!==va&&1&va.memoizedState.tag){if(n.flags|=2048,Ba(9,Ma.bind(null,n,r,i,t),void 0,null),null===Pl)throw Error(o(349));0!=(30&ha)||Ia(n,t,i)}return i}function Ia(e,t,n){e.flags|=16384,e={getSnapshot:t,value:n},null===(t=ma.updateQueue)?(t={lastEffect:null,stores:null},ma.updateQueue=t,t.stores=[e]):null===(n=t.stores)?t.stores=[e]:n.push(e)}function Ma(e,t,n,r){t.value=n,t.getSnapshot=r,Na(t)&&ja(e)}function La(e,t,n){return n((function(){Na(t)&&ja(e)}))}function Na(e){var t=e.getSnapshot;e=e.value;try{var n=t();return!sr(e,n)}catch(e){return!0}}function ja(e){var t=Po(e,1);null!==t&&ru(t,e,1,-1)}function Fa(e){var t=Sa();return"function"==typeof e&&(e=e()),t.memoizedState=t.baseState=e,e={pending:null,interleaved:null,lanes:0,dispatch:null,lastRenderedReducer:Ta,lastRenderedState:e},t.queue=e,e=e.dispatch=ns.bind(null,ma,e),[t.memoizedState,e]}function Ba(e,t,n,r){return e={tag:e,create:t,destroy:n,deps:r,next:null},null===(t=ma.updateQueue)?(t={lastEffect:null,stores:null},ma.updateQueue=t,t.lastEffect=e.next=e):null===(n=t.lastEffect)?t.lastEffect=e.next=e:(r=n.next,n.next=e,e.next=r,t.lastEffect=e),e}function za(){return Aa().memoizedState}function Ua(e,t,n,r){var i=Sa();ma.flags|=e,i.memoizedState=Ba(1|t,n,void 0,void 0===r?null:r)}function qa(e,t,n,r){var i=Aa();r=void 0===r?null:r;var o=void 0;if(null!==ga){var a=ga.memoizedState;if(o=a.destroy,null!==r&&ka(r,a.deps))return void(i.memoizedState=Ba(t,n,o,r))}ma.flags|=e,i.memoizedState=Ba(1|t,n,o,r)}function $a(e,t){return Ua(8390656,8,e,t)}function Wa(e,t){return qa(2048,8,e,t)}function Ha(e,t){return qa(4,2,e,t)}function Va(e,t){return qa(4,4,e,t)}function Ga(e,t){return"function"==typeof t?(e=e(),t(e),function(){t(null)}):null!=t?(e=e(),t.current=e,function(){t.current=null}):void 0}function Ka(e,t,n){return n=null!=n?n.concat([e]):null,qa(4,4,Ga.bind(null,t,e),n)}function Ya(){}function Za(e,t){var n=Aa();t=void 0===t?null:t;var r=n.memoizedState;return null!==r&&null!==t&&ka(t,r[1])?r[0]:(n.memoizedState=[e,t],e)}function Xa(e,t){var n=Aa();t=void 0===t?null:t;var r=n.memoizedState;return null!==r&&null!==t&&ka(t,r[1])?r[0]:(e=e(),n.memoizedState=[e,t],e)}function Qa(e,t,n){return 0==(21&ha)?(e.baseState&&(e.baseState=!1,ws=!0),e.memoizedState=n):(sr(n,t)||(n=mt(),ma.lanes|=n,jl|=n,e.baseState=!0),t)}function Ja(e,t){var n=bt;bt=0!==n&&4>n?n:4,e(!0);var r=fa.transition;fa.transition={};try{e(!1),t()}finally{bt=n,fa.transition=r}}function es(){return Aa().memoizedState}function ts(e,t,n){var r=nu(e);n={lane:r,action:n,hasEagerState:!1,eagerState:null,next:null},rs(e)?is(t,n):null!==(n=Oo(e,t,n,r))&&(ru(n,e,r,tu()),os(n,t,r))}function ns(e,t,n){var r=nu(e),i={lane:r,action:n,hasEagerState:!1,eagerState:null,next:null};if(rs(e))is(t,i);else{var o=e.alternate;if(0===e.lanes&&(null===o||0===o.lanes)&&null!==(o=t.lastRenderedReducer))try{var a=t.lastRenderedState,s=o(a,n);if(i.hasEagerState=!0,i.eagerState=s,sr(s,a)){var l=t.interleaved;return null===l?(i.next=i,To(t)):(i.next=l.next,l.next=i),void(t.interleaved=i)}}catch(e){}null!==(n=Oo(e,t,i,r))&&(ru(n,e,r,i=tu()),os(n,t,r))}}function rs(e){var t=e.alternate;return e===ma||null!==t&&t===ma}function is(e,t){ba=ya=!0;var n=e.pending;null===n?t.next=t:(t.next=n.next,n.next=t),e.pending=t}function os(e,t,n){if(0!=(4194240&n)){var r=t.lanes;n|=r&=e.pendingLanes,t.lanes=n,yt(e,n)}}var as={readContext:So,useCallback:xa,useContext:xa,useEffect:xa,useImperativeHandle:xa,useInsertionEffect:xa,useLayoutEffect:xa,useMemo:xa,useReducer:xa,useRef:xa,useState:xa,useDebugValue:xa,useDeferredValue:xa,useTransition:xa,useMutableSource:xa,useSyncExternalStore:xa,useId:xa,unstable_isNewReconciler:!1},ss={readContext:So,useCallback:function(e,t){return Sa().memoizedState=[e,void 0===t?null:t],e},useContext:So,useEffect:$a,useImperativeHandle:function(e,t,n){return n=null!=n?n.concat([e]):null,Ua(4194308,4,Ga.bind(null,t,e),n)},useLayoutEffect:function(e,t){return Ua(4194308,4,e,t)},useInsertionEffect:function(e,t){return Ua(4,2,e,t)},useMemo:function(e,t){var n=Sa();return t=void 0===t?null:t,e=e(),n.memoizedState=[e,t],e},useReducer:function(e,t,n){var r=Sa();return t=void 0!==n?n(t):t,r.memoizedState=r.baseState=t,e={pending:null,interleaved:null,lanes:0,dispatch:null,lastRenderedReducer:e,lastRenderedState:t},r.queue=e,e=e.dispatch=ts.bind(null,ma,e),[r.memoizedState,e]},useRef:function(e){return e={current:e},Sa().memoizedState=e},useState:Fa,useDebugValue:Ya,useDeferredValue:function(e){return Sa().memoizedState=e},useTransition:function(){var e=Fa(!1),t=e[0];return e=Ja.bind(null,e[1]),Sa().memoizedState=e,[t,e]},useMutableSource:function(){},useSyncExternalStore:function(e,t,n){var r=ma,i=Sa();if(io){if(void 0===n)throw Error(o(407));n=n()}else{if(n=t(),null===Pl)throw Error(o(349));0!=(30&ha)||Ia(r,t,n)}i.memoizedState=n;var a={value:n,getSnapshot:t};return i.queue=a,$a(La.bind(null,r,a,e),[e]),r.flags|=2048,Ba(9,Ma.bind(null,r,a,n,t),void 0,null),n},useId:function(){var e=Sa(),t=Pl.identifierPrefix;if(io){var n=Xi;t=":"+t+"R"+(n=(Zi&~(1<<32-at(Zi)-1)).toString(32)+n),0<(n=wa++)&&(t+="H"+n.toString(32)),t+=":"}else t=":"+t+"r"+(n=_a++).toString(32)+":";return e.memoizedState=t},unstable_isNewReconciler:!1},ls={readContext:So,useCallback:Za,useContext:So,useEffect:Wa,useImperativeHandle:Ka,useInsertionEffect:Ha,useLayoutEffect:Va,useMemo:Xa,useReducer:Oa,useRef:za,useState:function(){return Oa(Ta)},useDebugValue:Ya,useDeferredValue:function(e){return Qa(Aa(),ga.memoizedState,e)},useTransition:function(){return[Oa(Ta)[0],Aa().memoizedState]},useMutableSource:Da,useSyncExternalStore:Ra,useId:es,unstable_isNewReconciler:!1},us={readContext:So,useCallback:Za,useContext:So,useEffect:Wa,useImperativeHandle:Ka,useInsertionEffect:Ha,useLayoutEffect:Va,useMemo:Xa,useReducer:Pa,useRef:za,useState:function(){return Pa(Ta)},useDebugValue:Ya,useDeferredValue:function(e){var t=Aa();return null===ga?t.memoizedState=e:Qa(t,ga.memoizedState,e)},useTransition:function(){return[Pa(Ta)[0],Aa().memoizedState]},useMutableSource:Da,useSyncExternalStore:Ra,useId:es,unstable_isNewReconciler:!1};function cs(e,t){try{var n="",r=t;do{n+=U(r),r=r.return}while(r);var i=n}catch(e){i="\nError generating stack: "+e.message+"\n"+e.stack}return{value:e,source:t,stack:i,digest:null}}function ds(e,t,n){return{value:e,source:null,stack:null!=n?n:null,digest:null!=t?t:null}}function ps(e,t){try{console.error(t.value)}catch(e){setTimeout((function(){throw e}))}}var fs="function"==typeof WeakMap?WeakMap:Map;function hs(e,t,n){(n=Mo(-1,n)).tag=3,n.payload={element:null};var r=t.value;return n.callback=function(){Hl||(Hl=!0,Vl=r),ps(0,t)},n}function ms(e,t,n){(n=Mo(-1,n)).tag=3;var r=e.type.getDerivedStateFromError;if("function"==typeof r){var i=t.value;n.payload=function(){return r(i)},n.callback=function(){ps(0,t)}}var o=e.stateNode;return null!==o&&"function"==typeof o.componentDidCatch&&(n.callback=function(){ps(0,t),"function"!=typeof r&&(null===Gl?Gl=new Set([this]):Gl.add(this));var e=t.stack;this.componentDidCatch(t.value,{componentStack:null!==e?e:""})}),n}function gs(e,t,n){var r=e.pingCache;if(null===r){r=e.pingCache=new fs;var i=new Set;r.set(t,i)}else void 0===(i=r.get(t))&&(i=new Set,r.set(t,i));i.has(n)||(i.add(n),e=Su.bind(null,e,t,n),t.then(e,e))}function vs(e){do{var t;if((t=13===e.tag)&&(t=null===(t=e.memoizedState)||null!==t.dehydrated),t)return e;e=e.return}while(null!==e);return null}function ys(e,t,n,r,i){return 0==(1&e.mode)?(e===t?e.flags|=65536:(e.flags|=128,n.flags|=131072,n.flags&=-52805,1===n.tag&&(null===n.alternate?n.tag=17:((t=Mo(-1,1)).tag=2,Lo(n,t,1))),n.lanes|=1),e):(e.flags|=65536,e.lanes=i,e)}var bs=w.ReactCurrentOwner,ws=!1;function _s(e,t,n,r){t.child=null===e?Qo(t,null,n,r):Xo(t,e.child,n,r)}function xs(e,t,n,r,i){n=n.render;var o=t.ref;return Co(t,i),r=Ea(e,t,n,r,o,i),n=Ca(),null===e||ws?(io&&n&&eo(t),t.flags|=1,_s(e,t,r,i),t.child):(t.updateQueue=e.updateQueue,t.flags&=-2053,e.lanes&=~i,Hs(e,t,i))}function ks(e,t,n,r,i){if(null===e){var o=n.type;return"function"!=typeof o||Iu(o)||void 0!==o.defaultProps||null!==n.compare||void 0!==n.defaultProps?((e=Lu(n.type,null,r,t,t.mode,i)).ref=t.ref,e.return=t,t.child=e):(t.tag=15,t.type=o,Es(e,t,o,r,i))}if(o=e.child,0==(e.lanes&i)){var a=o.memoizedProps;if((n=null!==(n=n.compare)?n:lr)(a,r)&&e.ref===t.ref)return Hs(e,t,i)}return t.flags|=1,(e=Mu(o,r)).ref=t.ref,e.return=t,t.child=e}function Es(e,t,n,r,i){if(null!==e){var o=e.memoizedProps;if(lr(o,r)&&e.ref===t.ref){if(ws=!1,t.pendingProps=r=o,0==(e.lanes&i))return t.lanes=e.lanes,Hs(e,t,i);0!=(131072&e.flags)&&(ws=!0)}}return As(e,t,n,r,i)}function Cs(e,t,n){var r=t.pendingProps,i=r.children,o=null!==e?e.memoizedState:null;if("hidden"===r.mode)if(0==(1&t.mode))t.memoizedState={baseLanes:0,cachePool:null,transitions:null},Si(Ml,Il),Il|=n;else{if(0==(1073741824&n))return e=null!==o?o.baseLanes|n:n,t.lanes=t.childLanes=1073741824,t.memoizedState={baseLanes:e,cachePool:null,transitions:null},t.updateQueue=null,Si(Ml,Il),Il|=e,null;t.memoizedState={baseLanes:0,cachePool:null,transitions:null},r=null!==o?o.baseLanes:n,Si(Ml,Il),Il|=r}else null!==o?(r=o.baseLanes|n,t.memoizedState=null):r=n,Si(Ml,Il),Il|=r;return _s(e,t,i,n),t.child}function Ss(e,t){var n=t.ref;(null===e&&null!==n||null!==e&&e.ref!==n)&&(t.flags|=512,t.flags|=2097152)}function As(e,t,n,r,i){var o=Ri(n)?Pi:Ti.current;return o=Di(t,o),Co(t,i),n=Ea(e,t,n,r,o,i),r=Ca(),null===e||ws?(io&&r&&eo(t),t.flags|=1,_s(e,t,n,i),t.child):(t.updateQueue=e.updateQueue,t.flags&=-2053,e.lanes&=~i,Hs(e,t,i))}function Ts(e,t,n,r,i){if(Ri(n)){var o=!0;Ni(t)}else o=!1;if(Co(t,i),null===t.stateNode)Ws(e,t),Wo(t,n,r),Vo(t,n,r,i),r=!0;else if(null===e){var a=t.stateNode,s=t.memoizedProps;a.props=s;var l=a.context,u=n.contextType;u="object"==typeof u&&null!==u?So(u):Di(t,u=Ri(n)?Pi:Ti.current);var c=n.getDerivedStateFromProps,d="function"==typeof c||"function"==typeof a.getSnapshotBeforeUpdate;d||"function"!=typeof a.UNSAFE_componentWillReceiveProps&&"function"!=typeof a.componentWillReceiveProps||(s!==r||l!==u)&&Ho(t,a,r,u),Do=!1;var p=t.memoizedState;a.state=p,Fo(t,r,a,i),l=t.memoizedState,s!==r||p!==l||Oi.current||Do?("function"==typeof c&&(Uo(t,n,c,r),l=t.memoizedState),(s=Do||$o(t,n,s,r,p,l,u))?(d||"function"!=typeof a.UNSAFE_componentWillMount&&"function"!=typeof a.componentWillMount||("function"==typeof a.componentWillMount&&a.componentWillMount(),"function"==typeof a.UNSAFE_componentWillMount&&a.UNSAFE_componentWillMount()),"function"==typeof a.componentDidMount&&(t.flags|=4194308)):("function"==typeof a.componentDidMount&&(t.flags|=4194308),t.memoizedProps=r,t.memoizedState=l),a.props=r,a.state=l,a.context=u,r=s):("function"==typeof a.componentDidMount&&(t.flags|=4194308),r=!1)}else{a=t.stateNode,Io(e,t),s=t.memoizedProps,u=t.type===t.elementType?s:vo(t.type,s),a.props=u,d=t.pendingProps,p=a.context,l="object"==typeof(l=n.contextType)&&null!==l?So(l):Di(t,l=Ri(n)?Pi:Ti.current);var f=n.getDerivedStateFromProps;(c="function"==typeof f||"function"==typeof a.getSnapshotBeforeUpdate)||"function"!=typeof a.UNSAFE_componentWillReceiveProps&&"function"!=typeof a.componentWillReceiveProps||(s!==d||p!==l)&&Ho(t,a,r,l),Do=!1,p=t.memoizedState,a.state=p,Fo(t,r,a,i);var h=t.memoizedState;s!==d||p!==h||Oi.current||Do?("function"==typeof f&&(Uo(t,n,f,r),h=t.memoizedState),(u=Do||$o(t,n,u,r,p,h,l)||!1)?(c||"function"!=typeof a.UNSAFE_componentWillUpdate&&"function"!=typeof a.componentWillUpdate||("function"==typeof a.componentWillUpdate&&a.componentWillUpdate(r,h,l),"function"==typeof a.UNSAFE_componentWillUpdate&&a.UNSAFE_componentWillUpdate(r,h,l)),"function"==typeof a.componentDidUpdate&&(t.flags|=4),"function"==typeof a.getSnapshotBeforeUpdate&&(t.flags|=1024)):("function"!=typeof a.componentDidUpdate||s===e.memoizedProps&&p===e.memoizedState||(t.flags|=4),"function"!=typeof a.getSnapshotBeforeUpdate||s===e.memoizedProps&&p===e.memoizedState||(t.flags|=1024),t.memoizedProps=r,t.memoizedState=h),a.props=r,a.state=h,a.context=l,r=u):("function"!=typeof a.componentDidUpdate||s===e.memoizedProps&&p===e.memoizedState||(t.flags|=4),"function"!=typeof a.getSnapshotBeforeUpdate||s===e.memoizedProps&&p===e.memoizedState||(t.flags|=1024),r=!1)}return Os(e,t,n,r,o,i)}function Os(e,t,n,r,i,o){Ss(e,t);var a=0!=(128&t.flags);if(!r&&!a)return i&&ji(t,n,!1),Hs(e,t,o);r=t.stateNode,bs.current=t;var s=a&&"function"!=typeof n.getDerivedStateFromError?null:r.render();return t.flags|=1,null!==e&&a?(t.child=Xo(t,e.child,null,o),t.child=Xo(t,null,s,o)):_s(e,t,s,o),t.memoizedState=r.state,i&&ji(t,n,!0),t.child}function Ps(e){var t=e.stateNode;t.pendingContext?Mi(0,t.pendingContext,t.pendingContext!==t.context):t.context&&Mi(0,t.context,!1),ia(e,t.containerInfo)}function Ds(e,t,n,r,i){return ho(),mo(i),t.flags|=256,_s(e,t,n,r),t.child}var Rs,Is,Ms,Ls,Ns={dehydrated:null,treeContext:null,retryLane:0};function js(e){return{baseLanes:e,cachePool:null,transitions:null}}function Fs(e,t,n){var r,i=t.pendingProps,a=la.current,s=!1,l=0!=(128&t.flags);if((r=l)||(r=(null===e||null!==e.memoizedState)&&0!=(2&a)),r?(s=!0,t.flags&=-129):null!==e&&null===e.memoizedState||(a|=1),Si(la,1&a),null===e)return uo(t),null!==(e=t.memoizedState)&&null!==(e=e.dehydrated)?(0==(1&t.mode)?t.lanes=1:"$!"===e.data?t.lanes=8:t.lanes=1073741824,null):(l=i.children,e=i.fallback,s?(i=t.mode,s=t.child,l={mode:"hidden",children:l},0==(1&i)&&null!==s?(s.childLanes=0,s.pendingProps=l):s=ju(l,i,0,null),e=Nu(e,i,n,null),s.return=t,e.return=t,s.sibling=e,t.child=s,t.child.memoizedState=js(n),t.memoizedState=Ns,e):Bs(t,l));if(null!==(a=e.memoizedState)&&null!==(r=a.dehydrated))return function(e,t,n,r,i,a,s){if(n)return 256&t.flags?(t.flags&=-257,zs(e,t,s,r=ds(Error(o(422))))):null!==t.memoizedState?(t.child=e.child,t.flags|=128,null):(a=r.fallback,i=t.mode,r=ju({mode:"visible",children:r.children},i,0,null),(a=Nu(a,i,s,null)).flags|=2,r.return=t,a.return=t,r.sibling=a,t.child=r,0!=(1&t.mode)&&Xo(t,e.child,null,s),t.child.memoizedState=js(s),t.memoizedState=Ns,a);if(0==(1&t.mode))return zs(e,t,s,null);if("$!"===i.data){if(r=i.nextSibling&&i.nextSibling.dataset)var l=r.dgst;return r=l,zs(e,t,s,r=ds(a=Error(o(419)),r,void 0))}if(l=0!=(s&e.childLanes),ws||l){if(null!==(r=Pl)){switch(s&-s){case 4:i=2;break;case 16:i=8;break;case 64:case 128:case 256:case 512:case 1024:case 2048:case 4096:case 8192:case 16384:case 32768:case 65536:case 131072:case 262144:case 524288:case 1048576:case 2097152:case 4194304:case 8388608:case 16777216:case 33554432:case 67108864:i=32;break;case 536870912:i=268435456;break;default:i=0}0!==(i=0!=(i&(r.suspendedLanes|s))?0:i)&&i!==a.retryLane&&(a.retryLane=i,Po(e,i),ru(r,e,i,-1))}return gu(),zs(e,t,s,r=ds(Error(o(421))))}return"$?"===i.data?(t.flags|=128,t.child=e.child,t=Tu.bind(null,e),i._reactRetry=t,null):(e=a.treeContext,ro=ui(i.nextSibling),no=t,io=!0,oo=null,null!==e&&(Gi[Ki++]=Zi,Gi[Ki++]=Xi,Gi[Ki++]=Yi,Zi=e.id,Xi=e.overflow,Yi=t),(t=Bs(t,r.children)).flags|=4096,t)}(e,t,l,i,r,a,n);if(s){s=i.fallback,l=t.mode,r=(a=e.child).sibling;var u={mode:"hidden",children:i.children};return 0==(1&l)&&t.child!==a?((i=t.child).childLanes=0,i.pendingProps=u,t.deletions=null):(i=Mu(a,u)).subtreeFlags=14680064&a.subtreeFlags,null!==r?s=Mu(r,s):(s=Nu(s,l,n,null)).flags|=2,s.return=t,i.return=t,i.sibling=s,t.child=i,i=s,s=t.child,l=null===(l=e.child.memoizedState)?js(n):{baseLanes:l.baseLanes|n,cachePool:null,transitions:l.transitions},s.memoizedState=l,s.childLanes=e.childLanes&~n,t.memoizedState=Ns,i}return e=(s=e.child).sibling,i=Mu(s,{mode:"visible",children:i.children}),0==(1&t.mode)&&(i.lanes=n),i.return=t,i.sibling=null,null!==e&&(null===(n=t.deletions)?(t.deletions=[e],t.flags|=16):n.push(e)),t.child=i,t.memoizedState=null,i}function Bs(e,t){return(t=ju({mode:"visible",children:t},e.mode,0,null)).return=e,e.child=t}function zs(e,t,n,r){return null!==r&&mo(r),Xo(t,e.child,null,n),(e=Bs(t,t.pendingProps.children)).flags|=2,t.memoizedState=null,e}function Us(e,t,n){e.lanes|=t;var r=e.alternate;null!==r&&(r.lanes|=t),Eo(e.return,t,n)}function qs(e,t,n,r,i){var o=e.memoizedState;null===o?e.memoizedState={isBackwards:t,rendering:null,renderingStartTime:0,last:r,tail:n,tailMode:i}:(o.isBackwards=t,o.rendering=null,o.renderingStartTime=0,o.last=r,o.tail=n,o.tailMode=i)}function $s(e,t,n){var r=t.pendingProps,i=r.revealOrder,o=r.tail;if(_s(e,t,r.children,n),0!=(2&(r=la.current)))r=1&r|2,t.flags|=128;else{if(null!==e&&0!=(128&e.flags))e:for(e=t.child;null!==e;){if(13===e.tag)null!==e.memoizedState&&Us(e,n,t);else if(19===e.tag)Us(e,n,t);else if(null!==e.child){e.child.return=e,e=e.child;continue}if(e===t)break e;for(;null===e.sibling;){if(null===e.return||e.return===t)break e;e=e.return}e.sibling.return=e.return,e=e.sibling}r&=1}if(Si(la,r),0==(1&t.mode))t.memoizedState=null;else switch(i){case"forwards":for(n=t.child,i=null;null!==n;)null!==(e=n.alternate)&&null===ua(e)&&(i=n),n=n.sibling;null===(n=i)?(i=t.child,t.child=null):(i=n.sibling,n.sibling=null),qs(t,!1,i,n,o);break;case"backwards":for(n=null,i=t.child,t.child=null;null!==i;){if(null!==(e=i.alternate)&&null===ua(e)){t.child=i;break}e=i.sibling,i.sibling=n,n=i,i=e}qs(t,!0,n,null,o);break;case"together":qs(t,!1,null,null,void 0);break;default:t.memoizedState=null}return t.child}function Ws(e,t){0==(1&t.mode)&&null!==e&&(e.alternate=null,t.alternate=null,t.flags|=2)}function Hs(e,t,n){if(null!==e&&(t.dependencies=e.dependencies),jl|=t.lanes,0==(n&t.childLanes))return null;if(null!==e&&t.child!==e.child)throw Error(o(153));if(null!==t.child){for(n=Mu(e=t.child,e.pendingProps),t.child=n,n.return=t;null!==e.sibling;)e=e.sibling,(n=n.sibling=Mu(e,e.pendingProps)).return=t;n.sibling=null}return t.child}function Vs(e,t){if(!io)switch(e.tailMode){case"hidden":t=e.tail;for(var n=null;null!==t;)null!==t.alternate&&(n=t),t=t.sibling;null===n?e.tail=null:n.sibling=null;break;case"collapsed":n=e.tail;for(var r=null;null!==n;)null!==n.alternate&&(r=n),n=n.sibling;null===r?t||null===e.tail?e.tail=null:e.tail.sibling=null:r.sibling=null}}function Gs(e){var t=null!==e.alternate&&e.alternate.child===e.child,n=0,r=0;if(t)for(var i=e.child;null!==i;)n|=i.lanes|i.childLanes,r|=14680064&i.subtreeFlags,r|=14680064&i.flags,i.return=e,i=i.sibling;else for(i=e.child;null!==i;)n|=i.lanes|i.childLanes,r|=i.subtreeFlags,r|=i.flags,i.return=e,i=i.sibling;return e.subtreeFlags|=r,e.childLanes=n,t}function Ks(e,t,n){var r=t.pendingProps;switch(to(t),t.tag){case 2:case 16:case 15:case 0:case 11:case 7:case 8:case 12:case 9:case 14:return Gs(t),null;case 1:case 17:return Ri(t.type)&&Ii(),Gs(t),null;case 3:return r=t.stateNode,oa(),Ci(Oi),Ci(Ti),da(),r.pendingContext&&(r.context=r.pendingContext,r.pendingContext=null),null!==e&&null!==e.child||(po(t)?t.flags|=4:null===e||e.memoizedState.isDehydrated&&0==(256&t.flags)||(t.flags|=1024,null!==oo&&(su(oo),oo=null))),Is(e,t),Gs(t),null;case 5:sa(t);var i=ra(na.current);if(n=t.type,null!==e&&null!=t.stateNode)Ms(e,t,n,r,i),e.ref!==t.ref&&(t.flags|=512,t.flags|=2097152);else{if(!r){if(null===t.stateNode)throw Error(o(166));return Gs(t),null}if(e=ra(ea.current),po(t)){r=t.stateNode,n=t.type;var a=t.memoizedProps;switch(r[pi]=t,r[fi]=a,e=0!=(1&t.mode),n){case"dialog":Br("cancel",r),Br("close",r);break;case"iframe":case"object":case"embed":Br("load",r);break;case"video":case"audio":for(i=0;i<Lr.length;i++)Br(Lr[i],r);break;case"source":Br("error",r);break;case"img":case"image":case"link":Br("error",r),Br("load",r);break;case"details":Br("toggle",r);break;case"input":Z(r,a),Br("invalid",r);break;case"select":r._wrapperState={wasMultiple:!!a.multiple},Br("invalid",r);break;case"textarea":ie(r,a),Br("invalid",r)}for(var l in ye(n,a),i=null,a)if(a.hasOwnProperty(l)){var u=a[l];"children"===l?"string"==typeof u?r.textContent!==u&&(!0!==a.suppressHydrationWarning&&Qr(r.textContent,u,e),i=["children",u]):"number"==typeof u&&r.textContent!==""+u&&(!0!==a.suppressHydrationWarning&&Qr(r.textContent,u,e),i=["children",""+u]):s.hasOwnProperty(l)&&null!=u&&"onScroll"===l&&Br("scroll",r)}switch(n){case"input":V(r),J(r,a,!0);break;case"textarea":V(r),ae(r);break;case"select":case"option":break;default:"function"==typeof a.onClick&&(r.onclick=Jr)}r=i,t.updateQueue=r,null!==r&&(t.flags|=4)}else{l=9===i.nodeType?i:i.ownerDocument,"http://www.w3.org/1999/xhtml"===e&&(e=se(n)),"http://www.w3.org/1999/xhtml"===e?"script"===n?((e=l.createElement("div")).innerHTML="<script><\/script>",e=e.removeChild(e.firstChild)):"string"==typeof r.is?e=l.createElement(n,{is:r.is}):(e=l.createElement(n),"select"===n&&(l=e,r.multiple?l.multiple=!0:r.size&&(l.size=r.size))):e=l.createElementNS(e,n),e[pi]=t,e[fi]=r,Rs(e,t,!1,!1),t.stateNode=e;e:{switch(l=be(n,r),n){case"dialog":Br("cancel",e),Br("close",e),i=r;break;case"iframe":case"object":case"embed":Br("load",e),i=r;break;case"video":case"audio":for(i=0;i<Lr.length;i++)Br(Lr[i],e);i=r;break;case"source":Br("error",e),i=r;break;case"img":case"image":case"link":Br("error",e),Br("load",e),i=r;break;case"details":Br("toggle",e),i=r;break;case"input":Z(e,r),i=Y(e,r),Br("invalid",e);break;case"option":default:i=r;break;case"select":e._wrapperState={wasMultiple:!!r.multiple},i=j({},r,{value:void 0}),Br("invalid",e);break;case"textarea":ie(e,r),i=re(e,r),Br("invalid",e)}for(a in ye(n,i),u=i)if(u.hasOwnProperty(a)){var c=u[a];"style"===a?ge(e,c):"dangerouslySetInnerHTML"===a?null!=(c=c?c.__html:void 0)&&de(e,c):"children"===a?"string"==typeof c?("textarea"!==n||""!==c)&&pe(e,c):"number"==typeof c&&pe(e,""+c):"suppressContentEditableWarning"!==a&&"suppressHydrationWarning"!==a&&"autoFocus"!==a&&(s.hasOwnProperty(a)?null!=c&&"onScroll"===a&&Br("scroll",e):null!=c&&b(e,a,c,l))}switch(n){case"input":V(e),J(e,r,!1);break;case"textarea":V(e),ae(e);break;case"option":null!=r.value&&e.setAttribute("value",""+W(r.value));break;case"select":e.multiple=!!r.multiple,null!=(a=r.value)?ne(e,!!r.multiple,a,!1):null!=r.defaultValue&&ne(e,!!r.multiple,r.defaultValue,!0);break;default:"function"==typeof i.onClick&&(e.onclick=Jr)}switch(n){case"button":case"input":case"select":case"textarea":r=!!r.autoFocus;break e;case"img":r=!0;break e;default:r=!1}}r&&(t.flags|=4)}null!==t.ref&&(t.flags|=512,t.flags|=2097152)}return Gs(t),null;case 6:if(e&&null!=t.stateNode)Ls(e,t,e.memoizedProps,r);else{if("string"!=typeof r&&null===t.stateNode)throw Error(o(166));if(n=ra(na.current),ra(ea.current),po(t)){if(r=t.stateNode,n=t.memoizedProps,r[pi]=t,(a=r.nodeValue!==n)&&null!==(e=no))switch(e.tag){case 3:Qr(r.nodeValue,n,0!=(1&e.mode));break;case 5:!0!==e.memoizedProps.suppressHydrationWarning&&Qr(r.nodeValue,n,0!=(1&e.mode))}a&&(t.flags|=4)}else(r=(9===n.nodeType?n:n.ownerDocument).createTextNode(r))[pi]=t,t.stateNode=r}return Gs(t),null;case 13:if(Ci(la),r=t.memoizedState,null===e||null!==e.memoizedState&&null!==e.memoizedState.dehydrated){if(io&&null!==ro&&0!=(1&t.mode)&&0==(128&t.flags))fo(),ho(),t.flags|=98560,a=!1;else if(a=po(t),null!==r&&null!==r.dehydrated){if(null===e){if(!a)throw Error(o(318));if(!(a=null!==(a=t.memoizedState)?a.dehydrated:null))throw Error(o(317));a[pi]=t}else ho(),0==(128&t.flags)&&(t.memoizedState=null),t.flags|=4;Gs(t),a=!1}else null!==oo&&(su(oo),oo=null),a=!0;if(!a)return 65536&t.flags?t:null}return 0!=(128&t.flags)?(t.lanes=n,t):((r=null!==r)!=(null!==e&&null!==e.memoizedState)&&r&&(t.child.flags|=8192,0!=(1&t.mode)&&(null===e||0!=(1&la.current)?0===Ll&&(Ll=3):gu())),null!==t.updateQueue&&(t.flags|=4),Gs(t),null);case 4:return oa(),Is(e,t),null===e&&qr(t.stateNode.containerInfo),Gs(t),null;case 10:return ko(t.type._context),Gs(t),null;case 19:if(Ci(la),null===(a=t.memoizedState))return Gs(t),null;if(r=0!=(128&t.flags),null===(l=a.rendering))if(r)Vs(a,!1);else{if(0!==Ll||null!==e&&0!=(128&e.flags))for(e=t.child;null!==e;){if(null!==(l=ua(e))){for(t.flags|=128,Vs(a,!1),null!==(r=l.updateQueue)&&(t.updateQueue=r,t.flags|=4),t.subtreeFlags=0,r=n,n=t.child;null!==n;)e=r,(a=n).flags&=14680066,null===(l=a.alternate)?(a.childLanes=0,a.lanes=e,a.child=null,a.subtreeFlags=0,a.memoizedProps=null,a.memoizedState=null,a.updateQueue=null,a.dependencies=null,a.stateNode=null):(a.childLanes=l.childLanes,a.lanes=l.lanes,a.child=l.child,a.subtreeFlags=0,a.deletions=null,a.memoizedProps=l.memoizedProps,a.memoizedState=l.memoizedState,a.updateQueue=l.updateQueue,a.type=l.type,e=l.dependencies,a.dependencies=null===e?null:{lanes:e.lanes,firstContext:e.firstContext}),n=n.sibling;return Si(la,1&la.current|2),t.child}e=e.sibling}null!==a.tail&&Xe()>$l&&(t.flags|=128,r=!0,Vs(a,!1),t.lanes=4194304)}else{if(!r)if(null!==(e=ua(l))){if(t.flags|=128,r=!0,null!==(n=e.updateQueue)&&(t.updateQueue=n,t.flags|=4),Vs(a,!0),null===a.tail&&"hidden"===a.tailMode&&!l.alternate&&!io)return Gs(t),null}else 2*Xe()-a.renderingStartTime>$l&&1073741824!==n&&(t.flags|=128,r=!0,Vs(a,!1),t.lanes=4194304);a.isBackwards?(l.sibling=t.child,t.child=l):(null!==(n=a.last)?n.sibling=l:t.child=l,a.last=l)}return null!==a.tail?(t=a.tail,a.rendering=t,a.tail=t.sibling,a.renderingStartTime=Xe(),t.sibling=null,n=la.current,Si(la,r?1&n|2:1&n),t):(Gs(t),null);case 22:case 23:return pu(),r=null!==t.memoizedState,null!==e&&null!==e.memoizedState!==r&&(t.flags|=8192),r&&0!=(1&t.mode)?0!=(1073741824&Il)&&(Gs(t),6&t.subtreeFlags&&(t.flags|=8192)):Gs(t),null;case 24:case 25:return null}throw Error(o(156,t.tag))}function Ys(e,t){switch(to(t),t.tag){case 1:return Ri(t.type)&&Ii(),65536&(e=t.flags)?(t.flags=-65537&e|128,t):null;case 3:return oa(),Ci(Oi),Ci(Ti),da(),0!=(65536&(e=t.flags))&&0==(128&e)?(t.flags=-65537&e|128,t):null;case 5:return sa(t),null;case 13:if(Ci(la),null!==(e=t.memoizedState)&&null!==e.dehydrated){if(null===t.alternate)throw Error(o(340));ho()}return 65536&(e=t.flags)?(t.flags=-65537&e|128,t):null;case 19:return Ci(la),null;case 4:return oa(),null;case 10:return ko(t.type._context),null;case 22:case 23:return pu(),null;default:return null}}Rs=function(e,t){for(var n=t.child;null!==n;){if(5===n.tag||6===n.tag)e.appendChild(n.stateNode);else if(4!==n.tag&&null!==n.child){n.child.return=n,n=n.child;continue}if(n===t)break;for(;null===n.sibling;){if(null===n.return||n.return===t)return;n=n.return}n.sibling.return=n.return,n=n.sibling}},Is=function(){},Ms=function(e,t,n,r){var i=e.memoizedProps;if(i!==r){e=t.stateNode,ra(ea.current);var o,a=null;switch(n){case"input":i=Y(e,i),r=Y(e,r),a=[];break;case"select":i=j({},i,{value:void 0}),r=j({},r,{value:void 0}),a=[];break;case"textarea":i=re(e,i),r=re(e,r),a=[];break;default:"function"!=typeof i.onClick&&"function"==typeof r.onClick&&(e.onclick=Jr)}for(c in ye(n,r),n=null,i)if(!r.hasOwnProperty(c)&&i.hasOwnProperty(c)&&null!=i[c])if("style"===c){var l=i[c];for(o in l)l.hasOwnProperty(o)&&(n||(n={}),n[o]="")}else"dangerouslySetInnerHTML"!==c&&"children"!==c&&"suppressContentEditableWarning"!==c&&"suppressHydrationWarning"!==c&&"autoFocus"!==c&&(s.hasOwnProperty(c)?a||(a=[]):(a=a||[]).push(c,null));for(c in r){var u=r[c];if(l=null!=i?i[c]:void 0,r.hasOwnProperty(c)&&u!==l&&(null!=u||null!=l))if("style"===c)if(l){for(o in l)!l.hasOwnProperty(o)||u&&u.hasOwnProperty(o)||(n||(n={}),n[o]="");for(o in u)u.hasOwnProperty(o)&&l[o]!==u[o]&&(n||(n={}),n[o]=u[o])}else n||(a||(a=[]),a.push(c,n)),n=u;else"dangerouslySetInnerHTML"===c?(u=u?u.__html:void 0,l=l?l.__html:void 0,null!=u&&l!==u&&(a=a||[]).push(c,u)):"children"===c?"string"!=typeof u&&"number"!=typeof u||(a=a||[]).push(c,""+u):"suppressContentEditableWarning"!==c&&"suppressHydrationWarning"!==c&&(s.hasOwnProperty(c)?(null!=u&&"onScroll"===c&&Br("scroll",e),a||l===u||(a=[])):(a=a||[]).push(c,u))}n&&(a=a||[]).push("style",n);var c=a;(t.updateQueue=c)&&(t.flags|=4)}},Ls=function(e,t,n,r){n!==r&&(t.flags|=4)};var Zs=!1,Xs=!1,Qs="function"==typeof WeakSet?WeakSet:Set,Js=null;function el(e,t){var n=e.ref;if(null!==n)if("function"==typeof n)try{n(null)}catch(n){Cu(e,t,n)}else n.current=null}function tl(e,t,n){try{n()}catch(n){Cu(e,t,n)}}var nl=!1;function rl(e,t,n){var r=t.updateQueue;if(null!==(r=null!==r?r.lastEffect:null)){var i=r=r.next;do{if((i.tag&e)===e){var o=i.destroy;i.destroy=void 0,void 0!==o&&tl(t,n,o)}i=i.next}while(i!==r)}}function il(e,t){if(null!==(t=null!==(t=t.updateQueue)?t.lastEffect:null)){var n=t=t.next;do{if((n.tag&e)===e){var r=n.create;n.destroy=r()}n=n.next}while(n!==t)}}function ol(e){var t=e.ref;if(null!==t){var n=e.stateNode;e.tag,e=n,"function"==typeof t?t(e):t.current=e}}function al(e){var t=e.alternate;null!==t&&(e.alternate=null,al(t)),e.child=null,e.deletions=null,e.sibling=null,5===e.tag&&null!==(t=e.stateNode)&&(delete t[pi],delete t[fi],delete t[mi],delete t[gi],delete t[vi]),e.stateNode=null,e.return=null,e.dependencies=null,e.memoizedProps=null,e.memoizedState=null,e.pendingProps=null,e.stateNode=null,e.updateQueue=null}function sl(e){return 5===e.tag||3===e.tag||4===e.tag}function ll(e){e:for(;;){for(;null===e.sibling;){if(null===e.return||sl(e.return))return null;e=e.return}for(e.sibling.return=e.return,e=e.sibling;5!==e.tag&&6!==e.tag&&18!==e.tag;){if(2&e.flags)continue e;if(null===e.child||4===e.tag)continue e;e.child.return=e,e=e.child}if(!(2&e.flags))return e.stateNode}}function ul(e,t,n){var r=e.tag;if(5===r||6===r)e=e.stateNode,t?8===n.nodeType?n.parentNode.insertBefore(e,t):n.insertBefore(e,t):(8===n.nodeType?(t=n.parentNode).insertBefore(e,n):(t=n).appendChild(e),null!=(n=n._reactRootContainer)||null!==t.onclick||(t.onclick=Jr));else if(4!==r&&null!==(e=e.child))for(ul(e,t,n),e=e.sibling;null!==e;)ul(e,t,n),e=e.sibling}function cl(e,t,n){var r=e.tag;if(5===r||6===r)e=e.stateNode,t?n.insertBefore(e,t):n.appendChild(e);else if(4!==r&&null!==(e=e.child))for(cl(e,t,n),e=e.sibling;null!==e;)cl(e,t,n),e=e.sibling}var dl=null,pl=!1;function fl(e,t,n){for(n=n.child;null!==n;)hl(e,t,n),n=n.sibling}function hl(e,t,n){if(ot&&"function"==typeof ot.onCommitFiberUnmount)try{ot.onCommitFiberUnmount(it,n)}catch(e){}switch(n.tag){case 5:Xs||el(n,t);case 6:var r=dl,i=pl;dl=null,fl(e,t,n),pl=i,null!==(dl=r)&&(pl?(e=dl,n=n.stateNode,8===e.nodeType?e.parentNode.removeChild(n):e.removeChild(n)):dl.removeChild(n.stateNode));break;case 18:null!==dl&&(pl?(e=dl,n=n.stateNode,8===e.nodeType?li(e.parentNode,n):1===e.nodeType&&li(e,n),qt(e)):li(dl,n.stateNode));break;case 4:r=dl,i=pl,dl=n.stateNode.containerInfo,pl=!0,fl(e,t,n),dl=r,pl=i;break;case 0:case 11:case 14:case 15:if(!Xs&&null!==(r=n.updateQueue)&&null!==(r=r.lastEffect)){i=r=r.next;do{var o=i,a=o.destroy;o=o.tag,void 0!==a&&(0!=(2&o)||0!=(4&o))&&tl(n,t,a),i=i.next}while(i!==r)}fl(e,t,n);break;case 1:if(!Xs&&(el(n,t),"function"==typeof(r=n.stateNode).componentWillUnmount))try{r.props=n.memoizedProps,r.state=n.memoizedState,r.componentWillUnmount()}catch(e){Cu(n,t,e)}fl(e,t,n);break;case 21:fl(e,t,n);break;case 22:1&n.mode?(Xs=(r=Xs)||null!==n.memoizedState,fl(e,t,n),Xs=r):fl(e,t,n);break;default:fl(e,t,n)}}function ml(e){var t=e.updateQueue;if(null!==t){e.updateQueue=null;var n=e.stateNode;null===n&&(n=e.stateNode=new Qs),t.forEach((function(t){var r=Ou.bind(null,e,t);n.has(t)||(n.add(t),t.then(r,r))}))}}function gl(e,t){var n=t.deletions;if(null!==n)for(var r=0;r<n.length;r++){var i=n[r];try{var a=e,s=t,l=s;e:for(;null!==l;){switch(l.tag){case 5:dl=l.stateNode,pl=!1;break e;case 3:case 4:dl=l.stateNode.containerInfo,pl=!0;break e}l=l.return}if(null===dl)throw Error(o(160));hl(a,s,i),dl=null,pl=!1;var u=i.alternate;null!==u&&(u.return=null),i.return=null}catch(e){Cu(i,t,e)}}if(12854&t.subtreeFlags)for(t=t.child;null!==t;)vl(t,e),t=t.sibling}function vl(e,t){var n=e.alternate,r=e.flags;switch(e.tag){case 0:case 11:case 14:case 15:if(gl(t,e),yl(e),4&r){try{rl(3,e,e.return),il(3,e)}catch(t){Cu(e,e.return,t)}try{rl(5,e,e.return)}catch(t){Cu(e,e.return,t)}}break;case 1:gl(t,e),yl(e),512&r&&null!==n&&el(n,n.return);break;case 5:if(gl(t,e),yl(e),512&r&&null!==n&&el(n,n.return),32&e.flags){var i=e.stateNode;try{pe(i,"")}catch(t){Cu(e,e.return,t)}}if(4&r&&null!=(i=e.stateNode)){var a=e.memoizedProps,s=null!==n?n.memoizedProps:a,l=e.type,u=e.updateQueue;if(e.updateQueue=null,null!==u)try{"input"===l&&"radio"===a.type&&null!=a.name&&X(i,a),be(l,s);var c=be(l,a);for(s=0;s<u.length;s+=2){var d=u[s],p=u[s+1];"style"===d?ge(i,p):"dangerouslySetInnerHTML"===d?de(i,p):"children"===d?pe(i,p):b(i,d,p,c)}switch(l){case"input":Q(i,a);break;case"textarea":oe(i,a);break;case"select":var f=i._wrapperState.wasMultiple;i._wrapperState.wasMultiple=!!a.multiple;var h=a.value;null!=h?ne(i,!!a.multiple,h,!1):f!==!!a.multiple&&(null!=a.defaultValue?ne(i,!!a.multiple,a.defaultValue,!0):ne(i,!!a.multiple,a.multiple?[]:"",!1))}i[fi]=a}catch(t){Cu(e,e.return,t)}}break;case 6:if(gl(t,e),yl(e),4&r){if(null===e.stateNode)throw Error(o(162));i=e.stateNode,a=e.memoizedProps;try{i.nodeValue=a}catch(t){Cu(e,e.return,t)}}break;case 3:if(gl(t,e),yl(e),4&r&&null!==n&&n.memoizedState.isDehydrated)try{qt(t.containerInfo)}catch(t){Cu(e,e.return,t)}break;case 4:default:gl(t,e),yl(e);break;case 13:gl(t,e),yl(e),8192&(i=e.child).flags&&(a=null!==i.memoizedState,i.stateNode.isHidden=a,!a||null!==i.alternate&&null!==i.alternate.memoizedState||(ql=Xe())),4&r&&ml(e);break;case 22:if(d=null!==n&&null!==n.memoizedState,1&e.mode?(Xs=(c=Xs)||d,gl(t,e),Xs=c):gl(t,e),yl(e),8192&r){if(c=null!==e.memoizedState,(e.stateNode.isHidden=c)&&!d&&0!=(1&e.mode))for(Js=e,d=e.child;null!==d;){for(p=Js=d;null!==Js;){switch(h=(f=Js).child,f.tag){case 0:case 11:case 14:case 15:rl(4,f,f.return);break;case 1:el(f,f.return);var m=f.stateNode;if("function"==typeof m.componentWillUnmount){r=f,n=f.return;try{t=r,m.props=t.memoizedProps,m.state=t.memoizedState,m.componentWillUnmount()}catch(e){Cu(r,n,e)}}break;case 5:el(f,f.return);break;case 22:if(null!==f.memoizedState){xl(p);continue}}null!==h?(h.return=f,Js=h):xl(p)}d=d.sibling}e:for(d=null,p=e;;){if(5===p.tag){if(null===d){d=p;try{i=p.stateNode,c?"function"==typeof(a=i.style).setProperty?a.setProperty("display","none","important"):a.display="none":(l=p.stateNode,s=null!=(u=p.memoizedProps.style)&&u.hasOwnProperty("display")?u.display:null,l.style.display=me("display",s))}catch(t){Cu(e,e.return,t)}}}else if(6===p.tag){if(null===d)try{p.stateNode.nodeValue=c?"":p.memoizedProps}catch(t){Cu(e,e.return,t)}}else if((22!==p.tag&&23!==p.tag||null===p.memoizedState||p===e)&&null!==p.child){p.child.return=p,p=p.child;continue}if(p===e)break e;for(;null===p.sibling;){if(null===p.return||p.return===e)break e;d===p&&(d=null),p=p.return}d===p&&(d=null),p.sibling.return=p.return,p=p.sibling}}break;case 19:gl(t,e),yl(e),4&r&&ml(e);case 21:}}function yl(e){var t=e.flags;if(2&t){try{e:{for(var n=e.return;null!==n;){if(sl(n)){var r=n;break e}n=n.return}throw Error(o(160))}switch(r.tag){case 5:var i=r.stateNode;32&r.flags&&(pe(i,""),r.flags&=-33),cl(e,ll(e),i);break;case 3:case 4:var a=r.stateNode.containerInfo;ul(e,ll(e),a);break;default:throw Error(o(161))}}catch(t){Cu(e,e.return,t)}e.flags&=-3}4096&t&&(e.flags&=-4097)}function bl(e,t,n){Js=e,wl(e,t,n)}function wl(e,t,n){for(var r=0!=(1&e.mode);null!==Js;){var i=Js,o=i.child;if(22===i.tag&&r){var a=null!==i.memoizedState||Zs;if(!a){var s=i.alternate,l=null!==s&&null!==s.memoizedState||Xs;s=Zs;var u=Xs;if(Zs=a,(Xs=l)&&!u)for(Js=i;null!==Js;)l=(a=Js).child,22===a.tag&&null!==a.memoizedState?kl(i):null!==l?(l.return=a,Js=l):kl(i);for(;null!==o;)Js=o,wl(o,t,n),o=o.sibling;Js=i,Zs=s,Xs=u}_l(e)}else 0!=(8772&i.subtreeFlags)&&null!==o?(o.return=i,Js=o):_l(e)}}function _l(e){for(;null!==Js;){var t=Js;if(0!=(8772&t.flags)){var n=t.alternate;try{if(0!=(8772&t.flags))switch(t.tag){case 0:case 11:case 15:Xs||il(5,t);break;case 1:var r=t.stateNode;if(4&t.flags&&!Xs)if(null===n)r.componentDidMount();else{var i=t.elementType===t.type?n.memoizedProps:vo(t.type,n.memoizedProps);r.componentDidUpdate(i,n.memoizedState,r.__reactInternalSnapshotBeforeUpdate)}var a=t.updateQueue;null!==a&&Bo(t,a,r);break;case 3:var s=t.updateQueue;if(null!==s){if(n=null,null!==t.child)switch(t.child.tag){case 5:case 1:n=t.child.stateNode}Bo(t,s,n)}break;case 5:var l=t.stateNode;if(null===n&&4&t.flags){n=l;var u=t.memoizedProps;switch(t.type){case"button":case"input":case"select":case"textarea":u.autoFocus&&n.focus();break;case"img":u.src&&(n.src=u.src)}}break;case 6:case 4:case 12:case 19:case 17:case 21:case 22:case 23:case 25:break;case 13:if(null===t.memoizedState){var c=t.alternate;if(null!==c){var d=c.memoizedState;if(null!==d){var p=d.dehydrated;null!==p&&qt(p)}}}break;default:throw Error(o(163))}Xs||512&t.flags&&ol(t)}catch(e){Cu(t,t.return,e)}}if(t===e){Js=null;break}if(null!==(n=t.sibling)){n.return=t.return,Js=n;break}Js=t.return}}function xl(e){for(;null!==Js;){var t=Js;if(t===e){Js=null;break}var n=t.sibling;if(null!==n){n.return=t.return,Js=n;break}Js=t.return}}function kl(e){for(;null!==Js;){var t=Js;try{switch(t.tag){case 0:case 11:case 15:var n=t.return;try{il(4,t)}catch(e){Cu(t,n,e)}break;case 1:var r=t.stateNode;if("function"==typeof r.componentDidMount){var i=t.return;try{r.componentDidMount()}catch(e){Cu(t,i,e)}}var o=t.return;try{ol(t)}catch(e){Cu(t,o,e)}break;case 5:var a=t.return;try{ol(t)}catch(e){Cu(t,a,e)}}}catch(e){Cu(t,t.return,e)}if(t===e){Js=null;break}var s=t.sibling;if(null!==s){s.return=t.return,Js=s;break}Js=t.return}}var El,Cl=Math.ceil,Sl=w.ReactCurrentDispatcher,Al=w.ReactCurrentOwner,Tl=w.ReactCurrentBatchConfig,Ol=0,Pl=null,Dl=null,Rl=0,Il=0,Ml=Ei(0),Ll=0,Nl=null,jl=0,Fl=0,Bl=0,zl=null,Ul=null,ql=0,$l=1/0,Wl=null,Hl=!1,Vl=null,Gl=null,Kl=!1,Yl=null,Zl=0,Xl=0,Ql=null,Jl=-1,eu=0;function tu(){return 0!=(6&Ol)?Xe():-1!==Jl?Jl:Jl=Xe()}function nu(e){return 0==(1&e.mode)?1:0!=(2&Ol)&&0!==Rl?Rl&-Rl:null!==go.transition?(0===eu&&(eu=mt()),eu):0!==(e=bt)?e:e=void 0===(e=window.event)?16:Zt(e.type)}function ru(e,t,n,r){if(50<Xl)throw Xl=0,Ql=null,Error(o(185));vt(e,n,r),0!=(2&Ol)&&e===Pl||(e===Pl&&(0==(2&Ol)&&(Fl|=n),4===Ll&&lu(e,Rl)),iu(e,r),1===n&&0===Ol&&0==(1&t.mode)&&($l=Xe()+500,Bi&&qi()))}function iu(e,t){var n=e.callbackNode;!function(e,t){for(var n=e.suspendedLanes,r=e.pingedLanes,i=e.expirationTimes,o=e.pendingLanes;0<o;){var a=31-at(o),s=1<<a,l=i[a];-1===l?0!=(s&n)&&0==(s&r)||(i[a]=ft(s,t)):l<=t&&(e.expiredLanes|=s),o&=~s}}(e,t);var r=pt(e,e===Pl?Rl:0);if(0===r)null!==n&&Ke(n),e.callbackNode=null,e.callbackPriority=0;else if(t=r&-r,e.callbackPriority!==t){if(null!=n&&Ke(n),1===t)0===e.tag?function(e){Bi=!0,Ui(e)}(uu.bind(null,e)):Ui(uu.bind(null,e)),ai((function(){0==(6&Ol)&&qi()})),n=null;else{switch(wt(r)){case 1:n=Je;break;case 4:n=et;break;case 16:default:n=tt;break;case 536870912:n=rt}n=Pu(n,ou.bind(null,e))}e.callbackPriority=t,e.callbackNode=n}}function ou(e,t){if(Jl=-1,eu=0,0!=(6&Ol))throw Error(o(327));var n=e.callbackNode;if(ku()&&e.callbackNode!==n)return null;var r=pt(e,e===Pl?Rl:0);if(0===r)return null;if(0!=(30&r)||0!=(r&e.expiredLanes)||t)t=vu(e,r);else{t=r;var i=Ol;Ol|=2;var a=mu();for(Pl===e&&Rl===t||(Wl=null,$l=Xe()+500,fu(e,t));;)try{bu();break}catch(t){hu(e,t)}xo(),Sl.current=a,Ol=i,null!==Dl?t=0:(Pl=null,Rl=0,t=Ll)}if(0!==t){if(2===t&&0!==(i=ht(e))&&(r=i,t=au(e,i)),1===t)throw n=Nl,fu(e,0),lu(e,r),iu(e,Xe()),n;if(6===t)lu(e,r);else{if(i=e.current.alternate,0==(30&r)&&!function(e){for(var t=e;;){if(16384&t.flags){var n=t.updateQueue;if(null!==n&&null!==(n=n.stores))for(var r=0;r<n.length;r++){var i=n[r],o=i.getSnapshot;i=i.value;try{if(!sr(o(),i))return!1}catch(e){return!1}}}if(n=t.child,16384&t.subtreeFlags&&null!==n)n.return=t,t=n;else{if(t===e)break;for(;null===t.sibling;){if(null===t.return||t.return===e)return!0;t=t.return}t.sibling.return=t.return,t=t.sibling}}return!0}(i)&&(2===(t=vu(e,r))&&0!==(a=ht(e))&&(r=a,t=au(e,a)),1===t))throw n=Nl,fu(e,0),lu(e,r),iu(e,Xe()),n;switch(e.finishedWork=i,e.finishedLanes=r,t){case 0:case 1:throw Error(o(345));case 2:case 5:xu(e,Ul,Wl);break;case 3:if(lu(e,r),(130023424&r)===r&&10<(t=ql+500-Xe())){if(0!==pt(e,0))break;if(((i=e.suspendedLanes)&r)!==r){tu(),e.pingedLanes|=e.suspendedLanes&i;break}e.timeoutHandle=ri(xu.bind(null,e,Ul,Wl),t);break}xu(e,Ul,Wl);break;case 4:if(lu(e,r),(4194240&r)===r)break;for(t=e.eventTimes,i=-1;0<r;){var s=31-at(r);a=1<<s,(s=t[s])>i&&(i=s),r&=~a}if(r=i,10<(r=(120>(r=Xe()-r)?120:480>r?480:1080>r?1080:1920>r?1920:3e3>r?3e3:4320>r?4320:1960*Cl(r/1960))-r)){e.timeoutHandle=ri(xu.bind(null,e,Ul,Wl),r);break}xu(e,Ul,Wl);break;default:throw Error(o(329))}}}return iu(e,Xe()),e.callbackNode===n?ou.bind(null,e):null}function au(e,t){var n=zl;return e.current.memoizedState.isDehydrated&&(fu(e,t).flags|=256),2!==(e=vu(e,t))&&(t=Ul,Ul=n,null!==t&&su(t)),e}function su(e){null===Ul?Ul=e:Ul.push.apply(Ul,e)}function lu(e,t){for(t&=~Bl,t&=~Fl,e.suspendedLanes|=t,e.pingedLanes&=~t,e=e.expirationTimes;0<t;){var n=31-at(t),r=1<<n;e[n]=-1,t&=~r}}function uu(e){if(0!=(6&Ol))throw Error(o(327));ku();var t=pt(e,0);if(0==(1&t))return iu(e,Xe()),null;var n=vu(e,t);if(0!==e.tag&&2===n){var r=ht(e);0!==r&&(t=r,n=au(e,r))}if(1===n)throw n=Nl,fu(e,0),lu(e,t),iu(e,Xe()),n;if(6===n)throw Error(o(345));return e.finishedWork=e.current.alternate,e.finishedLanes=t,xu(e,Ul,Wl),iu(e,Xe()),null}function cu(e,t){var n=Ol;Ol|=1;try{return e(t)}finally{0===(Ol=n)&&($l=Xe()+500,Bi&&qi())}}function du(e){null!==Yl&&0===Yl.tag&&0==(6&Ol)&&ku();var t=Ol;Ol|=1;var n=Tl.transition,r=bt;try{if(Tl.transition=null,bt=1,e)return e()}finally{bt=r,Tl.transition=n,0==(6&(Ol=t))&&qi()}}function pu(){Il=Ml.current,Ci(Ml)}function fu(e,t){e.finishedWork=null,e.finishedLanes=0;var n=e.timeoutHandle;if(-1!==n&&(e.timeoutHandle=-1,ii(n)),null!==Dl)for(n=Dl.return;null!==n;){var r=n;switch(to(r),r.tag){case 1:null!=(r=r.type.childContextTypes)&&Ii();break;case 3:oa(),Ci(Oi),Ci(Ti),da();break;case 5:sa(r);break;case 4:oa();break;case 13:case 19:Ci(la);break;case 10:ko(r.type._context);break;case 22:case 23:pu()}n=n.return}if(Pl=e,Dl=e=Mu(e.current,null),Rl=Il=t,Ll=0,Nl=null,Bl=Fl=jl=0,Ul=zl=null,null!==Ao){for(t=0;t<Ao.length;t++)if(null!==(r=(n=Ao[t]).interleaved)){n.interleaved=null;var i=r.next,o=n.pending;if(null!==o){var a=o.next;o.next=i,r.next=a}n.pending=r}Ao=null}return e}function hu(e,t){for(;;){var n=Dl;try{if(xo(),pa.current=as,ya){for(var r=ma.memoizedState;null!==r;){var i=r.queue;null!==i&&(i.pending=null),r=r.next}ya=!1}if(ha=0,va=ga=ma=null,ba=!1,wa=0,Al.current=null,null===n||null===n.return){Ll=1,Nl=t,Dl=null;break}e:{var a=e,s=n.return,l=n,u=t;if(t=Rl,l.flags|=32768,null!==u&&"object"==typeof u&&"function"==typeof u.then){var c=u,d=l,p=d.tag;if(0==(1&d.mode)&&(0===p||11===p||15===p)){var f=d.alternate;f?(d.updateQueue=f.updateQueue,d.memoizedState=f.memoizedState,d.lanes=f.lanes):(d.updateQueue=null,d.memoizedState=null)}var h=vs(s);if(null!==h){h.flags&=-257,ys(h,s,l,0,t),1&h.mode&&gs(a,c,t),u=c;var m=(t=h).updateQueue;if(null===m){var g=new Set;g.add(u),t.updateQueue=g}else m.add(u);break e}if(0==(1&t)){gs(a,c,t),gu();break e}u=Error(o(426))}else if(io&&1&l.mode){var v=vs(s);if(null!==v){0==(65536&v.flags)&&(v.flags|=256),ys(v,s,l,0,t),mo(cs(u,l));break e}}a=u=cs(u,l),4!==Ll&&(Ll=2),null===zl?zl=[a]:zl.push(a),a=s;do{switch(a.tag){case 3:a.flags|=65536,t&=-t,a.lanes|=t,jo(a,hs(0,u,t));break e;case 1:l=u;var y=a.type,b=a.stateNode;if(0==(128&a.flags)&&("function"==typeof y.getDerivedStateFromError||null!==b&&"function"==typeof b.componentDidCatch&&(null===Gl||!Gl.has(b)))){a.flags|=65536,t&=-t,a.lanes|=t,jo(a,ms(a,l,t));break e}}a=a.return}while(null!==a)}_u(n)}catch(e){t=e,Dl===n&&null!==n&&(Dl=n=n.return);continue}break}}function mu(){var e=Sl.current;return Sl.current=as,null===e?as:e}function gu(){0!==Ll&&3!==Ll&&2!==Ll||(Ll=4),null===Pl||0==(268435455&jl)&&0==(268435455&Fl)||lu(Pl,Rl)}function vu(e,t){var n=Ol;Ol|=2;var r=mu();for(Pl===e&&Rl===t||(Wl=null,fu(e,t));;)try{yu();break}catch(t){hu(e,t)}if(xo(),Ol=n,Sl.current=r,null!==Dl)throw Error(o(261));return Pl=null,Rl=0,Ll}function yu(){for(;null!==Dl;)wu(Dl)}function bu(){for(;null!==Dl&&!Ye();)wu(Dl)}function wu(e){var t=El(e.alternate,e,Il);e.memoizedProps=e.pendingProps,null===t?_u(e):Dl=t,Al.current=null}function _u(e){var t=e;do{var n=t.alternate;if(e=t.return,0==(32768&t.flags)){if(null!==(n=Ks(n,t,Il)))return void(Dl=n)}else{if(null!==(n=Ys(n,t)))return n.flags&=32767,void(Dl=n);if(null===e)return Ll=6,void(Dl=null);e.flags|=32768,e.subtreeFlags=0,e.deletions=null}if(null!==(t=t.sibling))return void(Dl=t);Dl=t=e}while(null!==t);0===Ll&&(Ll=5)}function xu(e,t,n){var r=bt,i=Tl.transition;try{Tl.transition=null,bt=1,function(e,t,n,r){do{ku()}while(null!==Yl);if(0!=(6&Ol))throw Error(o(327));n=e.finishedWork;var i=e.finishedLanes;if(null===n)return null;if(e.finishedWork=null,e.finishedLanes=0,n===e.current)throw Error(o(177));e.callbackNode=null,e.callbackPriority=0;var a=n.lanes|n.childLanes;if(function(e,t){var n=e.pendingLanes&~t;e.pendingLanes=t,e.suspendedLanes=0,e.pingedLanes=0,e.expiredLanes&=t,e.mutableReadLanes&=t,e.entangledLanes&=t,t=e.entanglements;var r=e.eventTimes;for(e=e.expirationTimes;0<n;){var i=31-at(n),o=1<<i;t[i]=0,r[i]=-1,e[i]=-1,n&=~o}}(e,a),e===Pl&&(Dl=Pl=null,Rl=0),0==(2064&n.subtreeFlags)&&0==(2064&n.flags)||Kl||(Kl=!0,Pu(tt,(function(){return ku(),null}))),a=0!=(15990&n.flags),0!=(15990&n.subtreeFlags)||a){a=Tl.transition,Tl.transition=null;var s=bt;bt=1;var l=Ol;Ol|=4,Al.current=null,function(e,t){if(ei=Wt,fr(e=pr())){if("selectionStart"in e)var n={start:e.selectionStart,end:e.selectionEnd};else e:{var r=(n=(n=e.ownerDocument)&&n.defaultView||window).getSelection&&n.getSelection();if(r&&0!==r.rangeCount){n=r.anchorNode;var i=r.anchorOffset,a=r.focusNode;r=r.focusOffset;try{n.nodeType,a.nodeType}catch(e){n=null;break e}var s=0,l=-1,u=-1,c=0,d=0,p=e,f=null;t:for(;;){for(var h;p!==n||0!==i&&3!==p.nodeType||(l=s+i),p!==a||0!==r&&3!==p.nodeType||(u=s+r),3===p.nodeType&&(s+=p.nodeValue.length),null!==(h=p.firstChild);)f=p,p=h;for(;;){if(p===e)break t;if(f===n&&++c===i&&(l=s),f===a&&++d===r&&(u=s),null!==(h=p.nextSibling))break;f=(p=f).parentNode}p=h}n=-1===l||-1===u?null:{start:l,end:u}}else n=null}n=n||{start:0,end:0}}else n=null;for(ti={focusedElem:e,selectionRange:n},Wt=!1,Js=t;null!==Js;)if(e=(t=Js).child,0!=(1028&t.subtreeFlags)&&null!==e)e.return=t,Js=e;else for(;null!==Js;){t=Js;try{var m=t.alternate;if(0!=(1024&t.flags))switch(t.tag){case 0:case 11:case 15:case 5:case 6:case 4:case 17:break;case 1:if(null!==m){var g=m.memoizedProps,v=m.memoizedState,y=t.stateNode,b=y.getSnapshotBeforeUpdate(t.elementType===t.type?g:vo(t.type,g),v);y.__reactInternalSnapshotBeforeUpdate=b}break;case 3:var w=t.stateNode.containerInfo;1===w.nodeType?w.textContent="":9===w.nodeType&&w.documentElement&&w.removeChild(w.documentElement);break;default:throw Error(o(163))}}catch(e){Cu(t,t.return,e)}if(null!==(e=t.sibling)){e.return=t.return,Js=e;break}Js=t.return}m=nl,nl=!1}(e,n),vl(n,e),hr(ti),Wt=!!ei,ti=ei=null,e.current=n,bl(n,e,i),Ze(),Ol=l,bt=s,Tl.transition=a}else e.current=n;if(Kl&&(Kl=!1,Yl=e,Zl=i),0===(a=e.pendingLanes)&&(Gl=null),function(e){if(ot&&"function"==typeof ot.onCommitFiberRoot)try{ot.onCommitFiberRoot(it,e,void 0,128==(128&e.current.flags))}catch(e){}}(n.stateNode),iu(e,Xe()),null!==t)for(r=e.onRecoverableError,n=0;n<t.length;n++)r((i=t[n]).value,{componentStack:i.stack,digest:i.digest});if(Hl)throw Hl=!1,e=Vl,Vl=null,e;0!=(1&Zl)&&0!==e.tag&&ku(),0!=(1&(a=e.pendingLanes))?e===Ql?Xl++:(Xl=0,Ql=e):Xl=0,qi()}(e,t,n,r)}finally{Tl.transition=i,bt=r}return null}function ku(){if(null!==Yl){var e=wt(Zl),t=Tl.transition,n=bt;try{if(Tl.transition=null,bt=16>e?16:e,null===Yl)var r=!1;else{if(e=Yl,Yl=null,Zl=0,0!=(6&Ol))throw Error(o(331));var i=Ol;for(Ol|=4,Js=e.current;null!==Js;){var a=Js,s=a.child;if(0!=(16&Js.flags)){var l=a.deletions;if(null!==l){for(var u=0;u<l.length;u++){var c=l[u];for(Js=c;null!==Js;){var d=Js;switch(d.tag){case 0:case 11:case 15:rl(8,d,a)}var p=d.child;if(null!==p)p.return=d,Js=p;else for(;null!==Js;){var f=(d=Js).sibling,h=d.return;if(al(d),d===c){Js=null;break}if(null!==f){f.return=h,Js=f;break}Js=h}}}var m=a.alternate;if(null!==m){var g=m.child;if(null!==g){m.child=null;do{var v=g.sibling;g.sibling=null,g=v}while(null!==g)}}Js=a}}if(0!=(2064&a.subtreeFlags)&&null!==s)s.return=a,Js=s;else e:for(;null!==Js;){if(0!=(2048&(a=Js).flags))switch(a.tag){case 0:case 11:case 15:rl(9,a,a.return)}var y=a.sibling;if(null!==y){y.return=a.return,Js=y;break e}Js=a.return}}var b=e.current;for(Js=b;null!==Js;){var w=(s=Js).child;if(0!=(2064&s.subtreeFlags)&&null!==w)w.return=s,Js=w;else e:for(s=b;null!==Js;){if(0!=(2048&(l=Js).flags))try{switch(l.tag){case 0:case 11:case 15:il(9,l)}}catch(e){Cu(l,l.return,e)}if(l===s){Js=null;break e}var _=l.sibling;if(null!==_){_.return=l.return,Js=_;break e}Js=l.return}}if(Ol=i,qi(),ot&&"function"==typeof ot.onPostCommitFiberRoot)try{ot.onPostCommitFiberRoot(it,e)}catch(e){}r=!0}return r}finally{bt=n,Tl.transition=t}}return!1}function Eu(e,t,n){e=Lo(e,t=hs(0,t=cs(n,t),1),1),t=tu(),null!==e&&(vt(e,1,t),iu(e,t))}function Cu(e,t,n){if(3===e.tag)Eu(e,e,n);else for(;null!==t;){if(3===t.tag){Eu(t,e,n);break}if(1===t.tag){var r=t.stateNode;if("function"==typeof t.type.getDerivedStateFromError||"function"==typeof r.componentDidCatch&&(null===Gl||!Gl.has(r))){t=Lo(t,e=ms(t,e=cs(n,e),1),1),e=tu(),null!==t&&(vt(t,1,e),iu(t,e));break}}t=t.return}}function Su(e,t,n){var r=e.pingCache;null!==r&&r.delete(t),t=tu(),e.pingedLanes|=e.suspendedLanes&n,Pl===e&&(Rl&n)===n&&(4===Ll||3===Ll&&(130023424&Rl)===Rl&&500>Xe()-ql?fu(e,0):Bl|=n),iu(e,t)}function Au(e,t){0===t&&(0==(1&e.mode)?t=1:(t=ct,0==(130023424&(ct<<=1))&&(ct=4194304)));var n=tu();null!==(e=Po(e,t))&&(vt(e,t,n),iu(e,n))}function Tu(e){var t=e.memoizedState,n=0;null!==t&&(n=t.retryLane),Au(e,n)}function Ou(e,t){var n=0;switch(e.tag){case 13:var r=e.stateNode,i=e.memoizedState;null!==i&&(n=i.retryLane);break;case 19:r=e.stateNode;break;default:throw Error(o(314))}null!==r&&r.delete(t),Au(e,n)}function Pu(e,t){return Ge(e,t)}function Du(e,t,n,r){this.tag=e,this.key=n,this.sibling=this.child=this.return=this.stateNode=this.type=this.elementType=null,this.index=0,this.ref=null,this.pendingProps=t,this.dependencies=this.memoizedState=this.updateQueue=this.memoizedProps=null,this.mode=r,this.subtreeFlags=this.flags=0,this.deletions=null,this.childLanes=this.lanes=0,this.alternate=null}function Ru(e,t,n,r){return new Du(e,t,n,r)}function Iu(e){return!(!(e=e.prototype)||!e.isReactComponent)}function Mu(e,t){var n=e.alternate;return null===n?((n=Ru(e.tag,t,e.key,e.mode)).elementType=e.elementType,n.type=e.type,n.stateNode=e.stateNode,n.alternate=e,e.alternate=n):(n.pendingProps=t,n.type=e.type,n.flags=0,n.subtreeFlags=0,n.deletions=null),n.flags=14680064&e.flags,n.childLanes=e.childLanes,n.lanes=e.lanes,n.child=e.child,n.memoizedProps=e.memoizedProps,n.memoizedState=e.memoizedState,n.updateQueue=e.updateQueue,t=e.dependencies,n.dependencies=null===t?null:{lanes:t.lanes,firstContext:t.firstContext},n.sibling=e.sibling,n.index=e.index,n.ref=e.ref,n}function Lu(e,t,n,r,i,a){var s=2;if(r=e,"function"==typeof e)Iu(e)&&(s=1);else if("string"==typeof e)s=5;else e:switch(e){case k:return Nu(n.children,i,a,t);case E:s=8,i|=8;break;case C:return(e=Ru(12,n,t,2|i)).elementType=C,e.lanes=a,e;case O:return(e=Ru(13,n,t,i)).elementType=O,e.lanes=a,e;case P:return(e=Ru(19,n,t,i)).elementType=P,e.lanes=a,e;case I:return ju(n,i,a,t);default:if("object"==typeof e&&null!==e)switch(e.$$typeof){case S:s=10;break e;case A:s=9;break e;case T:s=11;break e;case D:s=14;break e;case R:s=16,r=null;break e}throw Error(o(130,null==e?e:typeof e,""))}return(t=Ru(s,n,t,i)).elementType=e,t.type=r,t.lanes=a,t}function Nu(e,t,n,r){return(e=Ru(7,e,r,t)).lanes=n,e}function ju(e,t,n,r){return(e=Ru(22,e,r,t)).elementType=I,e.lanes=n,e.stateNode={isHidden:!1},e}function Fu(e,t,n){return(e=Ru(6,e,null,t)).lanes=n,e}function Bu(e,t,n){return(t=Ru(4,null!==e.children?e.children:[],e.key,t)).lanes=n,t.stateNode={containerInfo:e.containerInfo,pendingChildren:null,implementation:e.implementation},t}function zu(e,t,n,r,i){this.tag=t,this.containerInfo=e,this.finishedWork=this.pingCache=this.current=this.pendingChildren=null,this.timeoutHandle=-1,this.callbackNode=this.pendingContext=this.context=null,this.callbackPriority=0,this.eventTimes=gt(0),this.expirationTimes=gt(-1),this.entangledLanes=this.finishedLanes=this.mutableReadLanes=this.expiredLanes=this.pingedLanes=this.suspendedLanes=this.pendingLanes=0,this.entanglements=gt(0),this.identifierPrefix=r,this.onRecoverableError=i,this.mutableSourceEagerHydrationData=null}function Uu(e,t,n,r,i,o,a,s,l){return e=new zu(e,t,n,s,l),1===t?(t=1,!0===o&&(t|=8)):t=0,o=Ru(3,null,null,t),e.current=o,o.stateNode=e,o.memoizedState={element:r,isDehydrated:n,cache:null,transitions:null,pendingSuspenseBoundaries:null},Ro(o),e}function qu(e){if(!e)return Ai;e:{if(qe(e=e._reactInternals)!==e||1!==e.tag)throw Error(o(170));var t=e;do{switch(t.tag){case 3:t=t.stateNode.context;break e;case 1:if(Ri(t.type)){t=t.stateNode.__reactInternalMemoizedMergedChildContext;break e}}t=t.return}while(null!==t);throw Error(o(171))}if(1===e.tag){var n=e.type;if(Ri(n))return Li(e,n,t)}return t}function $u(e,t,n,r,i,o,a,s,l){return(e=Uu(n,r,!0,e,0,o,0,s,l)).context=qu(null),n=e.current,(o=Mo(r=tu(),i=nu(n))).callback=null!=t?t:null,Lo(n,o,i),e.current.lanes=i,vt(e,i,r),iu(e,r),e}function Wu(e,t,n,r){var i=t.current,o=tu(),a=nu(i);return n=qu(n),null===t.context?t.context=n:t.pendingContext=n,(t=Mo(o,a)).payload={element:e},null!==(r=void 0===r?null:r)&&(t.callback=r),null!==(e=Lo(i,t,a))&&(ru(e,i,a,o),No(e,i,a)),a}function Hu(e){return(e=e.current).child?(e.child.tag,e.child.stateNode):null}function Vu(e,t){if(null!==(e=e.memoizedState)&&null!==e.dehydrated){var n=e.retryLane;e.retryLane=0!==n&&n<t?n:t}}function Gu(e,t){Vu(e,t),(e=e.alternate)&&Vu(e,t)}El=function(e,t,n){if(null!==e)if(e.memoizedProps!==t.pendingProps||Oi.current)ws=!0;else{if(0==(e.lanes&n)&&0==(128&t.flags))return ws=!1,function(e,t,n){switch(t.tag){case 3:Ps(t),ho();break;case 5:aa(t);break;case 1:Ri(t.type)&&Ni(t);break;case 4:ia(t,t.stateNode.containerInfo);break;case 10:var r=t.type._context,i=t.memoizedProps.value;Si(yo,r._currentValue),r._currentValue=i;break;case 13:if(null!==(r=t.memoizedState))return null!==r.dehydrated?(Si(la,1&la.current),t.flags|=128,null):0!=(n&t.child.childLanes)?Fs(e,t,n):(Si(la,1&la.current),null!==(e=Hs(e,t,n))?e.sibling:null);Si(la,1&la.current);break;case 19:if(r=0!=(n&t.childLanes),0!=(128&e.flags)){if(r)return $s(e,t,n);t.flags|=128}if(null!==(i=t.memoizedState)&&(i.rendering=null,i.tail=null,i.lastEffect=null),Si(la,la.current),r)break;return null;case 22:case 23:return t.lanes=0,Cs(e,t,n)}return Hs(e,t,n)}(e,t,n);ws=0!=(131072&e.flags)}else ws=!1,io&&0!=(1048576&t.flags)&&Ji(t,Vi,t.index);switch(t.lanes=0,t.tag){case 2:var r=t.type;Ws(e,t),e=t.pendingProps;var i=Di(t,Ti.current);Co(t,n),i=Ea(null,t,r,e,i,n);var a=Ca();return t.flags|=1,"object"==typeof i&&null!==i&&"function"==typeof i.render&&void 0===i.$$typeof?(t.tag=1,t.memoizedState=null,t.updateQueue=null,Ri(r)?(a=!0,Ni(t)):a=!1,t.memoizedState=null!==i.state&&void 0!==i.state?i.state:null,Ro(t),i.updater=qo,t.stateNode=i,i._reactInternals=t,Vo(t,r,e,n),t=Os(null,t,r,!0,a,n)):(t.tag=0,io&&a&&eo(t),_s(null,t,i,n),t=t.child),t;case 16:r=t.elementType;e:{switch(Ws(e,t),e=t.pendingProps,r=(i=r._init)(r._payload),t.type=r,i=t.tag=function(e){if("function"==typeof e)return Iu(e)?1:0;if(null!=e){if((e=e.$$typeof)===T)return 11;if(e===D)return 14}return 2}(r),e=vo(r,e),i){case 0:t=As(null,t,r,e,n);break e;case 1:t=Ts(null,t,r,e,n);break e;case 11:t=xs(null,t,r,e,n);break e;case 14:t=ks(null,t,r,vo(r.type,e),n);break e}throw Error(o(306,r,""))}return t;case 0:return r=t.type,i=t.pendingProps,As(e,t,r,i=t.elementType===r?i:vo(r,i),n);case 1:return r=t.type,i=t.pendingProps,Ts(e,t,r,i=t.elementType===r?i:vo(r,i),n);case 3:e:{if(Ps(t),null===e)throw Error(o(387));r=t.pendingProps,i=(a=t.memoizedState).element,Io(e,t),Fo(t,r,null,n);var s=t.memoizedState;if(r=s.element,a.isDehydrated){if(a={element:r,isDehydrated:!1,cache:s.cache,pendingSuspenseBoundaries:s.pendingSuspenseBoundaries,transitions:s.transitions},t.updateQueue.baseState=a,t.memoizedState=a,256&t.flags){t=Ds(e,t,r,n,i=cs(Error(o(423)),t));break e}if(r!==i){t=Ds(e,t,r,n,i=cs(Error(o(424)),t));break e}for(ro=ui(t.stateNode.containerInfo.firstChild),no=t,io=!0,oo=null,n=Qo(t,null,r,n),t.child=n;n;)n.flags=-3&n.flags|4096,n=n.sibling}else{if(ho(),r===i){t=Hs(e,t,n);break e}_s(e,t,r,n)}t=t.child}return t;case 5:return aa(t),null===e&&uo(t),r=t.type,i=t.pendingProps,a=null!==e?e.memoizedProps:null,s=i.children,ni(r,i)?s=null:null!==a&&ni(r,a)&&(t.flags|=32),Ss(e,t),_s(e,t,s,n),t.child;case 6:return null===e&&uo(t),null;case 13:return Fs(e,t,n);case 4:return ia(t,t.stateNode.containerInfo),r=t.pendingProps,null===e?t.child=Xo(t,null,r,n):_s(e,t,r,n),t.child;case 11:return r=t.type,i=t.pendingProps,xs(e,t,r,i=t.elementType===r?i:vo(r,i),n);case 7:return _s(e,t,t.pendingProps,n),t.child;case 8:case 12:return _s(e,t,t.pendingProps.children,n),t.child;case 10:e:{if(r=t.type._context,i=t.pendingProps,a=t.memoizedProps,s=i.value,Si(yo,r._currentValue),r._currentValue=s,null!==a)if(sr(a.value,s)){if(a.children===i.children&&!Oi.current){t=Hs(e,t,n);break e}}else for(null!==(a=t.child)&&(a.return=t);null!==a;){var l=a.dependencies;if(null!==l){s=a.child;for(var u=l.firstContext;null!==u;){if(u.context===r){if(1===a.tag){(u=Mo(-1,n&-n)).tag=2;var c=a.updateQueue;if(null!==c){var d=(c=c.shared).pending;null===d?u.next=u:(u.next=d.next,d.next=u),c.pending=u}}a.lanes|=n,null!==(u=a.alternate)&&(u.lanes|=n),Eo(a.return,n,t),l.lanes|=n;break}u=u.next}}else if(10===a.tag)s=a.type===t.type?null:a.child;else if(18===a.tag){if(null===(s=a.return))throw Error(o(341));s.lanes|=n,null!==(l=s.alternate)&&(l.lanes|=n),Eo(s,n,t),s=a.sibling}else s=a.child;if(null!==s)s.return=a;else for(s=a;null!==s;){if(s===t){s=null;break}if(null!==(a=s.sibling)){a.return=s.return,s=a;break}s=s.return}a=s}_s(e,t,i.children,n),t=t.child}return t;case 9:return i=t.type,r=t.pendingProps.children,Co(t,n),r=r(i=So(i)),t.flags|=1,_s(e,t,r,n),t.child;case 14:return i=vo(r=t.type,t.pendingProps),ks(e,t,r,i=vo(r.type,i),n);case 15:return Es(e,t,t.type,t.pendingProps,n);case 17:return r=t.type,i=t.pendingProps,i=t.elementType===r?i:vo(r,i),Ws(e,t),t.tag=1,Ri(r)?(e=!0,Ni(t)):e=!1,Co(t,n),Wo(t,r,i),Vo(t,r,i,n),Os(null,t,r,!0,e,n);case 19:return $s(e,t,n);case 22:return Cs(e,t,n)}throw Error(o(156,t.tag))};var Ku="function"==typeof reportError?reportError:function(e){console.error(e)};function Yu(e){this._internalRoot=e}function Zu(e){this._internalRoot=e}function Xu(e){return!(!e||1!==e.nodeType&&9!==e.nodeType&&11!==e.nodeType)}function Qu(e){return!(!e||1!==e.nodeType&&9!==e.nodeType&&11!==e.nodeType&&(8!==e.nodeType||" react-mount-point-unstable "!==e.nodeValue))}function Ju(){}function ec(e,t,n,r,i){var o=n._reactRootContainer;if(o){var a=o;if("function"==typeof i){var s=i;i=function(){var e=Hu(a);s.call(e)}}Wu(t,a,e,i)}else a=function(e,t,n,r,i){if(i){if("function"==typeof r){var o=r;r=function(){var e=Hu(a);o.call(e)}}var a=$u(t,r,e,0,null,!1,0,"",Ju);return e._reactRootContainer=a,e[hi]=a.current,qr(8===e.nodeType?e.parentNode:e),du(),a}for(;i=e.lastChild;)e.removeChild(i);if("function"==typeof r){var s=r;r=function(){var e=Hu(l);s.call(e)}}var l=Uu(e,0,!1,null,0,!1,0,"",Ju);return e._reactRootContainer=l,e[hi]=l.current,qr(8===e.nodeType?e.parentNode:e),du((function(){Wu(t,l,n,r)})),l}(n,t,e,i,r);return Hu(a)}Zu.prototype.render=Yu.prototype.render=function(e){var t=this._internalRoot;if(null===t)throw Error(o(409));Wu(e,t,null,null)},Zu.prototype.unmount=Yu.prototype.unmount=function(){var e=this._internalRoot;if(null!==e){this._internalRoot=null;var t=e.containerInfo;du((function(){Wu(null,e,null,null)})),t[hi]=null}},Zu.prototype.unstable_scheduleHydration=function(e){if(e){var t=Et();e={blockedOn:null,target:e,priority:t};for(var n=0;n<It.length&&0!==t&&t<It[n].priority;n++);It.splice(n,0,e),0===n&&jt(e)}},_t=function(e){switch(e.tag){case 3:var t=e.stateNode;if(t.current.memoizedState.isDehydrated){var n=dt(t.pendingLanes);0!==n&&(yt(t,1|n),iu(t,Xe()),0==(6&Ol)&&($l=Xe()+500,qi()))}break;case 13:du((function(){var t=Po(e,1);if(null!==t){var n=tu();ru(t,e,1,n)}})),Gu(e,1)}},xt=function(e){if(13===e.tag){var t=Po(e,134217728);null!==t&&ru(t,e,134217728,tu()),Gu(e,134217728)}},kt=function(e){if(13===e.tag){var t=nu(e),n=Po(e,t);null!==n&&ru(n,e,t,tu()),Gu(e,t)}},Et=function(){return bt},Ct=function(e,t){var n=bt;try{return bt=e,t()}finally{bt=n}},xe=function(e,t,n){switch(t){case"input":if(Q(e,n),t=n.name,"radio"===n.type&&null!=t){for(n=e;n.parentNode;)n=n.parentNode;for(n=n.querySelectorAll("input[name="+JSON.stringify(""+t)+'][type="radio"]'),t=0;t<n.length;t++){var r=n[t];if(r!==e&&r.form===e.form){var i=_i(r);if(!i)throw Error(o(90));G(r),Q(r,i)}}}break;case"textarea":oe(e,n);break;case"select":null!=(t=n.value)&&ne(e,!!n.multiple,t,!1)}},Te=cu,Oe=du;var tc={usingClientEntryPoint:!1,Events:[bi,wi,_i,Se,Ae,cu]},nc={findFiberByHostInstance:yi,bundleType:0,version:"18.2.0",rendererPackageName:"react-dom"},rc={bundleType:nc.bundleType,version:nc.version,rendererPackageName:nc.rendererPackageName,rendererConfig:nc.rendererConfig,overrideHookState:null,overrideHookStateDeletePath:null,overrideHookStateRenamePath:null,overrideProps:null,overridePropsDeletePath:null,overridePropsRenamePath:null,setErrorHandler:null,setSuspenseHandler:null,scheduleUpdate:null,currentDispatcherRef:w.ReactCurrentDispatcher,findHostInstanceByFiber:function(e){return null===(e=He(e))?null:e.stateNode},findFiberByHostInstance:nc.findFiberByHostInstance||function(){return null},findHostInstancesForRefresh:null,scheduleRefresh:null,scheduleRoot:null,setRefreshHandler:null,getCurrentFiber:null,reconcilerVersion:"18.2.0-next-9e3b772b8-20220608"};if("undefined"!=typeof __REACT_DEVTOOLS_GLOBAL_HOOK__){var ic=__REACT_DEVTOOLS_GLOBAL_HOOK__;if(!ic.isDisabled&&ic.supportsFiber)try{it=ic.inject(rc),ot=ic}catch(ce){}}t.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED=tc,t.createPortal=function(e,t){var n=2<arguments.length&&void 0!==arguments[2]?arguments[2]:null;if(!Xu(t))throw Error(o(200));return function(e,t,n){var r=3<arguments.length&&void 0!==arguments[3]?arguments[3]:null;return{$$typeof:x,key:null==r?null:""+r,children:e,containerInfo:t,implementation:n}}(e,t,null,n)},t.createRoot=function(e,t){if(!Xu(e))throw Error(o(299));var n=!1,r="",i=Ku;return null!=t&&(!0===t.unstable_strictMode&&(n=!0),void 0!==t.identifierPrefix&&(r=t.identifierPrefix),void 0!==t.onRecoverableError&&(i=t.onRecoverableError)),t=Uu(e,1,!1,null,0,n,0,r,i),e[hi]=t.current,qr(8===e.nodeType?e.parentNode:e),new Yu(t)},t.findDOMNode=function(e){if(null==e)return null;if(1===e.nodeType)return e;var t=e._reactInternals;if(void 0===t){if("function"==typeof e.render)throw Error(o(188));throw e=Object.keys(e).join(","),Error(o(268,e))}return null===(e=He(t))?null:e.stateNode},t.flushSync=function(e){return du(e)},t.hydrate=function(e,t,n){if(!Qu(t))throw Error(o(200));return ec(null,e,t,!0,n)},t.hydrateRoot=function(e,t,n){if(!Xu(e))throw Error(o(405));var r=null!=n&&n.hydratedSources||null,i=!1,a="",s=Ku;if(null!=n&&(!0===n.unstable_strictMode&&(i=!0),void 0!==n.identifierPrefix&&(a=n.identifierPrefix),void 0!==n.onRecoverableError&&(s=n.onRecoverableError)),t=$u(t,null,e,1,null!=n?n:null,i,0,a,s),e[hi]=t.current,qr(e),r)for(e=0;e<r.length;e++)i=(i=(n=r[e])._getVersion)(n._source),null==t.mutableSourceEagerHydrationData?t.mutableSourceEagerHydrationData=[n,i]:t.mutableSourceEagerHydrationData.push(n,i);return new Zu(t)},t.render=function(e,t,n){if(!Qu(t))throw Error(o(200));return ec(null,e,t,!1,n)},t.unmountComponentAtNode=function(e){if(!Qu(e))throw Error(o(40));return!!e._reactRootContainer&&(du((function(){ec(null,null,e,!1,(function(){e._reactRootContainer=null,e[hi]=null}))})),!0)},t.unstable_batchedUpdates=cu,t.unstable_renderSubtreeIntoContainer=function(e,t,n,r){if(!Qu(n))throw Error(o(200));if(null==e||void 0===e._reactInternals)throw Error(o(38));return ec(e,t,n,!1,r)},t.version="18.2.0-next-9e3b772b8-20220608"},17029:(e,t,n)=>{"use strict";var r=n(28316);t.s=r.createRoot,r.hydrateRoot},28316:(e,t,n)=>{"use strict";!function e(){if("undefined"!=typeof __REACT_DEVTOOLS_GLOBAL_HOOK__&&"function"==typeof __REACT_DEVTOOLS_GLOBAL_HOOK__.checkDCE)try{__REACT_DEVTOOLS_GLOBAL_HOOK__.checkDCE(e)}catch(e){console.error(e)}}(),e.exports=n(52967)},48090:(e,t,n)=>{"use strict";var r,i;r=n(1350),i=n(1418),r.version,t.Dq=r.renderToString,r.renderToStaticMarkup,r.renderToNodeStream,r.renderToStaticNodeStream,i.renderToReadableStream},89050:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),i=n(2784),o=(a(i),a(n(13980)));function a(e){return e&&e.__esModule?e:{default:e}}var s=function(e){function t(){return function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t),function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).apply(this,arguments))}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),r(t,[{key:"componentDidMount",value:function(){this.props.contentDidMount()}},{key:"componentDidUpdate",value:function(){this.props.contentDidUpdate()}},{key:"render",value:function(){return i.Children.only(this.props.children)}}]),t}(i.Component);s.propTypes={children:o.default.element.isRequired,contentDidMount:o.default.func.isRequired,contentDidUpdate:o.default.func.isRequired},t.default=s},86696:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.FrameContextConsumer=t.FrameContextProvider=t.useFrame=t.FrameContext=void 0;var r,i=(r=n(2784))&&r.__esModule?r:{default:r},o=void 0,a=void 0;"undefined"!=typeof document&&(o=document),"undefined"!=typeof window&&(a=window);var s=t.FrameContext=i.default.createContext({document:o,window:a}),l=(t.useFrame=function(){return i.default.useContext(s)},s.Provider),u=s.Consumer;t.FrameContextProvider=l,t.FrameContextConsumer=u},54540:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.Frame=void 0;var r=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},i=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),o=n(2784),a=d(o),s=d(n(28316)),l=d(n(13980)),u=n(86696),c=d(n(89050));function d(e){return e&&e.__esModule?e:{default:e}}var p=t.Frame=function(e){function t(e,n){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var r=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e,n));return r.setRef=function(e){r.nodeRef.current=e;var t=r.props.forwardedRef;"function"==typeof t?t(e):t&&(t.current=e)},r.handleLoad=function(){clearInterval(r.loadCheck),r.state.iframeLoaded||r.setState({iframeLoaded:!0})},r.loadCheck=function(){return setInterval((function(){r.handleLoad()}),500)},r._isMounted=!1,r.nodeRef=a.default.createRef(),r.state={iframeLoaded:!1},r}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),i(t,[{key:"componentDidMount",value:function(){this._isMounted=!0,this.getDoc()&&this.nodeRef.current.contentWindow.addEventListener("DOMContentLoaded",this.handleLoad)}},{key:"componentWillUnmount",value:function(){this._isMounted=!1,this.nodeRef.current.removeEventListener("DOMContentLoaded",this.handleLoad)}},{key:"getDoc",value:function(){return this.nodeRef.current?this.nodeRef.current.contentDocument:null}},{key:"getMountTarget",value:function(){var e=this.getDoc();return this.props.mountTarget?e.querySelector(this.props.mountTarget):e.body.children[0]}},{key:"renderFrameContents",value:function(){if(!this._isMounted)return null;var e=this.getDoc();if(!e)return null;var t=this.props.contentDidMount,n=this.props.contentDidUpdate,r=e.defaultView||e.parentView,i=a.default.createElement(c.default,{contentDidMount:t,contentDidUpdate:n},a.default.createElement(u.FrameContextProvider,{value:{document:e,window:r}},a.default.createElement("div",{className:"frame-content"},this.props.children))),o=this.getMountTarget();return[s.default.createPortal(this.props.head,this.getDoc().head),s.default.createPortal(i,o)]}},{key:"render",value:function(){var e=r({},this.props,{srcDoc:this.props.initialContent,children:void 0});return delete e.head,delete e.initialContent,delete e.mountTarget,delete e.contentDidMount,delete e.contentDidUpdate,delete e.forwardedRef,a.default.createElement("iframe",r({},e,{ref:this.setRef,onLoad:this.handleLoad}),this.state.iframeLoaded&&this.renderFrameContents())}}]),t}(o.Component);p.propTypes={style:l.default.object,head:l.default.node,initialContent:l.default.string,mountTarget:l.default.string,contentDidMount:l.default.func,contentDidUpdate:l.default.func,children:l.default.oneOfType([l.default.element,l.default.arrayOf(l.default.element)])},p.defaultProps={style:{},head:null,children:void 0,mountTarget:void 0,contentDidMount:function(){},contentDidUpdate:function(){},initialContent:'<!DOCTYPE html><html><head></head><body><div class="frame-root"></div></body></html>'},t.default=a.default.forwardRef((function(e,t){return a.default.createElement(p,r({},e,{forwardedRef:t}))}))},12693:(e,t,n)=>{"use strict";var r=n(54540);Object.defineProperty(t,"ZP",{enumerable:!0,get:function(){return(e=r,e&&e.__esModule?e:{default:e}).default;var e}});var i=n(86696);Object.defineProperty(t,"Kr",{enumerable:!0,get:function(){return i.FrameContextConsumer}})},19155:(e,t,n)=>{"use strict";var r,i=n(46670),o="<<anonymous>>",a=function(){invariant(!1,"ImmutablePropTypes type checking code is stripped in production.")};a.isRequired=a;var s=function(){return a};function l(e,t){return n="Iterable."+e,r=function(e){return i.Iterable.isIterable(e)&&t(e)},function(e){function t(t,n,r,i,a,s){for(var l=arguments.length,u=Array(l>6?l-6:0),c=6;c<l;c++)u[c-6]=arguments[c];return s=s||r,i=i||o,null!=n[r]?e.apply(void 0,[n,r,i,a,s].concat(u)):t?new Error("Required "+a+" `"+s+"` was not specified in `"+i+"`."):void 0}var n=t.bind(null,!1);return n.isRequired=t.bind(null,!0),n}((function(e,t,o,a,s){var l=e[t];if(!r(l)){var u=function(e){var t=typeof e;return Array.isArray(e)?"array":e instanceof RegExp?"object":e instanceof i.Iterable?"Immutable."+e.toSource().split(" ")[0]:t}(l);return new Error("Invalid "+a+" `"+s+"` of type `"+u+"` supplied to `"+o+"`, expected `"+n+"`.")}return null}));var n,r}(r={listOf:s,mapOf:s,orderedMapOf:s,setOf:s,orderedSetOf:s,stackOf:s,iterableOf:s,recordOf:s,shape:s,contains:s,mapContains:s,orderedMapContains:s,list:a,map:a,orderedMap:a,set:a,orderedSet:a,stack:a,seq:a,record:a,iterable:a}).iterable.indexed=l("Indexed",i.Iterable.isIndexed),r.iterable.keyed=l("Keyed",i.Iterable.isKeyed),e.exports=r},18726:(e,t,n)=>{"use strict";var r=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},i=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),o=n(2784),a=l(o),s=l(n(13980));function l(e){return e&&e.__esModule?e:{default:e}}var u={position:"absolute",top:0,left:0,visibility:"hidden",height:0,overflow:"scroll",whiteSpace:"pre"},c=["extraWidth","injectStyles","inputClassName","inputRef","inputStyle","minWidth","onAutosize","placeholderIsMinWidth"],d=function(e,t){t.style.fontSize=e.fontSize,t.style.fontFamily=e.fontFamily,t.style.fontWeight=e.fontWeight,t.style.fontStyle=e.fontStyle,t.style.letterSpacing=e.letterSpacing,t.style.textTransform=e.textTransform},p=!("undefined"==typeof window||!window.navigator)&&/MSIE |Trident\/|Edge\//.test(window.navigator.userAgent),f=function(){return p?"_"+Math.random().toString(36).substr(2,12):void 0},h=function(e){function t(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var n=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e));return n.inputRef=function(e){n.input=e,"function"==typeof n.props.inputRef&&n.props.inputRef(e)},n.placeHolderSizerRef=function(e){n.placeHolderSizer=e},n.sizerRef=function(e){n.sizer=e},n.state={inputWidth:e.minWidth,inputId:e.id||f(),prevId:e.id},n}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),i(t,null,[{key:"getDerivedStateFromProps",value:function(e,t){var n=e.id;return n!==t.prevId?{inputId:n||f(),prevId:n}:null}}]),i(t,[{key:"componentDidMount",value:function(){this.mounted=!0,this.copyInputStyles(),this.updateInputWidth()}},{key:"componentDidUpdate",value:function(e,t){t.inputWidth!==this.state.inputWidth&&"function"==typeof this.props.onAutosize&&this.props.onAutosize(this.state.inputWidth),this.updateInputWidth()}},{key:"componentWillUnmount",value:function(){this.mounted=!1}},{key:"copyInputStyles",value:function(){if(this.mounted&&window.getComputedStyle){var e=this.input&&window.getComputedStyle(this.input);e&&(d(e,this.sizer),this.placeHolderSizer&&d(e,this.placeHolderSizer))}}},{key:"updateInputWidth",value:function(){if(this.mounted&&this.sizer&&void 0!==this.sizer.scrollWidth){var e=void 0;e=this.props.placeholder&&(!this.props.value||this.props.value&&this.props.placeholderIsMinWidth)?Math.max(this.sizer.scrollWidth,this.placeHolderSizer.scrollWidth)+2:this.sizer.scrollWidth+2,(e+="number"===this.props.type&&void 0===this.props.extraWidth?16:parseInt(this.props.extraWidth)||0)<this.props.minWidth&&(e=this.props.minWidth),e!==this.state.inputWidth&&this.setState({inputWidth:e})}}},{key:"getInput",value:function(){return this.input}},{key:"focus",value:function(){this.input.focus()}},{key:"blur",value:function(){this.input.blur()}},{key:"select",value:function(){this.input.select()}},{key:"renderStyles",value:function(){var e=this.props.injectStyles;return p&&e?a.default.createElement("style",{dangerouslySetInnerHTML:{__html:"input#"+this.state.inputId+"::-ms-clear {display: none;}"}}):null}},{key:"render",value:function(){var e=[this.props.defaultValue,this.props.value,""].reduce((function(e,t){return null!=e?e:t})),t=r({},this.props.style);t.display||(t.display="inline-block");var n=r({boxSizing:"content-box",width:this.state.inputWidth+"px"},this.props.inputStyle),i=function(e,t){var n={};for(var r in e)t.indexOf(r)>=0||Object.prototype.hasOwnProperty.call(e,r)&&(n[r]=e[r]);return n}(this.props,[]);return function(e){c.forEach((function(t){return delete e[t]}))}(i),i.className=this.props.inputClassName,i.id=this.state.inputId,i.style=n,a.default.createElement("div",{className:this.props.className,style:t},this.renderStyles(),a.default.createElement("input",r({},i,{ref:this.inputRef})),a.default.createElement("div",{ref:this.sizerRef,style:u},e),this.props.placeholder?a.default.createElement("div",{ref:this.placeHolderSizerRef,style:u},this.props.placeholder):null)}}]),t}(o.Component);h.propTypes={className:s.default.string,defaultValue:s.default.any,extraWidth:s.default.oneOfType([s.default.number,s.default.string]),id:s.default.string,injectStyles:s.default.bool,inputClassName:s.default.string,inputRef:s.default.func,inputStyle:s.default.object,minWidth:s.default.oneOfType([s.default.number,s.default.string]),onAutosize:s.default.func,onChange:s.default.func,placeholder:s.default.string,placeholderIsMinWidth:s.default.bool,style:s.default.object,value:s.default.any},h.defaultProps={minWidth:1,injectStyles:!0},t.Z=h},66866:(e,t)=>{"use strict";var n="function"==typeof Symbol&&Symbol.for,r=n?Symbol.for("react.element"):60103,i=n?Symbol.for("react.portal"):60106,o=n?Symbol.for("react.fragment"):60107,a=n?Symbol.for("react.strict_mode"):60108,s=n?Symbol.for("react.profiler"):60114,l=n?Symbol.for("react.provider"):60109,u=n?Symbol.for("react.context"):60110,c=n?Symbol.for("react.async_mode"):60111,d=n?Symbol.for("react.concurrent_mode"):60111,p=n?Symbol.for("react.forward_ref"):60112,f=n?Symbol.for("react.suspense"):60113,h=n?Symbol.for("react.suspense_list"):60120,m=n?Symbol.for("react.memo"):60115,g=n?Symbol.for("react.lazy"):60116,v=n?Symbol.for("react.block"):60121,y=n?Symbol.for("react.fundamental"):60117,b=n?Symbol.for("react.responder"):60118,w=n?Symbol.for("react.scope"):60119;function _(e){if("object"==typeof e&&null!==e){var t=e.$$typeof;switch(t){case r:switch(e=e.type){case c:case d:case o:case s:case a:case f:return e;default:switch(e=e&&e.$$typeof){case u:case p:case g:case m:case l:return e;default:return t}}case i:return t}}}function x(e){return _(e)===d}t.AsyncMode=c,t.ConcurrentMode=d,t.ContextConsumer=u,t.ContextProvider=l,t.Element=r,t.ForwardRef=p,t.Fragment=o,t.Lazy=g,t.Memo=m,t.Portal=i,t.Profiler=s,t.StrictMode=a,t.Suspense=f,t.isAsyncMode=function(e){return x(e)||_(e)===c},t.isConcurrentMode=x,t.isContextConsumer=function(e){return _(e)===u},t.isContextProvider=function(e){return _(e)===l},t.isElement=function(e){return"object"==typeof e&&null!==e&&e.$$typeof===r},t.isForwardRef=function(e){return _(e)===p},t.isFragment=function(e){return _(e)===o},t.isLazy=function(e){return _(e)===g},t.isMemo=function(e){return _(e)===m},t.isPortal=function(e){return _(e)===i},t.isProfiler=function(e){return _(e)===s},t.isStrictMode=function(e){return _(e)===a},t.isSuspense=function(e){return _(e)===f},t.isValidElementType=function(e){return"string"==typeof e||"function"==typeof e||e===o||e===d||e===s||e===a||e===f||e===h||"object"==typeof e&&null!==e&&(e.$$typeof===g||e.$$typeof===m||e.$$typeof===l||e.$$typeof===u||e.$$typeof===p||e.$$typeof===y||e.$$typeof===b||e.$$typeof===w||e.$$typeof===v)},t.typeOf=_},48570:(e,t,n)=>{"use strict";e.exports=n(66866)},46847:(e,t,n)=>{"use strict";function r(){var e=this.constructor.getDerivedStateFromProps(this.props,this.state);null!=e&&this.setState(e)}function i(e){this.setState(function(t){var n=this.constructor.getDerivedStateFromProps(e,t);return null!=n?n:null}.bind(this))}function o(e,t){try{var n=this.props,r=this.state;this.props=e,this.state=t,this.__reactInternalSnapshotFlag=!0,this.__reactInternalSnapshot=this.getSnapshotBeforeUpdate(n,r)}finally{this.props=n,this.state=r}}function a(e){var t=e.prototype;if(!t||!t.isReactComponent)throw new Error("Can only polyfill class components");if("function"!=typeof e.getDerivedStateFromProps&&"function"!=typeof t.getSnapshotBeforeUpdate)return e;var n=null,a=null,s=null;if("function"==typeof t.componentWillMount?n="componentWillMount":"function"==typeof t.UNSAFE_componentWillMount&&(n="UNSAFE_componentWillMount"),"function"==typeof t.componentWillReceiveProps?a="componentWillReceiveProps":"function"==typeof t.UNSAFE_componentWillReceiveProps&&(a="UNSAFE_componentWillReceiveProps"),"function"==typeof t.componentWillUpdate?s="componentWillUpdate":"function"==typeof t.UNSAFE_componentWillUpdate&&(s="UNSAFE_componentWillUpdate"),null!==n||null!==a||null!==s){var l=e.displayName||e.name,u="function"==typeof e.getDerivedStateFromProps?"getDerivedStateFromProps()":"getSnapshotBeforeUpdate()";throw Error("Unsafe legacy lifecycles will not be called for components using new component APIs.\n\n"+l+" uses "+u+" but also contains the following legacy lifecycles:"+(null!==n?"\n "+n:"")+(null!==a?"\n "+a:"")+(null!==s?"\n "+s:"")+"\n\nThe above lifecycles should be removed. Learn more about this warning here:\nhttps://fb.me/react-async-component-lifecycle-hooks")}if("function"==typeof e.getDerivedStateFromProps&&(t.componentWillMount=r,t.componentWillReceiveProps=i),"function"==typeof t.getSnapshotBeforeUpdate){if("function"!=typeof t.componentDidUpdate)throw new Error("Cannot polyfill getSnapshotBeforeUpdate() for components that do not define componentDidUpdate() on the prototype");t.componentWillUpdate=o;var c=t.componentDidUpdate;t.componentDidUpdate=function(e,t,n){var r=this.__reactInternalSnapshotFlag?this.__reactInternalSnapshot:n;c.call(this,e,t,r)}}return e}n.r(t),n.d(t,{polyfill:()=>a}),r.__suppressDeprecationWarning=!0,i.__suppressDeprecationWarning=!0,o.__suppressDeprecationWarning=!0},77993:e=>{e.exports=function(e){return null!=e&&null!=e.constructor&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)}},41872:e=>{"use strict";e.exports=e=>{if("[object Object]"!==Object.prototype.toString.call(e))return!1;const t=Object.getPrototypeOf(e);return null===t||t===Object.prototype}},14262:(e,t,n)=>{"use strict";var r=n(37121);e.exports=function(e,t){return n=function(e){var t={};if(!e||!e.type)throw new Error("mdast-util-definitions expected node");return r(e,"definition",(function(e){var n=o(e.identifier);i.call(t,n)||(t[n]=e)})),t}(e),function(e){var t=e&&o(e);return t&&i.call(n,t)?n[t]:null};var n};var i={}.hasOwnProperty;function o(e){return e.toUpperCase()}},91857:(e,t,n)=>{"use strict";e.exports=n(18927)},53037:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,i,o=t.children||[],a=o.length,s=[],l=-1;++l<a;)(n=r(e,o[l],t))&&(l&&"break"===o[l-1].type&&(n.value&&(n.value=n.value.replace(/^\s+/,"")),(i=n.children&&n.children[0])&&i.value&&(i.value=i.value.replace(/^\s+/,""))),s=s.concat(n));return s};var r=n(61766)},5282:(e,t,n)=>{"use strict";e.exports=function(e){for(var t,n,a,s,l=e.footnoteById,u=e.footnoteOrder,c=u.length,d=-1,p=[];++d<c;)(t=l[u[d].toUpperCase()])&&(s=(a=t.children.concat())[a.length-1],n={type:"link",url:"#fnref-"+t.identifier,data:{hProperties:{className:["footnote-backref"]}},children:[{type:"text",value:"↩"}]},s&&"paragraph"===s.type||(s={type:"paragraph",children:[]},a.push(s)),s.children.push(n),p.push({type:"listItem",data:{hProperties:{id:"fn-"+t.identifier}},children:a,position:t.position}));return 0===p.length?null:e(null,"div",{className:["footnotes"]},o([r(e),i(e,{type:"list",ordered:!0,children:p})],!0))};var r=n(35334),i=n(8189),o=n(56026)},26888:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"blockquote",r(i(e,t),!0))};var r=n(56026),i=n(53037)},7419:(e,t,n)=>{"use strict";e.exports=function(e,t){return[e(t,"br"),r("text","\n")]};var r=n(39988)},97561:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,i=t.value?t.value+"\n":"",o=t.lang&&t.lang.match(/^[^ \t]+(?=[ \t]|$)/),a={};return o&&(a.className=["language-"+o]),n=e(t,"code",a,[r("text",i)]),t.meta&&(n.data={meta:t.meta}),e(t.position,"pre",[n])};var r=n(39988)},53350:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"del",r(e,t))};var r=n(53037)},92514:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"em",r(e,t))};var r=n(53037)},75745:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=e.footnoteOrder,i=String(t.identifier);return-1===n.indexOf(i)&&n.push(i),e(t.position,"sup",{id:"fnref-"+i},[e(t,"a",{href:"#fn-"+i,className:["footnote-ref"]},[r("text",t.label||i)])])};var r=n(39988)},11456:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n=e.footnoteById,i=e.footnoteOrder,o=1;o in n;)o++;return o=String(o),i.push(o),n[o]={type:"footnoteDefinition",identifier:o,children:[{type:"paragraph",children:t.children}],position:t.position},r(e,{type:"footnoteReference",identifier:o,position:t.position})};var r=n(75745)},54758:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"h"+t.depth,r(e,t))};var r=n(53037)},71264:(e,t,n)=>{"use strict";e.exports=function(e,t){return e.dangerous?e.augment(t,r("raw",t.value)):null};var r=n(39988)},67810:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o=e.definition(t.identifier);return o?(n={src:r(o.url||""),alt:t.alt},null!==o.title&&void 0!==o.title&&(n.title=o.title),e(t,"img",n)):i(e,t)};var r=n(81737),i=n(89055)},63177:(e,t,n)=>{"use strict";var r=n(81737);e.exports=function(e,t){var n={src:r(t.url),alt:t.alt};return null!==t.title&&void 0!==t.title&&(n.title=t.title),e(t,"img",n)}},32:(e,t,n)=>{"use strict";function r(){return null}e.exports={blockquote:n(26888),break:n(7419),code:n(97561),delete:n(53350),emphasis:n(92514),footnoteReference:n(75745),footnote:n(11456),heading:n(54758),html:n(71264),imageReference:n(67810),image:n(63177),inlineCode:n(56504),linkReference:n(90037),link:n(61690),listItem:n(22193),list:n(8189),paragraph:n(30275),root:n(7080),strong:n(75492),table:n(80428),text:n(9468),thematicBreak:n(35334),toml:r,yaml:r,definition:r,footnoteDefinition:r}},56504:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=t.value.replace(/\r?\n|\r/g," ");return e(t,"code",[r("text",n)])};var r=n(39988)},90037:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,a=e.definition(t.identifier);return a?(n={href:r(a.url||"")},null!==a.title&&void 0!==a.title&&(n.title=a.title),e(t,"a",n,o(e,t))):i(e,t)};var r=n(81737),i=n(89055),o=n(53037)},61690:(e,t,n)=>{"use strict";var r=n(81737),i=n(53037);e.exports=function(e,t){var n={href:r(t.url)};return null!==t.title&&void 0!==t.title&&(n.title=t.title),e(t,"a",n,i(e,t))}},22193:(e,t,n)=>{"use strict";e.exports=function(e,t,n){var a,s,l,u=i(e,t),c=u[0],d=n?function(e){for(var t=e.spread,n=e.children,r=n.length,i=-1;!t&&++i<r;)t=o(n[i]);return t}(n):o(t),p={},f=[];for("boolean"==typeof t.checked&&(c&&"p"===c.tagName||(c=e(null,"p",[]),u.unshift(c)),c.children.length>0&&c.children.unshift(r("text"," ")),c.children.unshift(e(null,"input",{type:"checkbox",checked:t.checked,disabled:!0})),p.className=["task-list-item"]),a=u.length,s=-1;++s<a;)l=u[s],(d||0!==s||"p"!==l.tagName)&&f.push(r("text","\n")),"p"!==l.tagName||d?f.push(l):f=f.concat(l.children);return a&&(d||"p"!==l.tagName)&&f.push(r("text","\n")),e(t,"li",p,f)};var r=n(39988),i=n(53037);function o(e){var t=e.spread;return null==t?e.children.length>1:t}},8189:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o,a={},s=t.ordered?"ol":"ul",l=-1;for("number"==typeof t.start&&1!==t.start&&(a.start=t.start),o=(n=i(e,t)).length;++l<o;)if(n[l].properties.className&&-1!==n[l].properties.className.indexOf("task-list-item")){a.className=["contains-task-list"];break}return e(t,s,a,r(n,!0))};var r=n(56026),i=n(53037)},30275:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"p",r(e,t))};var r=n(53037)},7080:(e,t,n)=>{"use strict";e.exports=function(e,t){return e.augment(t,r("root",i(o(e,t))))};var r=n(39988),i=n(56026),o=n(53037)},75492:(e,t,n)=>{"use strict";e.exports=function(e,t){return e(t,"strong",r(e,t))};var r=n(53037)},80428:(e,t,n)=>{"use strict";e.exports=function(e,t){for(var n,a,s,l,u,c=t.children,d=c.length,p=t.align||[],f=p.length,h=[];d--;){for(a=c[d].children,l=0===d?"th":"td",n=f||a.length,s=[];n--;)u=a[n],s[n]=e(u,l,{align:p[n]},u?o(e,u):[]);h[d]=e(c[d],"tr",i(s,!0))}return e(t,"table",i([e(h[0].position,"thead",i([h[0]],!0))].concat(h[1]?e({start:r.start(h[1]),end:r.end(h[h.length-1])},"tbody",i(h.slice(1),!0)):[]),!0))};var r=n(9352),i=n(56026),o=n(53037)},9468:(e,t,n)=>{"use strict";e.exports=function(e,t){return e.augment(t,r("text",String(t.value).replace(/[ \t]*(\r?\n|\r)[ \t]*/g,"$1")))};var r=n(39988)},35334:e=>{"use strict";e.exports=function(e,t){return e(t,"hr")}},18927:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=function(e,t){var n=t||{};void 0===n.allowDangerousHTML||p||(p=!0,console.warn("mdast-util-to-hast: deprecation: `allowDangerousHTML` is nonstandard, use `allowDangerousHtml` instead"));var r=n.allowDangerousHtml||n.allowDangerousHTML,l={};return f.dangerous=r,f.definition=s(e),f.footnoteById=l,f.footnoteOrder=[],f.augment=u,f.handlers=Object.assign({},c,n.handlers),f.unknownHandler=n.unknownHandler,f.passThrough=n.passThrough,i(e,"footnoteDefinition",(function(e){var t=String(e.identifier).toUpperCase();d.call(l,t)||(l[t]=e)})),f;function u(e,t){var n,r;return e&&e.data&&((n=e.data).hName&&("element"!==t.type&&(t={type:"element",tagName:"",properties:{},children:[]}),t.tagName=n.hName),"element"===t.type&&n.hProperties&&(t.properties=Object.assign({},t.properties,n.hProperties)),t.children&&n.hChildren&&(t.children=n.hChildren)),r=e&&e.position?e:{position:e},a(r)||(t.position={start:o.start(r),end:o.end(r)}),t}function f(e,t,n,r){return null==r&&"object"==typeof n&&"length"in n&&(r=n,n={}),u(e,{type:"element",tagName:t,properties:n||{},children:r||[]})}}(e,t),f=l(n,e),h=u(n);return h&&(f.children=f.children.concat(r("text","\n"),h)),f};var r=n(39988),i=n(37121),o=n(9352),a=n(93624),s=n(14262),l=n(61766),u=n(5282),c=n(32),d={}.hasOwnProperty,p=!1},61766:(e,t,n)=>{"use strict";e.exports=function(e,t,n){var r,i=t&&t.type;if(!i)throw new Error("Expected node, got `"+t+"`");return("function"==typeof(r=o.call(e.handlers,i)?e.handlers[i]:e.passThrough&&e.passThrough.indexOf(i)>-1?s:e.unknownHandler)?r:a)(e,t,n)};var r=n(39988),i=n(53037),o={}.hasOwnProperty;function a(e,t){return function(e){var t=e.data||{};return!(o.call(t,"hName")||o.call(t,"hProperties")||o.call(t,"hChildren"))&&"value"in e}(t)?e.augment(t,r("text",t.value)):e(t,"div",i(e,t))}function s(e,t){var n;return t.children?((n=Object.assign({},t)).children=i(e,t),n):t}},89055:(e,t,n)=>{"use strict";e.exports=function(e,t){var n,o,a,s=t.referenceType,l="]";return"collapsed"===s?l+="[]":"full"===s&&(l+="["+(t.label||t.identifier)+"]"),"imageReference"===t.type?r("text","!["+t.alt+l):((o=(n=i(e,t))[0])&&"text"===o.type?o.value="["+o.value:n.unshift(r("text","[")),(a=n[n.length-1])&&"text"===a.type?a.value+=l:n.push(r("text",l)),n)};var r=n(39988),i=n(53037)},56026:(e,t,n)=>{"use strict";e.exports=function(e,t){var n=[],i=-1,o=e.length;for(t&&n.push(r("text","\n"));++i<o;)i&&n.push(r("text","\n")),n.push(e[i]);return t&&e.length>0&&n.push(r("text","\n")),n};var r=n(39988)},35828:(e,t)=>{"use strict";var n=60103,r=60106,i=60107,o=60108,a=60114,s=60109,l=60110,u=60112,c=60113,d=60120,p=60115,f=60116,h=60121,m=60122,g=60117,v=60129,y=60131;if("function"==typeof Symbol&&Symbol.for){var b=Symbol.for;n=b("react.element"),r=b("react.portal"),i=b("react.fragment"),o=b("react.strict_mode"),a=b("react.profiler"),s=b("react.provider"),l=b("react.context"),u=b("react.forward_ref"),c=b("react.suspense"),d=b("react.suspense_list"),p=b("react.memo"),f=b("react.lazy"),h=b("react.block"),m=b("react.server.block"),g=b("react.fundamental"),v=b("react.debug_trace_mode"),y=b("react.legacy_hidden")}function w(e){if("object"==typeof e&&null!==e){var t=e.$$typeof;switch(t){case n:switch(e=e.type){case i:case a:case o:case c:case d:return e;default:switch(e=e&&e.$$typeof){case l:case u:case f:case p:case s:return e;default:return t}}case r:return t}}}var _=s,x=n,k=u,E=i,C=f,S=p,A=r,T=a,O=o,P=c;t.ContextConsumer=l,t.ContextProvider=_,t.Element=x,t.ForwardRef=k,t.Fragment=E,t.Lazy=C,t.Memo=S,t.Portal=A,t.Profiler=T,t.StrictMode=O,t.Suspense=P,t.isAsyncMode=function(){return!1},t.isConcurrentMode=function(){return!1},t.isContextConsumer=function(e){return w(e)===l},t.isContextProvider=function(e){return w(e)===s},t.isElement=function(e){return"object"==typeof e&&null!==e&&e.$$typeof===n},t.isForwardRef=function(e){return w(e)===u},t.isFragment=function(e){return w(e)===i},t.isLazy=function(e){return w(e)===f},t.isMemo=function(e){return w(e)===p},t.isPortal=function(e){return w(e)===r},t.isProfiler=function(e){return w(e)===a},t.isStrictMode=function(e){return w(e)===o},t.isSuspense=function(e){return w(e)===c},t.isValidElementType=function(e){return"string"==typeof e||"function"==typeof e||e===i||e===a||e===v||e===o||e===c||e===d||e===y||"object"==typeof e&&null!==e&&(e.$$typeof===f||e.$$typeof===p||e.$$typeof===s||e.$$typeof===l||e.$$typeof===u||e.$$typeof===g||e.$$typeof===h||e[0]===m)},t.typeOf=w},33978:(e,t,n)=>{"use strict";e.exports=n(35828)},69571:(e,t,n)=>{"use strict";e.exports=function(e){var t=this;this.Parser=function(n){return r(n,Object.assign({},t.data("settings"),e,{extensions:t.data("micromarkExtensions")||[],mdastExtensions:t.data("fromMarkdownExtensions")||[]}))}};var r=n(22792)},72111:(e,t,n)=>{"use strict";var r=n(91857);e.exports=function(e,t){return e&&!e.process&&(t=e,e=null),e?function(e,t){return function(n,i,o){e.run(r(n,t),i,(function(e){o(e)}))}}(e,t):function(e){return function(t){return r(t,e)}}(t)}},29168:(e,t,n)=>{"use strict";var r=n(87683),i=n(77993),o=n(49385),a=n(41872),s=n(68776),l=n(21142);e.exports=function e(){var t,n=[],i=s(),y={},b=-1;return w.data=function(e,n){return"string"==typeof e?2===arguments.length?(m("data",t),y[e]=n,w):c.call(y,e)&&y[e]||null:e?(m("data",t),y=e,w):y},w.freeze=_,w.attachers=n,w.use=function(e){var r;if(m("use",t),null==e);else if("function"==typeof e)c.apply(null,arguments);else{if("object"!=typeof e)throw new Error("Expected usable value, not `"+e+"`");"length"in e?l(e):i(e)}return r&&(y.settings=o(y.settings||{},r)),w;function i(e){l(e.plugins),e.settings&&(r=o(r||{},e.settings))}function s(e){if("function"==typeof e)c(e);else{if("object"!=typeof e)throw new Error("Expected usable value, not `"+e+"`");"length"in e?c.apply(null,e):i(e)}}function l(e){var t=-1;if(null==e);else{if("object"!=typeof e||!("length"in e))throw new Error("Expected a list of plugins, not `"+e+"`");for(;++t<e.length;)s(e[t])}}function c(e,t){var r=function(e){for(var t=-1;++t<n.length;)if(n[t][0]===e)return n[t]}(e);r?(a(r[1])&&a(t)&&(t=o(!0,r[1],t)),r[1]=t):n.push(u.call(arguments))}},w.parse=function(e){var t,n=l(e);return _(),f("parse",t=w.Parser),p(t,"parse")?new t(String(n),n).parse():t(String(n),n)},w.stringify=function(e,t){var n,r=l(t);return _(),h("stringify",n=w.Compiler),g(e),p(n,"compile")?new n(e,r).compile():n(e,r)},w.run=x,w.runSync=function(e,t){var n,i;return x(e,t,(function(e,t){i=!0,n=t,r(e)})),v("runSync","run",i),n},w.process=k,w.processSync=function(e){var t,n;return _(),f("processSync",w.Parser),h("processSync",w.Compiler),k(t=l(e),(function(e){n=!0,r(e)})),v("processSync","process",n),t},w;function w(){for(var t=e(),r=-1;++r<n.length;)t.use.apply(null,n[r]);return t.data(o(!0,{},y)),t}function _(){var e,r;if(t)return w;for(;++b<n.length;)!1!==(e=n[b])[1]&&(!0===e[1]&&(e[1]=void 0),"function"==typeof(r=e[0].apply(w,e.slice(1)))&&i.use(r));return t=!0,b=1/0,w}function x(e,t,n){if(g(e),_(),n||"function"!=typeof t||(n=t,t=null),!n)return new Promise(r);function r(r,o){i.run(e,l(t),(function(t,i,a){i=i||e,t?o(t):r?r(i):n(null,i,a)}))}r(null,n)}function k(e,t){if(_(),f("process",w.Parser),h("process",w.Compiler),!t)return new Promise(n);function n(n,r){var i=l(e);d.run(w,{file:i},(function(e){e?r(e):n?n(i):t(null,i)}))}n(null,t)}}().freeze();var u=[].slice,c={}.hasOwnProperty,d=s().use((function(e,t){t.tree=e.parse(t.file)})).use((function(e,t,n){e.run(t.tree,t.file,(function(e,r,i){e?n(e):(t.tree=r,t.file=i,n())}))})).use((function(e,t){var n=e.stringify(t.tree,t.file);null==n||("string"==typeof n||i(n)?("value"in t.file&&(t.file.value=n),t.file.contents=n):t.file.result=n)}));function p(e,t){return"function"==typeof e&&e.prototype&&(function(e){var t;for(t in e)return!0;return!1}(e.prototype)||t in e.prototype)}function f(e,t){if("function"!=typeof t)throw new Error("Cannot `"+e+"` without `Parser`")}function h(e,t){if("function"!=typeof t)throw new Error("Cannot `"+e+"` without `Compiler`")}function m(e,t){if(t)throw new Error("Cannot invoke `"+e+"` on a frozen processor.\nCreate a new processor first, by invoking it: use `processor()` instead of `processor`.")}function g(e){if(!e||"string"!=typeof e.type)throw new Error("Expected node, got `"+e+"`")}function v(e,t,n){if(!n)throw new Error("`"+e+"` finished async. Use `"+t+"` instead")}},39988:e=>{"use strict";e.exports=function(e,t,n){var r;return null!=n||"object"==typeof t&&!Array.isArray(t)||(n=t,t={}),r=Object.assign({type:String(e)},t),Array.isArray(n)?r.children=n:null!=n&&(r.value=String(n)),r}},18060:e=>{e.exports=function(e){return e}},42805:(e,t,n)=>{"use strict";e.exports=l;var r=n(2547),i=n(18060),o=!0,a="skip",s=!1;function l(e,t,n,l){var u,c;"function"==typeof t&&"function"!=typeof n&&(l=n,n=t,t=null),c=r(t),u=l?-1:1,function e(r,d,p){var f,h="object"==typeof r&&null!==r?r:{};return"string"==typeof h.type&&(f="string"==typeof h.tagName?h.tagName:"string"==typeof h.name?h.name:void 0,m.displayName="node ("+i(h.type+(f?"<"+f+">":""))+")"),m;function m(){var i,f,h=p.concat(r),m=[];if((!t||c(r,d,p[p.length-1]||null))&&(m=function(e){return null!==e&&"object"==typeof e&&"length"in e?e:"number"==typeof e?[o,e]:[e]}(n(r,p)),m[0]===s))return m;if(r.children&&m[0]!==a)for(f=(l?r.children.length:-1)+u;f>-1&&f<r.children.length;){if((i=e(r.children[f],f,h)())[0]===s)return i;f="number"==typeof i[1]?i[1]:f+u}return m}}(e,null,[])()}l.CONTINUE=o,l.SKIP=a,l.EXIT=s},37121:(e,t,n)=>{"use strict";e.exports=s;var r=n(42805),i=r.CONTINUE,o=r.SKIP,a=r.EXIT;function s(e,t,n,i){"function"==typeof t&&"function"!=typeof n&&(i=n,n=t,t=null),r(e,t,(function(e,t){var r=t[t.length-1],i=r?r.children.indexOf(e):null;return n(e,i,r)}),i)}s.CONTINUE=i,s.SKIP=o,s.EXIT=a},69495:(e,t,n)=>{"use strict";const r=n(2784),i=n(33978),o=n(57956),a=n(70521),s=n(47185),l=n(93113),u=n(97327),c=n(85653);t.D=f;const d={}.hasOwnProperty,p=new Set(["table","thead","tbody","tfoot","tr"]);function f(e,t){const n=[];let r,i=-1;for(;++i<t.children.length;)r=t.children[i],"element"===r.type?n.push(h(e,r,i,t)):"text"===r.type?"element"===t.type&&p.has(t.tagName)&&"\n"===r.value||n.push(r.value):"raw"!==r.type||e.options.skipHtml||n.push(r.value);return n}function h(e,t,n,a){const s=e.options,l=e.schema,u=t.tagName,c={};let p,h=l;if("html"===l.space&&"svg"===u&&(h=o,e.schema=h),t.properties)for(p in t.properties)d.call(t.properties,p)&&g(c,p,t.properties[p],e);"ol"!==u&&"ul"!==u||e.listDepth++;const v=f(e,t);"ol"!==u&&"ul"!==u||e.listDepth--,e.schema=l;const y=t.position||{start:{line:null,column:null,offset:null},end:{line:null,column:null,offset:null}},b=s.components&&d.call(s.components,u)?s.components[u]:u,w="string"==typeof b||b===r.Fragment;if(!i.isValidElementType(b))throw new TypeError(`Component for name \`${u}\` not defined or is not renderable`);if(c.key=[u,y.start.line,y.start.column,n].join("-"),"a"===u&&s.linkTarget&&(c.target="function"==typeof s.linkTarget?s.linkTarget(c.href,t.children,c.title):s.linkTarget),"a"===u&&s.transformLinkUri&&(c.href=s.transformLinkUri(c.href,t.children,c.title)),w||"code"!==u||"element"!==a.type||"pre"===a.tagName||(c.inline=!0),w||"h1"!==u&&"h2"!==u&&"h3"!==u&&"h4"!==u&&"h5"!==u&&"h6"!==u||(c.level=parseInt(u.charAt(1),10)),"img"===u&&s.transformImageUri&&(c.src=s.transformImageUri(c.src,c.alt,c.title)),!w&&"li"===u&&"element"===a.type){const e=function(e){let t=-1;for(;++t<e.children.length;){const n=e.children[t];if("element"===n.type&&"input"===n.tagName)return n}return null}(t);c.checked=e&&e.properties?Boolean(e.properties.checked):null,c.index=m(a,t),c.ordered="ol"===a.tagName}var _;return w||"ol"!==u&&"ul"!==u||(c.ordered="ol"===u,c.depth=e.listDepth),"td"!==u&&"th"!==u||(c.align&&(c.style||(c.style={}),c.style.textAlign=c.align,delete c.align),w||(c.isHeader="th"===u)),w||"tr"!==u||"element"!==a.type||(c.isHeader=Boolean("thead"===a.tagName)),s.sourcePos&&(c["data-sourcepos"]=[(_=y).start.line,":",_.start.column,"-",_.end.line,":",_.end.column].map((e=>String(e))).join("")),!w&&s.rawSourcePos&&(c.sourcePosition=t.position),!w&&s.includeElementIndex&&(c.index=m(a,t),c.siblingCount=m(a)),w||(c.node=t),v.length>0?r.createElement(b,c,v):r.createElement(b,c)}function m(e,t){let n=-1,r=0;for(;++n<e.children.length&&e.children[n]!==t;)"element"===e.children[n].type&&r++;return r}function g(e,t,n,r){const i=a(r.schema,t);let o=n;null!=o&&o==o&&(o&&"object"==typeof o&&"length"in o&&(o=(i.commaSeparated?u:l).stringify(o)),"style"===i.property&&"string"==typeof o&&(o=function(e){const t={};try{c(e,(function(e,n){const r="-ms-"===e.slice(0,4)?`ms-${e.slice(4)}`:e;t[r.replace(/-([a-z])/g,v)]=n}))}catch(e){}return t}(o)),i.space&&i.property?e[d.call(s,i.property)?s[i.property]:i.property]=o:i.attribute&&(e[i.attribute]=o))}function v(e,t){return t.toUpperCase()}},88100:(e,t,n)=>{"use strict";const r=n(2784),i=n(21142),o=n(29168),a=n(69571),s=n(72111),l=n(13980),u=n(59209),c=n(87053),d=n(2375),p=n(69495).D;e.exports=g;const f={}.hasOwnProperty,h="https://github.com/remarkjs/react-markdown/blob/main/changelog.md",m={renderers:{to:"components",id:"change-renderers-to-components"},astPlugins:{id:"remove-buggy-html-in-markdown-parser"},allowDangerousHtml:{id:"remove-buggy-html-in-markdown-parser"},escapeHtml:{id:"remove-buggy-html-in-markdown-parser"},source:{to:"children",id:"change-source-to-children"},allowNode:{to:"allowElement",id:"replace-allownode-allowedtypes-and-disallowedtypes"},allowedTypes:{to:"allowedElements",id:"replace-allownode-allowedtypes-and-disallowedtypes"},disallowedTypes:{to:"disallowedElements",id:"replace-allownode-allowedtypes-and-disallowedtypes"},includeNodeIndex:{to:"includeElementIndex",id:"change-includenodeindex-to-includeelementindex"}};function g(e){for(const t in m)if(f.call(m,t)&&f.call(e,t)){const e=m[t];console.warn(`[react-markdown] Warning: please ${e.to?`use \`${e.to}\` instead of`:"remove"} \`${t}\` (see <${h}#${e.id}> for more info)`),delete m[t]}const t=o().use(a).use(e.remarkPlugins||e.plugins||[]).use(s,{allowDangerousHtml:!0}).use(e.rehypePlugins||[]).use(c,e);let n;"string"==typeof e.children?n=i(e.children):(void 0!==e.children&&null!==e.children&&console.warn(`[react-markdown] Warning: please pass a string as \`children\` (not: \`${e.children}\`)`),n=i());const l=t.runSync(t.parse(n),n);if("root"!==l.type)throw new TypeError("Expected a `root` node");let d=r.createElement(r.Fragment,{},p({options:e,schema:u,listDepth:0},l));return e.className&&(d=r.createElement("div",{className:e.className},d)),d}g.defaultProps={transformLinkUri:d},g.propTypes={children:l.string,className:l.string,allowElement:l.func,allowedElements:l.arrayOf(l.string),disallowedElements:l.arrayOf(l.string),unwrapDisallowed:l.bool,remarkPlugins:l.arrayOf(l.oneOfType([l.object,l.func,l.arrayOf(l.oneOfType([l.object,l.func]))])),rehypePlugins:l.arrayOf(l.oneOfType([l.object,l.func,l.arrayOf(l.oneOfType([l.object,l.func]))])),sourcePos:l.bool,rawSourcePos:l.bool,skipHtml:l.bool,includeElementIndex:l.bool,transformLinkUri:l.oneOfType([l.func,l.bool]),linkTarget:l.oneOfType([l.func,l.string]),transformImageUri:l.func,components:l.object},g.uriTransformer=d},87053:(e,t,n)=>{const r=n(37121);e.exports=function(e){if(e.allowedElements&&e.disallowedElements)throw new TypeError("Only one of `allowedElements` and `disallowedElements` should be defined");if(e.allowedElements||e.disallowedElements||e.allowElement)return e=>{r(e,"element",t)};function t(t,n,r){const i=t,o=r;let a;if(e.allowedElements?a=!e.allowedElements.includes(i.tagName):e.disallowedElements&&(a=e.disallowedElements.includes(i.tagName)),!a&&e.allowElement&&"number"==typeof n&&(a=!e.allowElement(i,n,o)),a&&"number"==typeof n)return e.unwrapDisallowed&&i.children?o.children.splice(n,1,...i.children):o.children.splice(n,1),n}}},2375:e=>{const t=["http","https","mailto","tel"];e.exports=function(e){const n=(e||"").trim(),r=n.charAt(0);if("#"===r||"/"===r)return n;const i=n.indexOf(":");if(-1===i)return n;let o=-1;for(;++o<t.length;){const e=t[o];if(i===e.length&&n.slice(0,e.length).toLowerCase()===e)return n}return o=n.indexOf("?"),-1!==o&&i>o?n:(o=n.indexOf("#"),-1!==o&&i>o?n:"javascript:void(0)")}},42901:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.bodyOpenClassName=t.portalClassName=void 0;var r=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},i=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),o=n(2784),a=h(o),s=h(n(28316)),l=h(n(13980)),u=h(n(10742)),c=function(e){if(e&&e.__esModule)return e;var t={};if(null!=e)for(var n in e)Object.prototype.hasOwnProperty.call(e,n)&&(t[n]=e[n]);return t.default=e,t}(n(66941)),d=n(6259),p=h(d),f=n(46847);function h(e){return e&&e.__esModule?e:{default:e}}function m(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var g=t.portalClassName="ReactModalPortal",v=t.bodyOpenClassName="ReactModal__Body--open",y=d.canUseDOM&&void 0!==s.default.createPortal,b=function(e){return document.createElement(e)},w=function(){return y?s.default.createPortal:s.default.unstable_renderSubtreeIntoContainer};function _(e){return e()}var x=function(e){function t(){var e,n,i;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var o=arguments.length,l=Array(o),c=0;c<o;c++)l[c]=arguments[c];return n=i=m(this,(e=t.__proto__||Object.getPrototypeOf(t)).call.apply(e,[this].concat(l))),i.removePortal=function(){!y&&s.default.unmountComponentAtNode(i.node);var e=_(i.props.parentSelector);e&&e.contains(i.node)?e.removeChild(i.node):console.warn('React-Modal: "parentSelector" prop did not returned any DOM element. Make sure that the parent element is unmounted to avoid any memory leaks.')},i.portalRef=function(e){i.portal=e},i.renderPortal=function(e){var n=w()(i,a.default.createElement(u.default,r({defaultStyles:t.defaultStyles},e)),i.node);i.portalRef(n)},m(i,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),i(t,[{key:"componentDidMount",value:function(){d.canUseDOM&&(y||(this.node=b("div")),this.node.className=this.props.portalClassName,_(this.props.parentSelector).appendChild(this.node),!y&&this.renderPortal(this.props))}},{key:"getSnapshotBeforeUpdate",value:function(e){return{prevParent:_(e.parentSelector),nextParent:_(this.props.parentSelector)}}},{key:"componentDidUpdate",value:function(e,t,n){if(d.canUseDOM){var r=this.props,i=r.isOpen,o=r.portalClassName;e.portalClassName!==o&&(this.node.className=o);var a=n.prevParent,s=n.nextParent;s!==a&&(a.removeChild(this.node),s.appendChild(this.node)),(e.isOpen||i)&&!y&&this.renderPortal(this.props)}}},{key:"componentWillUnmount",value:function(){if(d.canUseDOM&&this.node&&this.portal){var e=this.portal.state,t=Date.now(),n=e.isOpen&&this.props.closeTimeoutMS&&(e.closesAt||t+this.props.closeTimeoutMS);n?(e.beforeClose||this.portal.closeWithTimeout(),setTimeout(this.removePortal,n-t)):this.removePortal()}}},{key:"render",value:function(){return d.canUseDOM&&y?(!this.node&&y&&(this.node=b("div")),w()(a.default.createElement(u.default,r({ref:this.portalRef,defaultStyles:t.defaultStyles},this.props)),this.node)):null}}],[{key:"setAppElement",value:function(e){c.setElement(e)}}]),t}(o.Component);x.propTypes={isOpen:l.default.bool.isRequired,style:l.default.shape({content:l.default.object,overlay:l.default.object}),portalClassName:l.default.string,bodyOpenClassName:l.default.string,htmlOpenClassName:l.default.string,className:l.default.oneOfType([l.default.string,l.default.shape({base:l.default.string.isRequired,afterOpen:l.default.string.isRequired,beforeClose:l.default.string.isRequired})]),overlayClassName:l.default.oneOfType([l.default.string,l.default.shape({base:l.default.string.isRequired,afterOpen:l.default.string.isRequired,beforeClose:l.default.string.isRequired})]),appElement:l.default.oneOfType([l.default.instanceOf(p.default),l.default.instanceOf(d.SafeHTMLCollection),l.default.instanceOf(d.SafeNodeList),l.default.arrayOf(l.default.instanceOf(p.default))]),onAfterOpen:l.default.func,onRequestClose:l.default.func,closeTimeoutMS:l.default.number,ariaHideApp:l.default.bool,shouldFocusAfterRender:l.default.bool,shouldCloseOnOverlayClick:l.default.bool,shouldReturnFocusAfterClose:l.default.bool,preventScroll:l.default.bool,parentSelector:l.default.func,aria:l.default.object,data:l.default.object,role:l.default.string,contentLabel:l.default.string,shouldCloseOnEsc:l.default.bool,overlayRef:l.default.func,contentRef:l.default.func,id:l.default.string,overlayElement:l.default.func,contentElement:l.default.func},x.defaultProps={isOpen:!1,portalClassName:g,bodyOpenClassName:v,role:"dialog",ariaHideApp:!0,closeTimeoutMS:0,shouldFocusAfterRender:!0,shouldCloseOnEsc:!0,shouldCloseOnOverlayClick:!0,shouldReturnFocusAfterClose:!0,preventScroll:!1,parentSelector:function(){return document.body},overlayElement:function(e,t){return a.default.createElement("div",e,t)},contentElement:function(e,t){return a.default.createElement("div",e,t)}},x.defaultStyles={overlay:{position:"fixed",top:0,left:0,right:0,bottom:0,backgroundColor:"rgba(255, 255, 255, 0.75)"},content:{position:"absolute",top:"40px",left:"40px",right:"40px",bottom:"40px",border:"1px solid #ccc",background:"#fff",overflow:"auto",WebkitOverflowScrolling:"touch",borderRadius:"4px",outline:"none",padding:"20px"}},(0,f.polyfill)(x),t.default=x},10742:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},i="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},o=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),a=n(2784),s=g(n(13980)),l=m(n(77194)),u=g(n(90026)),c=m(n(66941)),d=m(n(95853)),p=n(6259),f=g(p),h=g(n(66638));function m(e){if(e&&e.__esModule)return e;var t={};if(null!=e)for(var n in e)Object.prototype.hasOwnProperty.call(e,n)&&(t[n]=e[n]);return t.default=e,t}function g(e){return e&&e.__esModule?e:{default:e}}n(26736);var v={overlay:"ReactModal__Overlay",content:"ReactModal__Content"},y=0,b=function(e){function t(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var n=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e));return n.setOverlayRef=function(e){n.overlay=e,n.props.overlayRef&&n.props.overlayRef(e)},n.setContentRef=function(e){n.content=e,n.props.contentRef&&n.props.contentRef(e)},n.afterClose=function(){var e=n.props,t=e.appElement,r=e.ariaHideApp,i=e.htmlOpenClassName,o=e.bodyOpenClassName,a=e.parentSelector,s=a&&a().ownerDocument||document;o&&d.remove(s.body,o),i&&d.remove(s.getElementsByTagName("html")[0],i),r&&y>0&&0==(y-=1)&&c.show(t),n.props.shouldFocusAfterRender&&(n.props.shouldReturnFocusAfterClose?(l.returnFocus(n.props.preventScroll),l.teardownScopedFocus()):l.popWithoutFocus()),n.props.onAfterClose&&n.props.onAfterClose(),h.default.deregister(n)},n.open=function(){n.beforeOpen(),n.state.afterOpen&&n.state.beforeClose?(clearTimeout(n.closeTimer),n.setState({beforeClose:!1})):(n.props.shouldFocusAfterRender&&(l.setupScopedFocus(n.node),l.markForFocusLater()),n.setState({isOpen:!0},(function(){n.openAnimationFrame=requestAnimationFrame((function(){n.setState({afterOpen:!0}),n.props.isOpen&&n.props.onAfterOpen&&n.props.onAfterOpen({overlayEl:n.overlay,contentEl:n.content})}))})))},n.close=function(){n.props.closeTimeoutMS>0?n.closeWithTimeout():n.closeWithoutTimeout()},n.focusContent=function(){return n.content&&!n.contentHasFocus()&&n.content.focus({preventScroll:!0})},n.closeWithTimeout=function(){var e=Date.now()+n.props.closeTimeoutMS;n.setState({beforeClose:!0,closesAt:e},(function(){n.closeTimer=setTimeout(n.closeWithoutTimeout,n.state.closesAt-Date.now())}))},n.closeWithoutTimeout=function(){n.setState({beforeClose:!1,isOpen:!1,afterOpen:!1,closesAt:null},n.afterClose)},n.handleKeyDown=function(e){(function(e){return"Tab"===e.code||9===e.keyCode})(e)&&(0,u.default)(n.content,e),n.props.shouldCloseOnEsc&&function(e){return"Escape"===e.code||27===e.keyCode}(e)&&(e.stopPropagation(),n.requestClose(e))},n.handleOverlayOnClick=function(e){null===n.shouldClose&&(n.shouldClose=!0),n.shouldClose&&n.props.shouldCloseOnOverlayClick&&(n.ownerHandlesClose()?n.requestClose(e):n.focusContent()),n.shouldClose=null},n.handleContentOnMouseUp=function(){n.shouldClose=!1},n.handleOverlayOnMouseDown=function(e){n.props.shouldCloseOnOverlayClick||e.target!=n.overlay||e.preventDefault()},n.handleContentOnClick=function(){n.shouldClose=!1},n.handleContentOnMouseDown=function(){n.shouldClose=!1},n.requestClose=function(e){return n.ownerHandlesClose()&&n.props.onRequestClose(e)},n.ownerHandlesClose=function(){return n.props.onRequestClose},n.shouldBeClosed=function(){return!n.state.isOpen&&!n.state.beforeClose},n.contentHasFocus=function(){return document.activeElement===n.content||n.content.contains(document.activeElement)},n.buildClassName=function(e,t){var r="object"===(void 0===t?"undefined":i(t))?t:{base:v[e],afterOpen:v[e]+"--after-open",beforeClose:v[e]+"--before-close"},o=r.base;return n.state.afterOpen&&(o=o+" "+r.afterOpen),n.state.beforeClose&&(o=o+" "+r.beforeClose),"string"==typeof t&&t?o+" "+t:o},n.attributesFromObject=function(e,t){return Object.keys(t).reduce((function(n,r){return n[e+"-"+r]=t[r],n}),{})},n.state={afterOpen:!1,beforeClose:!1},n.shouldClose=null,n.moveFromContentToOverlay=null,n}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),o(t,[{key:"componentDidMount",value:function(){this.props.isOpen&&this.open()}},{key:"componentDidUpdate",value:function(e,t){this.props.isOpen&&!e.isOpen?this.open():!this.props.isOpen&&e.isOpen&&this.close(),this.props.shouldFocusAfterRender&&this.state.isOpen&&!t.isOpen&&this.focusContent()}},{key:"componentWillUnmount",value:function(){this.state.isOpen&&this.afterClose(),clearTimeout(this.closeTimer),cancelAnimationFrame(this.openAnimationFrame)}},{key:"beforeOpen",value:function(){var e=this.props,t=e.appElement,n=e.ariaHideApp,r=e.htmlOpenClassName,i=e.bodyOpenClassName,o=e.parentSelector,a=o&&o().ownerDocument||document;i&&d.add(a.body,i),r&&d.add(a.getElementsByTagName("html")[0],r),n&&(y+=1,c.hide(t)),h.default.register(this)}},{key:"render",value:function(){var e=this.props,t=e.id,n=e.className,i=e.overlayClassName,o=e.defaultStyles,a=e.children,s=n?{}:o.content,l=i?{}:o.overlay;if(this.shouldBeClosed())return null;var u={ref:this.setOverlayRef,className:this.buildClassName("overlay",i),style:r({},l,this.props.style.overlay),onClick:this.handleOverlayOnClick,onMouseDown:this.handleOverlayOnMouseDown},c=r({id:t,ref:this.setContentRef,style:r({},s,this.props.style.content),className:this.buildClassName("content",n),tabIndex:"-1",onKeyDown:this.handleKeyDown,onMouseDown:this.handleContentOnMouseDown,onMouseUp:this.handleContentOnMouseUp,onClick:this.handleContentOnClick,role:this.props.role,"aria-label":this.props.contentLabel},this.attributesFromObject("aria",r({modal:!0},this.props.aria)),this.attributesFromObject("data",this.props.data||{}),{"data-testid":this.props.testId}),d=this.props.contentElement(c,a);return this.props.overlayElement(u,d)}}]),t}(a.Component);b.defaultProps={style:{overlay:{},content:{}},defaultStyles:{}},b.propTypes={isOpen:s.default.bool.isRequired,defaultStyles:s.default.shape({content:s.default.object,overlay:s.default.object}),style:s.default.shape({content:s.default.object,overlay:s.default.object}),className:s.default.oneOfType([s.default.string,s.default.object]),overlayClassName:s.default.oneOfType([s.default.string,s.default.object]),parentSelector:s.default.func,bodyOpenClassName:s.default.string,htmlOpenClassName:s.default.string,ariaHideApp:s.default.bool,appElement:s.default.oneOfType([s.default.instanceOf(f.default),s.default.instanceOf(p.SafeHTMLCollection),s.default.instanceOf(p.SafeNodeList),s.default.arrayOf(s.default.instanceOf(f.default))]),onAfterOpen:s.default.func,onAfterClose:s.default.func,onRequestClose:s.default.func,closeTimeoutMS:s.default.number,shouldFocusAfterRender:s.default.bool,shouldCloseOnOverlayClick:s.default.bool,shouldReturnFocusAfterClose:s.default.bool,preventScroll:s.default.bool,role:s.default.string,contentLabel:s.default.string,aria:s.default.object,data:s.default.object,children:s.default.node,shouldCloseOnEsc:s.default.bool,overlayRef:s.default.func,contentRef:s.default.func,id:s.default.string,overlayElement:s.default.func,contentElement:s.default.func,testId:s.default.string},t.default=b,e.exports=t.default},66941:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.resetState=function(){a&&(a.removeAttribute?a.removeAttribute("aria-hidden"):null!=a.length?a.forEach((function(e){return e.removeAttribute("aria-hidden")})):document.querySelectorAll(a).forEach((function(e){return e.removeAttribute("aria-hidden")}))),a=null},t.log=function(){},t.assertNodeList=s,t.setElement=function(e){var t=e;if("string"==typeof t&&o.canUseDOM){var n=document.querySelectorAll(t);s(n,t),t=n}return a=t||a},t.validateElement=l,t.hide=function(e){var t=!0,n=!1,r=void 0;try{for(var i,o=l(e)[Symbol.iterator]();!(t=(i=o.next()).done);t=!0)i.value.setAttribute("aria-hidden","true")}catch(e){n=!0,r=e}finally{try{!t&&o.return&&o.return()}finally{if(n)throw r}}},t.show=function(e){var t=!0,n=!1,r=void 0;try{for(var i,o=l(e)[Symbol.iterator]();!(t=(i=o.next()).done);t=!0)i.value.removeAttribute("aria-hidden")}catch(e){n=!0,r=e}finally{try{!t&&o.return&&o.return()}finally{if(n)throw r}}},t.documentNotReadyOrSSRTesting=function(){a=null};var r,i=(r=n(45982))&&r.__esModule?r:{default:r},o=n(6259),a=null;function s(e,t){if(!e||!e.length)throw new Error("react-modal: No elements were found for selector "+t+".")}function l(e){var t=e||a;return t?Array.isArray(t)||t instanceof HTMLCollection||t instanceof NodeList?t:[t]:((0,i.default)(!1,["react-modal: App element is not defined.","Please use `Modal.setAppElement(el)` or set `appElement={el}`.","This is needed so screen readers don't see main content","when modal is opened. It is not recommended, but you can opt-out","by setting `ariaHideApp={false}`."].join(" ")),[])}},26736:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.resetState=function(){for(var e=[o,a],t=0;t<e.length;t++){var n=e[t];n&&n.parentNode&&n.parentNode.removeChild(n)}o=a=null,s=[]},t.log=function(){console.log("bodyTrap ----------"),console.log(s.length);for(var e=[o,a],t=0;t<e.length;t++){var n=e[t]||{};console.log(n.nodeName,n.className,n.id)}console.log("edn bodyTrap ----------")};var r,i=(r=n(66638))&&r.__esModule?r:{default:r},o=void 0,a=void 0,s=[];function l(){0!==s.length&&s[s.length-1].focusContent()}i.default.subscribe((function(e,t){o||a||((o=document.createElement("div")).setAttribute("data-react-modal-body-trap",""),o.style.position="absolute",o.style.opacity="0",o.setAttribute("tabindex","0"),o.addEventListener("focus",l),(a=o.cloneNode()).addEventListener("focus",l)),(s=t).length>0?(document.body.firstChild!==o&&document.body.insertBefore(o,document.body.firstChild),document.body.lastChild!==a&&document.body.appendChild(a)):(o.parentElement&&o.parentElement.removeChild(o),a.parentElement&&a.parentElement.removeChild(a))}))},95853:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.resetState=function(){var e=document.getElementsByTagName("html")[0];for(var t in n)i(e,n[t]);var o=document.body;for(var a in r)i(o,r[a]);n={},r={}},t.log=function(){};var n={},r={};function i(e,t){e.classList.remove(t)}t.add=function(e,t){return i=e.classList,o="html"==e.nodeName.toLowerCase()?n:r,void t.split(" ").forEach((function(e){!function(e,t){e[t]||(e[t]=0),e[t]+=1}(o,e),i.add(e)}));var i,o},t.remove=function(e,t){return i=e.classList,o="html"==e.nodeName.toLowerCase()?n:r,void t.split(" ").forEach((function(e){!function(e,t){e[t]&&(e[t]-=1)}(o,e),0===o[e]&&i.remove(e)}));var i,o}},77194:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.resetState=function(){o=[]},t.log=function(){},t.handleBlur=l,t.handleFocus=u,t.markForFocusLater=function(){o.push(document.activeElement)},t.returnFocus=function(){var e=arguments.length>0&&void 0!==arguments[0]&&arguments[0],t=null;try{return void(0!==o.length&&(t=o.pop()).focus({preventScroll:e}))}catch(e){console.warn(["You tried to return focus to",t,"but it is not in the DOM anymore"].join(" "))}},t.popWithoutFocus=function(){o.length>0&&o.pop()},t.setupScopedFocus=function(e){a=e,window.addEventListener?(window.addEventListener("blur",l,!1),document.addEventListener("focus",u,!0)):(window.attachEvent("onBlur",l),document.attachEvent("onFocus",u))},t.teardownScopedFocus=function(){a=null,window.addEventListener?(window.removeEventListener("blur",l),document.removeEventListener("focus",u)):(window.detachEvent("onBlur",l),document.detachEvent("onFocus",u))};var r,i=(r=n(93970))&&r.__esModule?r:{default:r},o=[],a=null,s=!1;function l(){s=!0}function u(){if(s){if(s=!1,!a)return;setTimeout((function(){a.contains(document.activeElement)||((0,i.default)(a)[0]||a).focus()}),0)}}},66638:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.log=function(){console.log("portalOpenInstances ----------"),console.log(r.openInstances.length),r.openInstances.forEach((function(e){return console.log(e)})),console.log("end portalOpenInstances ----------")},t.resetState=function(){r=new n};var n=function e(){var t=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.register=function(e){-1===t.openInstances.indexOf(e)&&(t.openInstances.push(e),t.emit("register"))},this.deregister=function(e){var n=t.openInstances.indexOf(e);-1!==n&&(t.openInstances.splice(n,1),t.emit("deregister"))},this.subscribe=function(e){t.subscribers.push(e)},this.emit=function(e){t.subscribers.forEach((function(n){return n(e,t.openInstances.slice())}))},this.openInstances=[],this.subscribers=[]},r=new n;t.default=r},6259:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.canUseDOM=t.SafeNodeList=t.SafeHTMLCollection=void 0;var r,i=((r=n(85978))&&r.__esModule?r:{default:r}).default,o=i.canUseDOM?window.HTMLElement:{};t.SafeHTMLCollection=i.canUseDOM?window.HTMLCollection:{},t.SafeNodeList=i.canUseDOM?window.NodeList:{},t.canUseDOM=i.canUseDOM,t.default=o},90026:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e,t){var n=(0,i.default)(e);if(n.length){var r=void 0,a=t.shiftKey,s=n[0],l=n[n.length-1],u=o();if(e===u){if(!a)return;r=l}if(l!==u||a||(r=s),s===u&&a&&(r=l),r)return t.preventDefault(),void r.focus();var c=/(\bChrome\b|\bSafari\b)\//.exec(navigator.userAgent);if(null!=c&&"Chrome"!=c[1]&&null==/\biPod\b|\biPad\b/g.exec(navigator.userAgent)){var d=n.indexOf(u);if(d>-1&&(d+=a?-1:1),void 0===(r=n[d]))return t.preventDefault(),void(r=a?l:s).focus();t.preventDefault(),r.focus()}}else t.preventDefault()};var r,i=(r=n(93970))&&r.__esModule?r:{default:r};function o(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:document;return e.activeElement.shadowRoot?o(e.activeElement.shadowRoot):e.activeElement}e.exports=t.default},93970:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function e(t){return[].slice.call(t.querySelectorAll("*"),0).reduce((function(t,n){return t.concat(n.shadowRoot?e(n.shadowRoot):[n])}),[]).filter(a)};var n="none",r="contents",i=/input|select|textarea|button|object|iframe/;function o(e){var t=e.offsetWidth<=0&&e.offsetHeight<=0;if(t&&!e.innerHTML)return!0;try{var i=window.getComputedStyle(e),o=i.getPropertyValue("display");return t?o!==r&&function(e,t){return"visible"!==t.getPropertyValue("overflow")||e.scrollWidth<=0&&e.scrollHeight<=0}(e,i):o===n}catch(e){return console.warn("Failed to inspect element style"),!1}}function a(e){var t=e.getAttribute("tabindex");null===t&&(t=void 0);var n=isNaN(t);return(n||t>=0)&&function(e,t){var n=e.nodeName.toLowerCase();return(i.test(n)&&!e.disabled||"a"===n&&e.href||t)&&function(e){for(var t=e,n=e.getRootNode&&e.getRootNode();t&&t!==document.body;){if(n&&t===n&&(t=n.host.parentNode),o(t))return!1;t=t.parentNode}return!0}(e)}(e,!n)}e.exports=t.default},37149:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r,i=(r=n(42901))&&r.__esModule?r:{default:r};t.default=i.default,e.exports=t.default},90330:(e,t,n)=>{"use strict";var r;Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var i=((r=n(2784))&&r.__esModule?r:{default:r}).default.createContext();t.default=i},57970:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=l;var r=s(n(2784)),i=s(n(13980)),o=s(n(82512)),a=s(n(90330));function s(e){return e&&e.__esModule?e:{default:e}}function l(e){var t=e.locale,n=e.messages,i=e.allowMissing,s=e.onMissingKey,l=e.interpolation,u=e.pluralRules,c=e.children,d=r.default.useMemo((function(){var e=new o.default({locale:t,phrases:n,allowMissing:i,onMissingKey:s,interpolation:l,pluralRules:u}),r=e.t.bind(e);return r._polyglot=e,r}),[t,n,i,s,l,u]);return r.default.createElement(a.default.Provider,{value:d},r.default.Children.only(c))}l.propTypes={locale:i.default.string.isRequired,messages:i.default.object.isRequired,allowMissing:i.default.bool,onMissingKey:i.default.func,interpolation:i.default.shape({suffix:i.default.string,prefix:i.default.string}),pluralRules:i.default.shape({pluralTypes:i.default.object,pluralTypeToLanguages:i.default.object}),children:i.default.element.isRequired},l.defaultProps={allowMissing:!1,onMissingKey:void 0,interpolation:void 0,pluralRules:void 0}},9316:(e,t,n)=>{"use strict";Object.defineProperty(t,"oc",{enumerable:!0,get:function(){return r.default}}),Object.defineProperty(t,"Iu",{enumerable:!0,get:function(){return i.default}}),Object.defineProperty(t,"qM",{enumerable:!0,get:function(){return o.default}});var r=a(n(57970)),i=a(n(7855)),o=a(n(98492));function a(e){return e&&e.__esModule?e:{default:e}}},7855:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(){return function(e){return(0,i.default)((function(t){return r.default.createElement(o.default.Consumer,null,(function(n){return r.default.createElement(e,s({},t,{t:n}))}))}),e)}};var r=a(n(2784)),i=a(n(73463)),o=a(n(90330));function a(e){return e&&e.__esModule?e:{default:e}}function s(){return s=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},s.apply(this,arguments)}},98492:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(){return(0,i.useContext)(o.default)};var r,i=n(2784),o=(r=n(90330))&&r.__esModule?r:{default:r}},98559:(e,t)=>{"use strict";var n=60103,r=60106,i=60107,o=60108,a=60114,s=60109,l=60110,u=60112,c=60113,d=60120,p=60115,f=60116;if("function"==typeof Symbol&&Symbol.for){var h=Symbol.for;n=h("react.element"),r=h("react.portal"),i=h("react.fragment"),o=h("react.strict_mode"),a=h("react.profiler"),s=h("react.provider"),l=h("react.context"),u=h("react.forward_ref"),c=h("react.suspense"),d=h("react.suspense_list"),p=h("react.memo"),f=h("react.lazy"),h("react.block"),h("react.server.block"),h("react.fundamental"),h("react.debug_trace_mode"),h("react.legacy_hidden")}t.isContextConsumer=function(e){return function(e){if("object"==typeof e&&null!==e){var t=e.$$typeof;switch(t){case n:switch(e=e.type){case i:case a:case o:case c:case d:return e;default:switch(e=e&&e.$$typeof){case l:case u:case f:case p:case s:return e;default:return t}}case r:return t}}}(e)===l}},63920:(e,t,n)=>{"use strict";e.exports=n(98559)},49613:e=>{e.exports=Array.isArray||function(e){return"[object Array]"==Object.prototype.toString.call(e)}},79056:(e,t,n)=>{var r=n(49613);e.exports=function e(t,n,i){return r(n)||(i=n||i,n=[]),i=i||{},t instanceof RegExp?function(e,t){var n=e.source.match(/\((?!\?)/g);if(n)for(var r=0;r<n.length;r++)t.push({name:r,prefix:null,delimiter:null,optional:!1,repeat:!1,partial:!1,asterisk:!1,pattern:null});return c(e,t)}(t,n):r(t)?function(t,n,r){for(var i=[],o=0;o<t.length;o++)i.push(e(t[o],n,r).source);return c(new RegExp("(?:"+i.join("|")+")",d(r)),n)}(t,n,i):function(e,t,n){return p(o(e,n),t,n)}(t,n,i)},e.exports.parse=o,e.exports.compile=function(e,t){return s(o(e,t),t)},e.exports.tokensToFunction=s,e.exports.tokensToRegExp=p;var i=new RegExp(["(\\\\.)","([\\/.])?(?:(?:\\:(\\w+)(?:\\(((?:\\\\.|[^\\\\()])+)\\))?|\\(((?:\\\\.|[^\\\\()])+)\\))([+*?])?|(\\*))"].join("|"),"g");function o(e,t){for(var n,r=[],o=0,a=0,s="",c=t&&t.delimiter||"/";null!=(n=i.exec(e));){var d=n[0],p=n[1],f=n.index;if(s+=e.slice(a,f),a=f+d.length,p)s+=p[1];else{var h=e[a],m=n[2],g=n[3],v=n[4],y=n[5],b=n[6],w=n[7];s&&(r.push(s),s="");var _=null!=m&&null!=h&&h!==m,x="+"===b||"*"===b,k="?"===b||"*"===b,E=n[2]||c,C=v||y;r.push({name:g||o++,prefix:m||"",delimiter:E,optional:k,repeat:x,partial:_,asterisk:!!w,pattern:C?u(C):w?".*":"[^"+l(E)+"]+?"})}}return a<e.length&&(s+=e.substr(a)),s&&r.push(s),r}function a(e){return encodeURI(e).replace(/[\/?#]/g,(function(e){return"%"+e.charCodeAt(0).toString(16).toUpperCase()}))}function s(e,t){for(var n=new Array(e.length),i=0;i<e.length;i++)"object"==typeof e[i]&&(n[i]=new RegExp("^(?:"+e[i].pattern+")$",d(t)));return function(t,i){for(var o="",s=t||{},l=(i||{}).pretty?a:encodeURIComponent,u=0;u<e.length;u++){var c=e[u];if("string"!=typeof c){var d,p=s[c.name];if(null==p){if(c.optional){c.partial&&(o+=c.prefix);continue}throw new TypeError('Expected "'+c.name+'" to be defined')}if(r(p)){if(!c.repeat)throw new TypeError('Expected "'+c.name+'" to not repeat, but received `'+JSON.stringify(p)+"`");if(0===p.length){if(c.optional)continue;throw new TypeError('Expected "'+c.name+'" to not be empty')}for(var f=0;f<p.length;f++){if(d=l(p[f]),!n[u].test(d))throw new TypeError('Expected all "'+c.name+'" to match "'+c.pattern+'", but received `'+JSON.stringify(d)+"`");o+=(0===f?c.prefix:c.delimiter)+d}}else{if(d=c.asterisk?encodeURI(p).replace(/[?#]/g,(function(e){return"%"+e.charCodeAt(0).toString(16).toUpperCase()})):l(p),!n[u].test(d))throw new TypeError('Expected "'+c.name+'" to match "'+c.pattern+'", but received "'+d+'"');o+=c.prefix+d}}else o+=c}return o}}function l(e){return e.replace(/([.+*?=^!:${}()[\]|\/\\])/g,"\\$1")}function u(e){return e.replace(/([=!:$\/()])/g,"\\$1")}function c(e,t){return e.keys=t,e}function d(e){return e&&e.sensitive?"":"i"}function p(e,t,n){r(t)||(n=t||n,t=[]);for(var i=(n=n||{}).strict,o=!1!==n.end,a="",s=0;s<e.length;s++){var u=e[s];if("string"==typeof u)a+=l(u);else{var p=l(u.prefix),f="(?:"+u.pattern+")";t.push(u),u.repeat&&(f+="(?:"+p+f+")*"),a+=f=u.optional?u.partial?p+"("+f+")?":"(?:"+p+"("+f+"))?":p+"("+f+")"}}var h=l(n.delimiter||"/"),m=a.slice(-h.length)===h;return i||(a=(m?a.slice(0,-h.length):a)+"(?:"+h+"(?=$))?"),a+=o?"$":i&&m?"":"(?="+h+"|$)",c(new RegExp("^"+a,d(n)),t)}},93232:function(e,t,n){var r;r=function(e,t){return function(e){var t={};function n(r){if(t[r])return t[r].exports;var i=t[r]={exports:{},id:r,loaded:!1};return e[r].call(i.exports,i,i.exports,n),i.loaded=!0,i.exports}return n.m=e,n.c=t,n.p="",n(0)}([function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(1);Object.defineProperty(t,"ScrollSync",{enumerable:!0,get:function(){return o(r).default}});var i=n(12);function o(e){return e&&e.__esModule?e:{default:e}}Object.defineProperty(t,"ScrollSyncPane",{enumerable:!0,get:function(){return o(i).default}})},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),i=n(2),o=s(i),a=s(n(3));function s(e){return e&&e.__esModule?e:{default:e}}function l(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var u=function(e){function t(){var e,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var i=arguments.length,o=Array(i),a=0;a<i;a++)o[a]=arguments[a];return n=r=l(this,(e=t.__proto__||Object.getPrototypeOf(t)).call.apply(e,[this].concat(o))),r.panes={},r.registerPane=function(e,t){t.forEach((function(t){r.panes[t]||(r.panes[t]=[]),r.findPane(e,t)||(r.panes[t].length>0&&r.syncScrollPosition(r.panes[t][0],e),r.panes[t].push(e))})),r.addEvents(e,t)},r.unregisterPane=function(e,t){t.forEach((function(t){r.findPane(e,t)&&(r.removeEvents(e),r.panes[t].splice(r.panes[t].indexOf(e),1))}))},r.addEvents=function(e,t){e.onscroll=r.handlePaneScroll.bind(r,e,t)},r.removeEvents=function(e){e.onscroll=null},r.findPane=function(e,t){return!!r.panes[t]&&r.panes[t].find((function(t){return t===e}))},r.handlePaneScroll=function(e,t){r.props.enabled&&window.requestAnimationFrame((function(){r.syncScrollPositions(e,t)}))},r.syncScrollPositions=function(e,t){t.forEach((function(n){r.panes[n].forEach((function(i){e!==i&&(r.removeEvents(i,n),r.syncScrollPosition(e,i),window.requestAnimationFrame((function(){r.addEvents(i,t)})))}))})),r.props.onSync&&r.props.onSync(e)},l(r,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),r(t,[{key:"getChildContext",value:function(){return{registerPane:this.registerPane,unregisterPane:this.unregisterPane}}},{key:"syncScrollPosition",value:function(e,t){var n=e.scrollTop,r=e.scrollHeight,i=e.clientHeight,o=e.scrollLeft,a=e.scrollWidth,s=e.clientWidth,l=r-i,u=a-s,c=this.props,d=c.proportional,p=c.vertical,f=c.horizontal,h=t.scrollHeight-i,m=t.scrollWidth-s;p&&l>0&&(t.scrollTop=d?h*n/l:n),f&&u>0&&(t.scrollLeft=d?m*o/u:o)}},{key:"render",value:function(){return o.default.Children.only(this.props.children)}}]),t}(i.Component);u.propTypes={onSync:a.default.func,children:a.default.element.isRequired,proportional:a.default.bool,vertical:a.default.bool,horizontal:a.default.bool,enabled:a.default.bool},u.defaultProps={proportional:!0,vertical:!0,horizontal:!0,enabled:!0},u.childContextTypes={registerPane:a.default.func,unregisterPane:a.default.func},t.default=u,e.exports=t.default},function(t,n){t.exports=e},function(e,t,n){(function(t){if("production"!==t.env.NODE_ENV){var r="function"==typeof Symbol&&Symbol.for&&Symbol.for("react.element")||60103;e.exports=n(5)((function(e){return"object"==typeof e&&null!==e&&e.$$typeof===r}),!0)}else e.exports=n(11)()}).call(t,n(4))},function(e,t){var n,r,i=e.exports={};function o(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function s(e){if(n===setTimeout)return setTimeout(e,0);if((n===o||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:o}catch(e){n=o}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var l,u=[],c=!1,d=-1;function p(){c&&l&&(c=!1,l.length?u=l.concat(u):d=-1,u.length&&f())}function f(){if(!c){var e=s(p);c=!0;for(var t=u.length;t;){for(l=u,u=[];++d<t;)l&&l[d].run();d=-1,t=u.length}l=null,c=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{return r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function h(e,t){this.fun=e,this.array=t}function m(){}i.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];u.push(new h(e,t)),1!==u.length||c||s(f)},h.prototype.run=function(){this.fun.apply(null,this.array)},i.title="browser",i.browser=!0,i.env={},i.argv=[],i.version="",i.versions={},i.on=m,i.addListener=m,i.once=m,i.off=m,i.removeListener=m,i.removeAllListeners=m,i.emit=m,i.prependListener=m,i.prependOnceListener=m,i.listeners=function(e){return[]},i.binding=function(e){throw new Error("process.binding is not supported")},i.cwd=function(){return"/"},i.chdir=function(e){throw new Error("process.chdir is not supported")},i.umask=function(){return 0}},function(e,t,n){(function(t){"use strict";var r=n(6),i=n(7),o=n(8),a=n(9),s=n(10);e.exports=function(e,n){var l="function"==typeof Symbol&&Symbol.iterator,u="<<anonymous>>",c={array:f("array"),bool:f("boolean"),func:f("function"),number:f("number"),object:f("object"),string:f("string"),symbol:f("symbol"),any:p(r.thatReturnsNull),arrayOf:function(e){return p((function(t,n,r,i,o){if("function"!=typeof e)return new d("Property `"+o+"` of component `"+r+"` has invalid PropType notation inside arrayOf.");var s=t[n];if(!Array.isArray(s))return new d("Invalid "+i+" `"+o+"` of type `"+m(s)+"` supplied to `"+r+"`, expected an array.");for(var l=0;l<s.length;l++){var u=e(s,l,r,i,o+"["+l+"]",a);if(u instanceof Error)return u}return null}))},element:p((function(t,n,r,i,o){var a=t[n];return e(a)?null:new d("Invalid "+i+" `"+o+"` of type `"+m(a)+"` supplied to `"+r+"`, expected a single ReactElement.")})),instanceOf:function(e){return p((function(t,n,r,i,o){if(!(t[n]instanceof e)){var a=e.name||u;return new d("Invalid "+i+" `"+o+"` of type `"+((s=t[n]).constructor&&s.constructor.name?s.constructor.name:u)+"` supplied to `"+r+"`, expected instance of `"+a+"`.")}var s;return null}))},node:p((function(e,t,n,r,i){return h(e[t])?null:new d("Invalid "+r+" `"+i+"` supplied to `"+n+"`, expected a ReactNode.")})),objectOf:function(e){return p((function(t,n,r,i,o){if("function"!=typeof e)return new d("Property `"+o+"` of component `"+r+"` has invalid PropType notation inside objectOf.");var s=t[n],l=m(s);if("object"!==l)return new d("Invalid "+i+" `"+o+"` of type `"+l+"` supplied to `"+r+"`, expected an object.");for(var u in s)if(s.hasOwnProperty(u)){var c=e(s,u,r,i,o+"."+u,a);if(c instanceof Error)return c}return null}))},oneOf:function(e){return Array.isArray(e)?p((function(t,n,r,i,o){for(var a=t[n],s=0;s<e.length;s++)if(l=a,u=e[s],l===u?0!==l||1/l==1/u:l!=l&&u!=u)return null;var l,u;return new d("Invalid "+i+" `"+o+"` of value `"+a+"` supplied to `"+r+"`, expected one of "+JSON.stringify(e)+".")})):("production"!==t.env.NODE_ENV&&o(!1,"Invalid argument supplied to oneOf, expected an instance of array."),r.thatReturnsNull)},oneOfType:function(e){if(!Array.isArray(e))return"production"!==t.env.NODE_ENV&&o(!1,"Invalid argument supplied to oneOfType, expected an instance of array."),r.thatReturnsNull;for(var n=0;n<e.length;n++){var i=e[n];if("function"!=typeof i)return o(!1,"Invalid argument supplid to oneOfType. Expected an array of check functions, but received %s at index %s.",v(i),n),r.thatReturnsNull}return p((function(t,n,r,i,o){for(var s=0;s<e.length;s++)if(null==(0,e[s])(t,n,r,i,o,a))return null;return new d("Invalid "+i+" `"+o+"` supplied to `"+r+"`.")}))},shape:function(e){return p((function(t,n,r,i,o){var s=t[n],l=m(s);if("object"!==l)return new d("Invalid "+i+" `"+o+"` of type `"+l+"` supplied to `"+r+"`, expected `object`.");for(var u in e){var c=e[u];if(c){var p=c(s,u,r,i,o+"."+u,a);if(p)return p}}return null}))}};function d(e){this.message=e,this.stack=""}function p(e){if("production"!==t.env.NODE_ENV)var r={},s=0;function l(l,c,p,f,h,m,g){if(f=f||u,m=m||p,g!==a)if(n)i(!1,"Calling PropTypes validators directly is not supported by the `prop-types` package. Use `PropTypes.checkPropTypes()` to call them. Read more at http://fb.me/use-check-prop-types");else if("production"!==t.env.NODE_ENV&&"undefined"!=typeof console){var v=f+":"+p;!r[v]&&s<3&&(o(!1,"You are manually calling a React.PropTypes validation function for the `%s` prop on `%s`. This is deprecated and will throw in the standalone `prop-types` package. You may be seeing this warning due to a third-party PropTypes library. See https://fb.me/react-warning-dont-call-proptypes for details.",m,f),r[v]=!0,s++)}return null==c[p]?l?null===c[p]?new d("The "+h+" `"+m+"` is marked as required in `"+f+"`, but its value is `null`."):new d("The "+h+" `"+m+"` is marked as required in `"+f+"`, but its value is `undefined`."):null:e(c,p,f,h,m)}var c=l.bind(null,!1);return c.isRequired=l.bind(null,!0),c}function f(e){return p((function(t,n,r,i,o,a){var s=t[n];return m(s)!==e?new d("Invalid "+i+" `"+o+"` of type `"+g(s)+"` supplied to `"+r+"`, expected `"+e+"`."):null}))}function h(t){switch(typeof t){case"number":case"string":case"undefined":return!0;case"boolean":return!t;case"object":if(Array.isArray(t))return t.every(h);if(null===t||e(t))return!0;var n=function(e){var t=e&&(l&&e[l]||e["@@iterator"]);if("function"==typeof t)return t}(t);if(!n)return!1;var r,i=n.call(t);if(n!==t.entries){for(;!(r=i.next()).done;)if(!h(r.value))return!1}else for(;!(r=i.next()).done;){var o=r.value;if(o&&!h(o[1]))return!1}return!0;default:return!1}}function m(e){var t=typeof e;return Array.isArray(e)?"array":e instanceof RegExp?"object":function(e,t){return"symbol"===e||"Symbol"===t["@@toStringTag"]||"function"==typeof Symbol&&t instanceof Symbol}(t,e)?"symbol":t}function g(e){if(null==e)return""+e;var t=m(e);if("object"===t){if(e instanceof Date)return"date";if(e instanceof RegExp)return"regexp"}return t}function v(e){var t=g(e);switch(t){case"array":case"object":return"an "+t;case"boolean":case"date":case"regexp":return"a "+t;default:return t}}return d.prototype=Error.prototype,c.checkPropTypes=s,c.PropTypes=c,c}}).call(t,n(4))},function(e,t){"use strict";function n(e){return function(){return e}}var r=function(){};r.thatReturns=n,r.thatReturnsFalse=n(!1),r.thatReturnsTrue=n(!0),r.thatReturnsNull=n(null),r.thatReturnsThis=function(){return this},r.thatReturnsArgument=function(e){return e},e.exports=r},function(e,t,n){(function(t){"use strict";var n=function(e){};"production"!==t.env.NODE_ENV&&(n=function(e){if(void 0===e)throw new Error("invariant requires an error message argument")}),e.exports=function(e,t,r,i,o,a,s,l){if(n(t),!e){var u;if(void 0===t)u=new Error("Minified exception occurred; use the non-minified dev environment for the full error message and additional helpful warnings.");else{var c=[r,i,o,a,s,l],d=0;(u=new Error(t.replace(/%s/g,(function(){return c[d++]})))).name="Invariant Violation"}throw u.framesToPop=1,u}}}).call(t,n(4))},function(e,t,n){(function(t){"use strict";var r,i=n(6);"production"!==t.env.NODE_ENV&&(r=function(e){for(var t=arguments.length,n=Array(t>1?t-1:0),r=1;r<t;r++)n[r-1]=arguments[r];var i=0,o="Warning: "+e.replace(/%s/g,(function(){return n[i++]}));"undefined"!=typeof console&&console.error(o);try{throw new Error(o)}catch(e){}},i=function(e,t){if(void 0===t)throw new Error("`warning(condition, format, ...args)` requires a warning message argument");if(0!==t.indexOf("Failed Composite propType: ")&&!e){for(var n=arguments.length,i=Array(n>2?n-2:0),o=2;o<n;o++)i[o-2]=arguments[o];r.apply(void 0,[t].concat(i))}}),e.exports=i}).call(t,n(4))},function(e,t){"use strict";e.exports="SECRET_DO_NOT_PASS_THIS_OR_YOU_WILL_BE_FIRED"},function(e,t,n){(function(t){"use strict";if("production"!==t.env.NODE_ENV)var r=n(7),i=n(8),o=n(9),a={};e.exports=function(e,n,s,l,u){if("production"!==t.env.NODE_ENV)for(var c in e)if(e.hasOwnProperty(c)){var d;try{r("function"==typeof e[c],"%s: %s type `%s` is invalid; it must be a function, usually from React.PropTypes.",l||"React class",s,c),d=e[c](n,c,l,s,null,o)}catch(e){d=e}if(i(!d||d instanceof Error,"%s: type specification of %s `%s` is invalid; the type checker function must return `null` or an `Error` but returned a %s. You may have forgotten to pass an argument to the type checker creator (arrayOf, instanceOf, objectOf, oneOf, oneOfType, and shape all require an argument).",l||"React class",s,c,typeof d),d instanceof Error&&!(d.message in a)){a[d.message]=!0;var p=u?u():"";i(!1,"Failed %s type: %s%s",s,d.message,null!=p?p:"")}}}}).call(t,n(4))},function(e,t,n){"use strict";var r=n(6),i=n(7),o=n(9);e.exports=function(){function e(e,t,n,r,a,s){s!==o&&i(!1,"Calling PropTypes validators directly is not supported by the `prop-types` package. Use PropTypes.checkPropTypes() to call them. Read more at http://fb.me/use-check-prop-types")}function t(){return e}e.isRequired=e;var n={array:e,bool:e,func:e,number:e,object:e,string:e,symbol:e,any:e,arrayOf:t,element:e,instanceOf:t,node:e,objectOf:t,oneOf:t,oneOfType:t,shape:t};return n.checkPropTypes=r,n.PropTypes=n,n}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),i=n(2),o=s(n(13)),a=s(n(3));function s(e){return e&&e.__esModule?e:{default:e}}function l(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var u=function(e){function t(){var e,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var i=arguments.length,o=Array(i),a=0;a<i;a++)o[a]=arguments[a];return n=r=l(this,(e=t.__proto__||Object.getPrototypeOf(t)).call.apply(e,[this].concat(o))),r.toArray=function(e){return[].concat(e)},l(r,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),r(t,[{key:"componentDidMount",value:function(){this.props.enabled&&(this.node=this.props.attachTo||o.default.findDOMNode(this),this.context.registerPane(this.node,this.toArray(this.props.group)))}},{key:"componentDidUpdate",value:function(e){this.props.enabled&&this.props.group!==e.group&&(this.context.unregisterPane(this.node,this.toArray(e.group)),this.context.registerPane(this.node,this.toArray(this.props.group)))}},{key:"componentWillUnmount",value:function(){this.props.enabled&&this.context.unregisterPane(this.node,this.toArray(this.props.group))}},{key:"render",value:function(){return this.props.children}}]),t}(i.Component);u.propTypes={children:a.default.node.isRequired,attachTo:a.default.object,group:a.default.oneOfType([a.default.string,a.default.arrayOf(a.default.string)]),enabled:a.default.bool},u.defaultProps={group:"default",enabled:!0},u.contextTypes={registerPane:a.default.func,unregisterPane:a.default.func},t.default=u,e.exports=t.default},function(e,n){e.exports=t}])},e.exports=r(n(2784),n(28316))},2787:e=>{e.exports=["alignContent","MozAlignContent","WebkitAlignContent","MSAlignContent","OAlignContent","alignItems","MozAlignItems","WebkitAlignItems","MSAlignItems","OAlignItems","alignSelf","MozAlignSelf","WebkitAlignSelf","MSAlignSelf","OAlignSelf","all","MozAll","WebkitAll","MSAll","OAll","animation","MozAnimation","WebkitAnimation","MSAnimation","OAnimation","animationDelay","MozAnimationDelay","WebkitAnimationDelay","MSAnimationDelay","OAnimationDelay","animationDirection","MozAnimationDirection","WebkitAnimationDirection","MSAnimationDirection","OAnimationDirection","animationDuration","MozAnimationDuration","WebkitAnimationDuration","MSAnimationDuration","OAnimationDuration","animationFillMode","MozAnimationFillMode","WebkitAnimationFillMode","MSAnimationFillMode","OAnimationFillMode","animationIterationCount","MozAnimationIterationCount","WebkitAnimationIterationCount","MSAnimationIterationCount","OAnimationIterationCount","animationName","MozAnimationName","WebkitAnimationName","MSAnimationName","OAnimationName","animationPlayState","MozAnimationPlayState","WebkitAnimationPlayState","MSAnimationPlayState","OAnimationPlayState","animationTimingFunction","MozAnimationTimingFunction","WebkitAnimationTimingFunction","MSAnimationTimingFunction","OAnimationTimingFunction","backfaceVisibility","MozBackfaceVisibility","WebkitBackfaceVisibility","MSBackfaceVisibility","OBackfaceVisibility","background","MozBackground","WebkitBackground","MSBackground","OBackground","backgroundAttachment","MozBackgroundAttachment","WebkitBackgroundAttachment","MSBackgroundAttachment","OBackgroundAttachment","backgroundBlendMode","MozBackgroundBlendMode","WebkitBackgroundBlendMode","MSBackgroundBlendMode","OBackgroundBlendMode","backgroundClip","MozBackgroundClip","WebkitBackgroundClip","MSBackgroundClip","OBackgroundClip","backgroundColor","MozBackgroundColor","WebkitBackgroundColor","MSBackgroundColor","OBackgroundColor","backgroundImage","MozBackgroundImage","WebkitBackgroundImage","MSBackgroundImage","OBackgroundImage","backgroundOrigin","MozBackgroundOrigin","WebkitBackgroundOrigin","MSBackgroundOrigin","OBackgroundOrigin","backgroundPosition","MozBackgroundPosition","WebkitBackgroundPosition","MSBackgroundPosition","OBackgroundPosition","backgroundRepeat","MozBackgroundRepeat","WebkitBackgroundRepeat","MSBackgroundRepeat","OBackgroundRepeat","backgroundSize","MozBackgroundSize","WebkitBackgroundSize","MSBackgroundSize","OBackgroundSize","blockSize","MozBlockSize","WebkitBlockSize","MSBlockSize","OBlockSize","border","MozBorder","WebkitBorder","MSBorder","OBorder","borderBlockEnd","MozBorderBlockEnd","WebkitBorderBlockEnd","MSBorderBlockEnd","OBorderBlockEnd","borderBlockEndColor","MozBorderBlockEndColor","WebkitBorderBlockEndColor","MSBorderBlockEndColor","OBorderBlockEndColor","borderBlockEndStyle","MozBorderBlockEndStyle","WebkitBorderBlockEndStyle","MSBorderBlockEndStyle","OBorderBlockEndStyle","borderBlockEndWidth","MozBorderBlockEndWidth","WebkitBorderBlockEndWidth","MSBorderBlockEndWidth","OBorderBlockEndWidth","borderBlockStart","MozBorderBlockStart","WebkitBorderBlockStart","MSBorderBlockStart","OBorderBlockStart","borderBlockStartColor","MozBorderBlockStartColor","WebkitBorderBlockStartColor","MSBorderBlockStartColor","OBorderBlockStartColor","borderBlockStartStyle","MozBorderBlockStartStyle","WebkitBorderBlockStartStyle","MSBorderBlockStartStyle","OBorderBlockStartStyle","borderBlockStartWidth","MozBorderBlockStartWidth","WebkitBorderBlockStartWidth","MSBorderBlockStartWidth","OBorderBlockStartWidth","borderBottom","MozBorderBottom","WebkitBorderBottom","MSBorderBottom","OBorderBottom","borderBottomColor","MozBorderBottomColor","WebkitBorderBottomColor","MSBorderBottomColor","OBorderBottomColor","borderBottomLeftRadius","MozBorderBottomLeftRadius","WebkitBorderBottomLeftRadius","MSBorderBottomLeftRadius","OBorderBottomLeftRadius","borderBottomRightRadius","MozBorderBottomRightRadius","WebkitBorderBottomRightRadius","MSBorderBottomRightRadius","OBorderBottomRightRadius","borderBottomStyle","MozBorderBottomStyle","WebkitBorderBottomStyle","MSBorderBottomStyle","OBorderBottomStyle","borderBottomWidth","MozBorderBottomWidth","WebkitBorderBottomWidth","MSBorderBottomWidth","OBorderBottomWidth","borderCollapse","MozBorderCollapse","WebkitBorderCollapse","MSBorderCollapse","OBorderCollapse","borderColor","MozBorderColor","WebkitBorderColor","MSBorderColor","OBorderColor","borderImage","MozBorderImage","WebkitBorderImage","MSBorderImage","OBorderImage","borderImageOutset","MozBorderImageOutset","WebkitBorderImageOutset","MSBorderImageOutset","OBorderImageOutset","borderImageRepeat","MozBorderImageRepeat","WebkitBorderImageRepeat","MSBorderImageRepeat","OBorderImageRepeat","borderImageSlice","MozBorderImageSlice","WebkitBorderImageSlice","MSBorderImageSlice","OBorderImageSlice","borderImageSource","MozBorderImageSource","WebkitBorderImageSource","MSBorderImageSource","OBorderImageSource","borderImageWidth","MozBorderImageWidth","WebkitBorderImageWidth","MSBorderImageWidth","OBorderImageWidth","borderInlineEnd","MozBorderInlineEnd","WebkitBorderInlineEnd","MSBorderInlineEnd","OBorderInlineEnd","borderInlineEndColor","MozBorderInlineEndColor","WebkitBorderInlineEndColor","MSBorderInlineEndColor","OBorderInlineEndColor","borderInlineEndStyle","MozBorderInlineEndStyle","WebkitBorderInlineEndStyle","MSBorderInlineEndStyle","OBorderInlineEndStyle","borderInlineEndWidth","MozBorderInlineEndWidth","WebkitBorderInlineEndWidth","MSBorderInlineEndWidth","OBorderInlineEndWidth","borderInlineStart","MozBorderInlineStart","WebkitBorderInlineStart","MSBorderInlineStart","OBorderInlineStart","borderInlineStartColor","MozBorderInlineStartColor","WebkitBorderInlineStartColor","MSBorderInlineStartColor","OBorderInlineStartColor","borderInlineStartStyle","MozBorderInlineStartStyle","WebkitBorderInlineStartStyle","MSBorderInlineStartStyle","OBorderInlineStartStyle","borderInlineStartWidth","MozBorderInlineStartWidth","WebkitBorderInlineStartWidth","MSBorderInlineStartWidth","OBorderInlineStartWidth","borderLeft","MozBorderLeft","WebkitBorderLeft","MSBorderLeft","OBorderLeft","borderLeftColor","MozBorderLeftColor","WebkitBorderLeftColor","MSBorderLeftColor","OBorderLeftColor","borderLeftStyle","MozBorderLeftStyle","WebkitBorderLeftStyle","MSBorderLeftStyle","OBorderLeftStyle","borderLeftWidth","MozBorderLeftWidth","WebkitBorderLeftWidth","MSBorderLeftWidth","OBorderLeftWidth","borderRadius","MozBorderRadius","WebkitBorderRadius","MSBorderRadius","OBorderRadius","borderRight","MozBorderRight","WebkitBorderRight","MSBorderRight","OBorderRight","borderRightColor","MozBorderRightColor","WebkitBorderRightColor","MSBorderRightColor","OBorderRightColor","borderRightStyle","MozBorderRightStyle","WebkitBorderRightStyle","MSBorderRightStyle","OBorderRightStyle","borderRightWidth","MozBorderRightWidth","WebkitBorderRightWidth","MSBorderRightWidth","OBorderRightWidth","borderSpacing","MozBorderSpacing","WebkitBorderSpacing","MSBorderSpacing","OBorderSpacing","borderStyle","MozBorderStyle","WebkitBorderStyle","MSBorderStyle","OBorderStyle","borderTop","MozBorderTop","WebkitBorderTop","MSBorderTop","OBorderTop","borderTopColor","MozBorderTopColor","WebkitBorderTopColor","MSBorderTopColor","OBorderTopColor","borderTopLeftRadius","MozBorderTopLeftRadius","WebkitBorderTopLeftRadius","MSBorderTopLeftRadius","OBorderTopLeftRadius","borderTopRightRadius","MozBorderTopRightRadius","WebkitBorderTopRightRadius","MSBorderTopRightRadius","OBorderTopRightRadius","borderTopStyle","MozBorderTopStyle","WebkitBorderTopStyle","MSBorderTopStyle","OBorderTopStyle","borderTopWidth","MozBorderTopWidth","WebkitBorderTopWidth","MSBorderTopWidth","OBorderTopWidth","borderWidth","MozBorderWidth","WebkitBorderWidth","MSBorderWidth","OBorderWidth","bottom","MozBottom","WebkitBottom","MSBottom","OBottom","boxDecorationBreak","MozBoxDecorationBreak","WebkitBoxDecorationBreak","MSBoxDecorationBreak","OBoxDecorationBreak","boxShadow","MozBoxShadow","WebkitBoxShadow","MSBoxShadow","OBoxShadow","boxSizing","MozBoxSizing","WebkitBoxSizing","MSBoxSizing","OBoxSizing","breakAfter","MozBreakAfter","WebkitBreakAfter","MSBreakAfter","OBreakAfter","breakBefore","MozBreakBefore","WebkitBreakBefore","MSBreakBefore","OBreakBefore","breakInside","MozBreakInside","WebkitBreakInside","MSBreakInside","OBreakInside","captionSide","MozCaptionSide","WebkitCaptionSide","MSCaptionSide","OCaptionSide","caretColor","MozCaretColor","WebkitCaretColor","MSCaretColor","OCaretColor","ch","MozCh","WebkitCh","MSCh","OCh","clear","MozClear","WebkitClear","MSClear","OClear","clip","MozClip","WebkitClip","MSClip","OClip","clipPath","MozClipPath","WebkitClipPath","MSClipPath","OClipPath","cm","MozCm","WebkitCm","MSCm","OCm","color","MozColor","WebkitColor","MSColor","OColor","columnCount","MozColumnCount","WebkitColumnCount","MSColumnCount","OColumnCount","columnFill","MozColumnFill","WebkitColumnFill","MSColumnFill","OColumnFill","columnGap","MozColumnGap","WebkitColumnGap","MSColumnGap","OColumnGap","columnRule","MozColumnRule","WebkitColumnRule","MSColumnRule","OColumnRule","columnRuleColor","MozColumnRuleColor","WebkitColumnRuleColor","MSColumnRuleColor","OColumnRuleColor","columnRuleStyle","MozColumnRuleStyle","WebkitColumnRuleStyle","MSColumnRuleStyle","OColumnRuleStyle","columnRuleWidth","MozColumnRuleWidth","WebkitColumnRuleWidth","MSColumnRuleWidth","OColumnRuleWidth","columnSpan","MozColumnSpan","WebkitColumnSpan","MSColumnSpan","OColumnSpan","columnWidth","MozColumnWidth","WebkitColumnWidth","MSColumnWidth","OColumnWidth","columns","MozColumns","WebkitColumns","MSColumns","OColumns","content","MozContent","WebkitContent","MSContent","OContent","counterIncrement","MozCounterIncrement","WebkitCounterIncrement","MSCounterIncrement","OCounterIncrement","counterReset","MozCounterReset","WebkitCounterReset","MSCounterReset","OCounterReset","cursor","MozCursor","WebkitCursor","MSCursor","OCursor","deg","MozDeg","WebkitDeg","MSDeg","ODeg","direction","MozDirection","WebkitDirection","MSDirection","ODirection","display","MozDisplay","WebkitDisplay","MSDisplay","ODisplay","dpcm","MozDpcm","WebkitDpcm","MSDpcm","ODpcm","dpi","MozDpi","WebkitDpi","MSDpi","ODpi","dppx","MozDppx","WebkitDppx","MSDppx","ODppx","em","MozEm","WebkitEm","MSEm","OEm","emptyCells","MozEmptyCells","WebkitEmptyCells","MSEmptyCells","OEmptyCells","ex","MozEx","WebkitEx","MSEx","OEx","filter","MozFilter","WebkitFilter","MSFilter","OFilter","flexBasis","MozFlexBasis","WebkitFlexBasis","MSFlexBasis","OFlexBasis","flexDirection","MozFlexDirection","WebkitFlexDirection","MSFlexDirection","OFlexDirection","flexFlow","MozFlexFlow","WebkitFlexFlow","MSFlexFlow","OFlexFlow","flexGrow","MozFlexGrow","WebkitFlexGrow","MSFlexGrow","OFlexGrow","flexShrink","MozFlexShrink","WebkitFlexShrink","MSFlexShrink","OFlexShrink","flexWrap","MozFlexWrap","WebkitFlexWrap","MSFlexWrap","OFlexWrap","float","MozFloat","WebkitFloat","MSFloat","OFloat","font","MozFont","WebkitFont","MSFont","OFont","fontFamily","MozFontFamily","WebkitFontFamily","MSFontFamily","OFontFamily","fontFeatureSettings","MozFontFeatureSettings","WebkitFontFeatureSettings","MSFontFeatureSettings","OFontFeatureSettings","fontKerning","MozFontKerning","WebkitFontKerning","MSFontKerning","OFontKerning","fontLanguageOverride","MozFontLanguageOverride","WebkitFontLanguageOverride","MSFontLanguageOverride","OFontLanguageOverride","fontSize","MozFontSize","WebkitFontSize","MSFontSize","OFontSize","fontSizeAdjust","MozFontSizeAdjust","WebkitFontSizeAdjust","MSFontSizeAdjust","OFontSizeAdjust","fontStretch","MozFontStretch","WebkitFontStretch","MSFontStretch","OFontStretch","fontStyle","MozFontStyle","WebkitFontStyle","MSFontStyle","OFontStyle","fontSynthesis","MozFontSynthesis","WebkitFontSynthesis","MSFontSynthesis","OFontSynthesis","fontVariant","MozFontVariant","WebkitFontVariant","MSFontVariant","OFontVariant","fontVariantAlternates","MozFontVariantAlternates","WebkitFontVariantAlternates","MSFontVariantAlternates","OFontVariantAlternates","fontVariantCaps","MozFontVariantCaps","WebkitFontVariantCaps","MSFontVariantCaps","OFontVariantCaps","fontVariantEastAsian","MozFontVariantEastAsian","WebkitFontVariantEastAsian","MSFontVariantEastAsian","OFontVariantEastAsian","fontVariantLigatures","MozFontVariantLigatures","WebkitFontVariantLigatures","MSFontVariantLigatures","OFontVariantLigatures","fontVariantNumeric","MozFontVariantNumeric","WebkitFontVariantNumeric","MSFontVariantNumeric","OFontVariantNumeric","fontVariantPosition","MozFontVariantPosition","WebkitFontVariantPosition","MSFontVariantPosition","OFontVariantPosition","fontWeight","MozFontWeight","WebkitFontWeight","MSFontWeight","OFontWeight","fr","MozFr","WebkitFr","MSFr","OFr","grad","MozGrad","WebkitGrad","MSGrad","OGrad","grid","MozGrid","WebkitGrid","MSGrid","OGrid","gridArea","MozGridArea","WebkitGridArea","MSGridArea","OGridArea","gridAutoColumns","MozGridAutoColumns","WebkitGridAutoColumns","MSGridAutoColumns","OGridAutoColumns","gridAutoFlow","MozGridAutoFlow","WebkitGridAutoFlow","MSGridAutoFlow","OGridAutoFlow","gridAutoRows","MozGridAutoRows","WebkitGridAutoRows","MSGridAutoRows","OGridAutoRows","gridColumn","MozGridColumn","WebkitGridColumn","MSGridColumn","OGridColumn","gridColumnEnd","MozGridColumnEnd","WebkitGridColumnEnd","MSGridColumnEnd","OGridColumnEnd","gridColumnGap","MozGridColumnGap","WebkitGridColumnGap","MSGridColumnGap","OGridColumnGap","gridColumnStart","MozGridColumnStart","WebkitGridColumnStart","MSGridColumnStart","OGridColumnStart","gridGap","MozGridGap","WebkitGridGap","MSGridGap","OGridGap","gridRow","MozGridRow","WebkitGridRow","MSGridRow","OGridRow","gridRowEnd","MozGridRowEnd","WebkitGridRowEnd","MSGridRowEnd","OGridRowEnd","gridRowGap","MozGridRowGap","WebkitGridRowGap","MSGridRowGap","OGridRowGap","gridRowStart","MozGridRowStart","WebkitGridRowStart","MSGridRowStart","OGridRowStart","gridTemplate","MozGridTemplate","WebkitGridTemplate","MSGridTemplate","OGridTemplate","gridTemplateAreas","MozGridTemplateAreas","WebkitGridTemplateAreas","MSGridTemplateAreas","OGridTemplateAreas","gridTemplateColumns","MozGridTemplateColumns","WebkitGridTemplateColumns","MSGridTemplateColumns","OGridTemplateColumns","gridTemplateRows","MozGridTemplateRows","WebkitGridTemplateRows","MSGridTemplateRows","OGridTemplateRows","height","MozHeight","WebkitHeight","MSHeight","OHeight","hyphens","MozHyphens","WebkitHyphens","MSHyphens","OHyphens","hz","MozHz","WebkitHz","MSHz","OHz","imageOrientation","MozImageOrientation","WebkitImageOrientation","MSImageOrientation","OImageOrientation","imageRendering","MozImageRendering","WebkitImageRendering","MSImageRendering","OImageRendering","imageResolution","MozImageResolution","WebkitImageResolution","MSImageResolution","OImageResolution","imeMode","MozImeMode","WebkitImeMode","MSImeMode","OImeMode","in","MozIn","WebkitIn","MSIn","OIn","inherit","MozInherit","WebkitInherit","MSInherit","OInherit","initial","MozInitial","WebkitInitial","MSInitial","OInitial","inlineSize","MozInlineSize","WebkitInlineSize","MSInlineSize","OInlineSize","isolation","MozIsolation","WebkitIsolation","MSIsolation","OIsolation","justifyContent","MozJustifyContent","WebkitJustifyContent","MSJustifyContent","OJustifyContent","khz","MozKhz","WebkitKhz","MSKhz","OKhz","left","MozLeft","WebkitLeft","MSLeft","OLeft","letterSpacing","MozLetterSpacing","WebkitLetterSpacing","MSLetterSpacing","OLetterSpacing","lineBreak","MozLineBreak","WebkitLineBreak","MSLineBreak","OLineBreak","lineHeight","MozLineHeight","WebkitLineHeight","MSLineHeight","OLineHeight","listStyle","MozListStyle","WebkitListStyle","MSListStyle","OListStyle","listStyleImage","MozListStyleImage","WebkitListStyleImage","MSListStyleImage","OListStyleImage","listStylePosition","MozListStylePosition","WebkitListStylePosition","MSListStylePosition","OListStylePosition","listStyleType","MozListStyleType","WebkitListStyleType","MSListStyleType","OListStyleType","margin","MozMargin","WebkitMargin","MSMargin","OMargin","marginBlockEnd","MozMarginBlockEnd","WebkitMarginBlockEnd","MSMarginBlockEnd","OMarginBlockEnd","marginBlockStart","MozMarginBlockStart","WebkitMarginBlockStart","MSMarginBlockStart","OMarginBlockStart","marginBottom","MozMarginBottom","WebkitMarginBottom","MSMarginBottom","OMarginBottom","marginInlineEnd","MozMarginInlineEnd","WebkitMarginInlineEnd","MSMarginInlineEnd","OMarginInlineEnd","marginInlineStart","MozMarginInlineStart","WebkitMarginInlineStart","MSMarginInlineStart","OMarginInlineStart","marginLeft","MozMarginLeft","WebkitMarginLeft","MSMarginLeft","OMarginLeft","marginRight","MozMarginRight","WebkitMarginRight","MSMarginRight","OMarginRight","marginTop","MozMarginTop","WebkitMarginTop","MSMarginTop","OMarginTop","mask","MozMask","WebkitMask","MSMask","OMask","maskClip","MozMaskClip","WebkitMaskClip","MSMaskClip","OMaskClip","maskComposite","MozMaskComposite","WebkitMaskComposite","MSMaskComposite","OMaskComposite","maskImage","MozMaskImage","WebkitMaskImage","MSMaskImage","OMaskImage","maskMode","MozMaskMode","WebkitMaskMode","MSMaskMode","OMaskMode","maskOrigin","MozMaskOrigin","WebkitMaskOrigin","MSMaskOrigin","OMaskOrigin","maskPosition","MozMaskPosition","WebkitMaskPosition","MSMaskPosition","OMaskPosition","maskRepeat","MozMaskRepeat","WebkitMaskRepeat","MSMaskRepeat","OMaskRepeat","maskSize","MozMaskSize","WebkitMaskSize","MSMaskSize","OMaskSize","maskType","MozMaskType","WebkitMaskType","MSMaskType","OMaskType","maxHeight","MozMaxHeight","WebkitMaxHeight","MSMaxHeight","OMaxHeight","maxWidth","MozMaxWidth","WebkitMaxWidth","MSMaxWidth","OMaxWidth","minBlockSize","MozMinBlockSize","WebkitMinBlockSize","MSMinBlockSize","OMinBlockSize","minHeight","MozMinHeight","WebkitMinHeight","MSMinHeight","OMinHeight","minInlineSize","MozMinInlineSize","WebkitMinInlineSize","MSMinInlineSize","OMinInlineSize","minWidth","MozMinWidth","WebkitMinWidth","MSMinWidth","OMinWidth","mixBlendMode","MozMixBlendMode","WebkitMixBlendMode","MSMixBlendMode","OMixBlendMode","mm","MozMm","WebkitMm","MSMm","OMm","ms","MozMs","WebkitMs","MSMs","OMs","objectFit","MozObjectFit","WebkitObjectFit","MSObjectFit","OObjectFit","objectPosition","MozObjectPosition","WebkitObjectPosition","MSObjectPosition","OObjectPosition","offsetBlockEnd","MozOffsetBlockEnd","WebkitOffsetBlockEnd","MSOffsetBlockEnd","OOffsetBlockEnd","offsetBlockStart","MozOffsetBlockStart","WebkitOffsetBlockStart","MSOffsetBlockStart","OOffsetBlockStart","offsetInlineEnd","MozOffsetInlineEnd","WebkitOffsetInlineEnd","MSOffsetInlineEnd","OOffsetInlineEnd","offsetInlineStart","MozOffsetInlineStart","WebkitOffsetInlineStart","MSOffsetInlineStart","OOffsetInlineStart","opacity","MozOpacity","WebkitOpacity","MSOpacity","OOpacity","order","MozOrder","WebkitOrder","MSOrder","OOrder","orphans","MozOrphans","WebkitOrphans","MSOrphans","OOrphans","outline","MozOutline","WebkitOutline","MSOutline","OOutline","outlineColor","MozOutlineColor","WebkitOutlineColor","MSOutlineColor","OOutlineColor","outlineOffset","MozOutlineOffset","WebkitOutlineOffset","MSOutlineOffset","OOutlineOffset","outlineStyle","MozOutlineStyle","WebkitOutlineStyle","MSOutlineStyle","OOutlineStyle","outlineWidth","MozOutlineWidth","WebkitOutlineWidth","MSOutlineWidth","OOutlineWidth","overflow","MozOverflow","WebkitOverflow","MSOverflow","OOverflow","overflowWrap","MozOverflowWrap","WebkitOverflowWrap","MSOverflowWrap","OOverflowWrap","overflowX","MozOverflowX","WebkitOverflowX","MSOverflowX","OOverflowX","overflowY","MozOverflowY","WebkitOverflowY","MSOverflowY","OOverflowY","padding","MozPadding","WebkitPadding","MSPadding","OPadding","paddingBlockEnd","MozPaddingBlockEnd","WebkitPaddingBlockEnd","MSPaddingBlockEnd","OPaddingBlockEnd","paddingBlockStart","MozPaddingBlockStart","WebkitPaddingBlockStart","MSPaddingBlockStart","OPaddingBlockStart","paddingBottom","MozPaddingBottom","WebkitPaddingBottom","MSPaddingBottom","OPaddingBottom","paddingInlineEnd","MozPaddingInlineEnd","WebkitPaddingInlineEnd","MSPaddingInlineEnd","OPaddingInlineEnd","paddingInlineStart","MozPaddingInlineStart","WebkitPaddingInlineStart","MSPaddingInlineStart","OPaddingInlineStart","paddingLeft","MozPaddingLeft","WebkitPaddingLeft","MSPaddingLeft","OPaddingLeft","paddingRight","MozPaddingRight","WebkitPaddingRight","MSPaddingRight","OPaddingRight","paddingTop","MozPaddingTop","WebkitPaddingTop","MSPaddingTop","OPaddingTop","pageBreakAfter","MozPageBreakAfter","WebkitPageBreakAfter","MSPageBreakAfter","OPageBreakAfter","pageBreakBefore","MozPageBreakBefore","WebkitPageBreakBefore","MSPageBreakBefore","OPageBreakBefore","pageBreakInside","MozPageBreakInside","WebkitPageBreakInside","MSPageBreakInside","OPageBreakInside","pc","MozPc","WebkitPc","MSPc","OPc","perspective","MozPerspective","WebkitPerspective","MSPerspective","OPerspective","perspectiveOrigin","MozPerspectiveOrigin","WebkitPerspectiveOrigin","MSPerspectiveOrigin","OPerspectiveOrigin","pointerEvents","MozPointerEvents","WebkitPointerEvents","MSPointerEvents","OPointerEvents","position","MozPosition","WebkitPosition","MSPosition","OPosition","pt","MozPt","WebkitPt","MSPt","OPt","px","MozPx","WebkitPx","MSPx","OPx","q","MozQ","WebkitQ","MSQ","OQ","quotes","MozQuotes","WebkitQuotes","MSQuotes","OQuotes","rad","MozRad","WebkitRad","MSRad","ORad","rem","MozRem","WebkitRem","MSRem","ORem","resize","MozResize","WebkitResize","MSResize","OResize","revert","MozRevert","WebkitRevert","MSRevert","ORevert","right","MozRight","WebkitRight","MSRight","ORight","rubyAlign","MozRubyAlign","WebkitRubyAlign","MSRubyAlign","ORubyAlign","rubyMerge","MozRubyMerge","WebkitRubyMerge","MSRubyMerge","ORubyMerge","rubyPosition","MozRubyPosition","WebkitRubyPosition","MSRubyPosition","ORubyPosition","s","MozS","WebkitS","MSS","OS","scrollBehavior","MozScrollBehavior","WebkitScrollBehavior","MSScrollBehavior","OScrollBehavior","scrollSnapCoordinate","MozScrollSnapCoordinate","WebkitScrollSnapCoordinate","MSScrollSnapCoordinate","OScrollSnapCoordinate","scrollSnapDestination","MozScrollSnapDestination","WebkitScrollSnapDestination","MSScrollSnapDestination","OScrollSnapDestination","scrollSnapType","MozScrollSnapType","WebkitScrollSnapType","MSScrollSnapType","OScrollSnapType","shapeImageThreshold","MozShapeImageThreshold","WebkitShapeImageThreshold","MSShapeImageThreshold","OShapeImageThreshold","shapeMargin","MozShapeMargin","WebkitShapeMargin","MSShapeMargin","OShapeMargin","shapeOutside","MozShapeOutside","WebkitShapeOutside","MSShapeOutside","OShapeOutside","tabSize","MozTabSize","WebkitTabSize","MSTabSize","OTabSize","tableLayout","MozTableLayout","WebkitTableLayout","MSTableLayout","OTableLayout","textAlign","MozTextAlign","WebkitTextAlign","MSTextAlign","OTextAlign","textAlignLast","MozTextAlignLast","WebkitTextAlignLast","MSTextAlignLast","OTextAlignLast","textCombineUpright","MozTextCombineUpright","WebkitTextCombineUpright","MSTextCombineUpright","OTextCombineUpright","textDecoration","MozTextDecoration","WebkitTextDecoration","MSTextDecoration","OTextDecoration","textDecorationColor","MozTextDecorationColor","WebkitTextDecorationColor","MSTextDecorationColor","OTextDecorationColor","textDecorationLine","MozTextDecorationLine","WebkitTextDecorationLine","MSTextDecorationLine","OTextDecorationLine","textDecorationStyle","MozTextDecorationStyle","WebkitTextDecorationStyle","MSTextDecorationStyle","OTextDecorationStyle","textEmphasis","MozTextEmphasis","WebkitTextEmphasis","MSTextEmphasis","OTextEmphasis","textEmphasisColor","MozTextEmphasisColor","WebkitTextEmphasisColor","MSTextEmphasisColor","OTextEmphasisColor","textEmphasisPosition","MozTextEmphasisPosition","WebkitTextEmphasisPosition","MSTextEmphasisPosition","OTextEmphasisPosition","textEmphasisStyle","MozTextEmphasisStyle","WebkitTextEmphasisStyle","MSTextEmphasisStyle","OTextEmphasisStyle","textIndent","MozTextIndent","WebkitTextIndent","MSTextIndent","OTextIndent","textOrientation","MozTextOrientation","WebkitTextOrientation","MSTextOrientation","OTextOrientation","textOverflow","MozTextOverflow","WebkitTextOverflow","MSTextOverflow","OTextOverflow","textRendering","MozTextRendering","WebkitTextRendering","MSTextRendering","OTextRendering","textShadow","MozTextShadow","WebkitTextShadow","MSTextShadow","OTextShadow","textTransform","MozTextTransform","WebkitTextTransform","MSTextTransform","OTextTransform","textUnderlinePosition","MozTextUnderlinePosition","WebkitTextUnderlinePosition","MSTextUnderlinePosition","OTextUnderlinePosition","top","MozTop","WebkitTop","MSTop","OTop","touchAction","MozTouchAction","WebkitTouchAction","MSTouchAction","OTouchAction","transform","MozTransform","WebkitTransform","msTransform","OTransform","transformBox","MozTransformBox","WebkitTransformBox","MSTransformBox","OTransformBox","transformOrigin","MozTransformOrigin","WebkitTransformOrigin","MSTransformOrigin","OTransformOrigin","transformStyle","MozTransformStyle","WebkitTransformStyle","MSTransformStyle","OTransformStyle","transition","MozTransition","WebkitTransition","MSTransition","OTransition","transitionDelay","MozTransitionDelay","WebkitTransitionDelay","MSTransitionDelay","OTransitionDelay","transitionDuration","MozTransitionDuration","WebkitTransitionDuration","MSTransitionDuration","OTransitionDuration","transitionProperty","MozTransitionProperty","WebkitTransitionProperty","MSTransitionProperty","OTransitionProperty","transitionTimingFunction","MozTransitionTimingFunction","WebkitTransitionTimingFunction","MSTransitionTimingFunction","OTransitionTimingFunction","turn","MozTurn","WebkitTurn","MSTurn","OTurn","unicodeBidi","MozUnicodeBidi","WebkitUnicodeBidi","MSUnicodeBidi","OUnicodeBidi","unset","MozUnset","WebkitUnset","MSUnset","OUnset","verticalAlign","MozVerticalAlign","WebkitVerticalAlign","MSVerticalAlign","OVerticalAlign","vh","MozVh","WebkitVh","MSVh","OVh","visibility","MozVisibility","WebkitVisibility","MSVisibility","OVisibility","vmax","MozVmax","WebkitVmax","MSVmax","OVmax","vmin","MozVmin","WebkitVmin","MSVmin","OVmin","vw","MozVw","WebkitVw","MSVw","OVw","whiteSpace","MozWhiteSpace","WebkitWhiteSpace","MSWhiteSpace","OWhiteSpace","widows","MozWidows","WebkitWidows","MSWidows","OWidows","width","MozWidth","WebkitWidth","MSWidth","OWidth","willChange","MozWillChange","WebkitWillChange","MSWillChange","OWillChange","wordBreak","MozWordBreak","WebkitWordBreak","MSWordBreak","OWordBreak","wordSpacing","MozWordSpacing","WebkitWordSpacing","MSWordSpacing","OWordSpacing","wordWrap","MozWordWrap","WebkitWordWrap","MSWordWrap","OWordWrap","writingMode","MozWritingMode","WebkitWritingMode","MSWritingMode","OWritingMode","zIndex","MozZIndex","WebkitZIndex","MSZIndex","OZIndex","fontSize","MozFontSize","WebkitFontSize","MSFontSize","OFontSize","flex","MozFlex","WebkitFlex","MSFlex","OFlex","fr","MozFr","WebkitFr","MSFr","OFr","overflowScrolling","MozOverflowScrolling","WebkitOverflowScrolling","MSOverflowScrolling","OOverflowScrolling","userSelect","MozUserSelect","WebkitUserSelect","MSUserSelect","OUserSelect"]},25473:(e,t,n)=>{var r=n(2787),i=n(13980);e.exports=function(e,t,n){var i=e[t];if(i){var o=[];if(Object.keys(i).forEach((function(e){-1===r.indexOf(e)&&o.push(e)})),o.length)throw new Error("Prop "+t+" passed to "+n+". Has invalid keys "+o.join(", "))}},e.exports.isRequired=function(t,n,r){if(!t[n])throw new Error("Prop "+n+" passed to "+r+" is required");return e.exports(t,n,r)},e.exports.supportingArrays=i.oneOfType([i.arrayOf(e.exports),e.exports])},75465:(e,t,n)=>{var r=n(2784),i="undefined"==typeof window?{show:function(){},hide:function(){},config:function(){}}:n(62489),o=0,a=function(e){return e.topbar||i};function s(e){return r.useEffect((function(){return 0===o&&a(e).show(),o++,function(){0==--o&&a(e).hide()}}),[]),null}s.config=i.config,e.exports=s},61377:(e,t)=>{"use strict";var n=Symbol.for("react.element"),r=Symbol.for("react.portal"),i=Symbol.for("react.fragment"),o=Symbol.for("react.strict_mode"),a=Symbol.for("react.profiler"),s=Symbol.for("react.provider"),l=Symbol.for("react.context"),u=Symbol.for("react.server_context"),c=Symbol.for("react.forward_ref"),d=Symbol.for("react.suspense"),p=Symbol.for("react.suspense_list"),f=Symbol.for("react.memo"),h=Symbol.for("react.lazy");Symbol.for("react.offscreen");Symbol.for("react.module.reference"),t.isForwardRef=function(e){return function(e){if("object"==typeof e&&null!==e){var t=e.$$typeof;switch(t){case n:switch(e=e.type){case i:case a:case o:case d:case p:return e;default:switch(e=e&&e.$$typeof){case u:case l:case c:case h:case f:case s:return e;default:return t}}case r:return t}}}(e)===c}},94237:(e,t,n)=>{"use strict";e.exports=n(61377)},11837:(e,t,n)=>{"use strict";var r=n(2784),i=Symbol.for("react.element"),o=(Symbol.for("react.fragment"),Object.prototype.hasOwnProperty),a=r.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED.ReactCurrentOwner,s={key:!0,ref:!0,__self:!0,__source:!0};t.jsx=function(e,t,n){var r,l={},u=null,c=null;for(r in void 0!==n&&(u=""+n),void 0!==t.key&&(u=""+t.key),void 0!==t.ref&&(c=t.ref),t)o.call(t,r)&&!s.hasOwnProperty(r)&&(l[r]=t[r]);if(e&&e.defaultProps)for(r in t=e.defaultProps)void 0===l[r]&&(l[r]=t[r]);return{$$typeof:i,type:e,key:u,ref:c,props:l,_owner:a.current}}},83426:(e,t)=>{"use strict";var n=Symbol.for("react.element"),r=Symbol.for("react.portal"),i=Symbol.for("react.fragment"),o=Symbol.for("react.strict_mode"),a=Symbol.for("react.profiler"),s=Symbol.for("react.provider"),l=Symbol.for("react.context"),u=Symbol.for("react.forward_ref"),c=Symbol.for("react.suspense"),d=Symbol.for("react.memo"),p=Symbol.for("react.lazy"),f=Symbol.iterator,h={isMounted:function(){return!1},enqueueForceUpdate:function(){},enqueueReplaceState:function(){},enqueueSetState:function(){}},m=Object.assign,g={};function v(e,t,n){this.props=e,this.context=t,this.refs=g,this.updater=n||h}function y(){}function b(e,t,n){this.props=e,this.context=t,this.refs=g,this.updater=n||h}v.prototype.isReactComponent={},v.prototype.setState=function(e,t){if("object"!=typeof e&&"function"!=typeof e&&null!=e)throw Error("setState(...): takes an object of state variables to update or a function which returns an object of state variables.");this.updater.enqueueSetState(this,e,t,"setState")},v.prototype.forceUpdate=function(e){this.updater.enqueueForceUpdate(this,e,"forceUpdate")},y.prototype=v.prototype;var w=b.prototype=new y;w.constructor=b,m(w,v.prototype),w.isPureReactComponent=!0;var _=Array.isArray,x=Object.prototype.hasOwnProperty,k={current:null},E={key:!0,ref:!0,__self:!0,__source:!0};function C(e,t,r){var i,o={},a=null,s=null;if(null!=t)for(i in void 0!==t.ref&&(s=t.ref),void 0!==t.key&&(a=""+t.key),t)x.call(t,i)&&!E.hasOwnProperty(i)&&(o[i]=t[i]);var l=arguments.length-2;if(1===l)o.children=r;else if(1<l){for(var u=Array(l),c=0;c<l;c++)u[c]=arguments[c+2];o.children=u}if(e&&e.defaultProps)for(i in l=e.defaultProps)void 0===o[i]&&(o[i]=l[i]);return{$$typeof:n,type:e,key:a,ref:s,props:o,_owner:k.current}}function S(e){return"object"==typeof e&&null!==e&&e.$$typeof===n}var A=/\/+/g;function T(e,t){return"object"==typeof e&&null!==e&&null!=e.key?function(e){var t={"=":"=0",":":"=2"};return"$"+e.replace(/[=:]/g,(function(e){return t[e]}))}(""+e.key):t.toString(36)}function O(e,t,i,o,a){var s=typeof e;"undefined"!==s&&"boolean"!==s||(e=null);var l=!1;if(null===e)l=!0;else switch(s){case"string":case"number":l=!0;break;case"object":switch(e.$$typeof){case n:case r:l=!0}}if(l)return a=a(l=e),e=""===o?"."+T(l,0):o,_(a)?(i="",null!=e&&(i=e.replace(A,"$&/")+"/"),O(a,t,i,"",(function(e){return e}))):null!=a&&(S(a)&&(a=function(e,t){return{$$typeof:n,type:e.type,key:t,ref:e.ref,props:e.props,_owner:e._owner}}(a,i+(!a.key||l&&l.key===a.key?"":(""+a.key).replace(A,"$&/")+"/")+e)),t.push(a)),1;if(l=0,o=""===o?".":o+":",_(e))for(var u=0;u<e.length;u++){var c=o+T(s=e[u],u);l+=O(s,t,i,c,a)}else if(c=function(e){return null===e||"object"!=typeof e?null:"function"==typeof(e=f&&e[f]||e["@@iterator"])?e:null}(e),"function"==typeof c)for(e=c.call(e),u=0;!(s=e.next()).done;)l+=O(s=s.value,t,i,c=o+T(s,u++),a);else if("object"===s)throw t=String(e),Error("Objects are not valid as a React child (found: "+("[object Object]"===t?"object with keys {"+Object.keys(e).join(", ")+"}":t)+"). If you meant to render a collection of children, use an array instead.");return l}function P(e,t,n){if(null==e)return e;var r=[],i=0;return O(e,r,"","",(function(e){return t.call(n,e,i++)})),r}function D(e){if(-1===e._status){var t=e._result;(t=t()).then((function(t){0!==e._status&&-1!==e._status||(e._status=1,e._result=t)}),(function(t){0!==e._status&&-1!==e._status||(e._status=2,e._result=t)})),-1===e._status&&(e._status=0,e._result=t)}if(1===e._status)return e._result.default;throw e._result}var R={current:null},I={transition:null},M={ReactCurrentDispatcher:R,ReactCurrentBatchConfig:I,ReactCurrentOwner:k};t.Children={map:P,forEach:function(e,t,n){P(e,(function(){t.apply(this,arguments)}),n)},count:function(e){var t=0;return P(e,(function(){t++})),t},toArray:function(e){return P(e,(function(e){return e}))||[]},only:function(e){if(!S(e))throw Error("React.Children.only expected to receive a single React element child.");return e}},t.Component=v,t.Fragment=i,t.Profiler=a,t.PureComponent=b,t.StrictMode=o,t.Suspense=c,t.__SECRET_INTERNALS_DO_NOT_USE_OR_YOU_WILL_BE_FIRED=M,t.cloneElement=function(e,t,r){if(null==e)throw Error("React.cloneElement(...): The argument must be a React element, but you passed "+e+".");var i=m({},e.props),o=e.key,a=e.ref,s=e._owner;if(null!=t){if(void 0!==t.ref&&(a=t.ref,s=k.current),void 0!==t.key&&(o=""+t.key),e.type&&e.type.defaultProps)var l=e.type.defaultProps;for(u in t)x.call(t,u)&&!E.hasOwnProperty(u)&&(i[u]=void 0===t[u]&&void 0!==l?l[u]:t[u])}var u=arguments.length-2;if(1===u)i.children=r;else if(1<u){l=Array(u);for(var c=0;c<u;c++)l[c]=arguments[c+2];i.children=l}return{$$typeof:n,type:e.type,key:o,ref:a,props:i,_owner:s}},t.createContext=function(e){return(e={$$typeof:l,_currentValue:e,_currentValue2:e,_threadCount:0,Provider:null,Consumer:null,_defaultValue:null,_globalName:null}).Provider={$$typeof:s,_context:e},e.Consumer=e},t.createElement=C,t.createFactory=function(e){var t=C.bind(null,e);return t.type=e,t},t.createRef=function(){return{current:null}},t.forwardRef=function(e){return{$$typeof:u,render:e}},t.isValidElement=S,t.lazy=function(e){return{$$typeof:p,_payload:{_status:-1,_result:e},_init:D}},t.memo=function(e,t){return{$$typeof:d,type:e,compare:void 0===t?null:t}},t.startTransition=function(e){var t=I.transition;I.transition={};try{e()}finally{I.transition=t}},t.unstable_act=function(){throw Error("act(...) is not supported in production builds of React.")},t.useCallback=function(e,t){return R.current.useCallback(e,t)},t.useContext=function(e){return R.current.useContext(e)},t.useDebugValue=function(){},t.useDeferredValue=function(e){return R.current.useDeferredValue(e)},t.useEffect=function(e,t){return R.current.useEffect(e,t)},t.useId=function(){return R.current.useId()},t.useImperativeHandle=function(e,t,n){return R.current.useImperativeHandle(e,t,n)},t.useInsertionEffect=function(e,t){return R.current.useInsertionEffect(e,t)},t.useLayoutEffect=function(e,t){return R.current.useLayoutEffect(e,t)},t.useMemo=function(e,t){return R.current.useMemo(e,t)},t.useReducer=function(e,t,n){return R.current.useReducer(e,t,n)},t.useRef=function(e){return R.current.useRef(e)},t.useState=function(e){return R.current.useState(e)},t.useSyncExternalStore=function(e,t,n){return R.current.useSyncExternalStore(e,t,n)},t.useTransition=function(){return R.current.useTransition()},t.version="18.2.0"},2784:(e,t,n)=>{"use strict";e.exports=n(83426)},52322:(e,t,n)=>{"use strict";e.exports=n(11837)},81167:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.autoprefix=void 0;var r,i=(r=n(15253))&&r.__esModule?r:{default:r},o=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},a={borderRadius:function(e){return{msBorderRadius:e,MozBorderRadius:e,OBorderRadius:e,WebkitBorderRadius:e,borderRadius:e}},boxShadow:function(e){return{msBoxShadow:e,MozBoxShadow:e,OBoxShadow:e,WebkitBoxShadow:e,boxShadow:e}},userSelect:function(e){return{WebkitTouchCallout:e,KhtmlUserSelect:e,MozUserSelect:e,msUserSelect:e,WebkitUserSelect:e,userSelect:e}},flex:function(e){return{WebkitBoxFlex:e,MozBoxFlex:e,WebkitFlex:e,msFlex:e,flex:e}},flexBasis:function(e){return{WebkitFlexBasis:e,flexBasis:e}},justifyContent:function(e){return{WebkitJustifyContent:e,justifyContent:e}},transition:function(e){return{msTransition:e,MozTransition:e,OTransition:e,WebkitTransition:e,transition:e}},transform:function(e){return{msTransform:e,MozTransform:e,OTransform:e,WebkitTransform:e,transform:e}},absolute:function(e){var t=e&&e.split(" ");return{position:"absolute",top:t&&t[0],right:t&&t[1],bottom:t&&t[2],left:t&&t[3]}},extend:function(e,t){return t[e]||{extend:e}}},s=t.autoprefix=function(e){var t={};return(0,i.default)(e,(function(e,n){var r={};(0,i.default)(e,(function(e,t){var n=a[t];n?r=o({},r,n(e)):r[t]=e})),t[n]=r})),t};t.default=s},72523:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.active=void 0;var r,i=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},o=(r=n(2784))&&r.__esModule?r:{default:r};function a(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var s=t.active=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"span";return function(n){function r(){var n,s,l;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,r);for(var u=arguments.length,c=Array(u),d=0;d<u;d++)c[d]=arguments[d];return s=l=a(this,(n=r.__proto__||Object.getPrototypeOf(r)).call.apply(n,[this].concat(c))),l.state={active:!1},l.handleMouseDown=function(){return l.setState({active:!0})},l.handleMouseUp=function(){return l.setState({active:!1})},l.render=function(){return o.default.createElement(t,{onMouseDown:l.handleMouseDown,onMouseUp:l.handleMouseUp},o.default.createElement(e,i({},l.props,l.state)))},a(l,s)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(r,n),r}(o.default.Component)};t.default=s},92106:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.hover=void 0;var r,i=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},o=(r=n(2784))&&r.__esModule?r:{default:r};function a(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var s=t.hover=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"span";return function(n){function r(){var n,s,l;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,r);for(var u=arguments.length,c=Array(u),d=0;d<u;d++)c[d]=arguments[d];return s=l=a(this,(n=r.__proto__||Object.getPrototypeOf(r)).call.apply(n,[this].concat(c))),l.state={hover:!1},l.handleMouseOver=function(){return l.setState({hover:!0})},l.handleMouseOut=function(){return l.setState({hover:!1})},l.render=function(){return o.default.createElement(t,{onMouseOver:l.handleMouseOver,onMouseOut:l.handleMouseOut},o.default.createElement(e,i({},l.props,l.state)))},a(l,s)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(r,n),r}(o.default.Component)};t.default=s},30378:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.flattenNames=void 0;var r=s(n(85505)),i=s(n(15253)),o=s(n(97030)),a=s(n(16760));function s(e){return e&&e.__esModule?e:{default:e}}var l=t.flattenNames=function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:[],n=[];return(0,a.default)(t,(function(t){Array.isArray(t)?e(t).map((function(e){return n.push(e)})):(0,o.default)(t)?(0,i.default)(t,(function(e,t){!0===e&&n.push(t),n.push(t+"-"+e)})):(0,r.default)(t)&&n.push(t)})),n};t.default=l},7151:(e,t,n)=>{"use strict";t.tz=void 0;var r=u(n(30378)),i=u(n(87214)),o=u(n(81167)),a=u(n(92106)),s=u(n(72523)),l=u(n(76983));function u(e){return e&&e.__esModule?e:{default:e}}a.default,t.tz=a.default,s.default,l.default;t.ZP=function(e){for(var t=arguments.length,n=Array(t>1?t-1:0),a=1;a<t;a++)n[a-1]=arguments[a];var s=(0,r.default)(n),l=(0,i.default)(e,s);return(0,o.default)(l)}},76983:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e,t){var n={},r=function(e){var t=!(arguments.length>1&&void 0!==arguments[1])||arguments[1];n[e]=t};return 0===e&&r("first-child"),e===t-1&&r("last-child"),(0===e||e%2==0)&&r("even"),1===Math.abs(e%2)&&r("odd"),r("nth-child",e),n}},87214:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.mergeClasses=void 0;var r=a(n(15253)),i=a(n(9850)),o=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e};function a(e){return e&&e.__esModule?e:{default:e}}var s=t.mergeClasses=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:[],n=e.default&&(0,i.default)(e.default)||{};return t.map((function(t){var i=e[t];return i&&(0,r.default)(i,(function(e,t){n[t]||(n[t]={}),n[t]=o({},n[t],i[t])})),t})),n};t.default=s},58267:(e,t,n)=>{"use strict";var r=n(74081).qC;t.Uo="undefined"!=typeof window&&window.__REDUX_DEVTOOLS_EXTENSION_COMPOSE__?window.__REDUX_DEVTOOLS_EXTENSION_COMPOSE__:function(){if(0!==arguments.length)return"object"==typeof arguments[0]?r:r.apply(null,arguments)},"undefined"!=typeof window&&window.__REDUX_DEVTOOLS_EXTENSION__&&window.__REDUX_DEVTOOLS_EXTENSION__},74081:(e,t,n)=>{"use strict";n.d(t,{md:()=>m,DE:()=>f,UY:()=>d,qC:()=>h,MT:()=>c});var r=n(56666);function i(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function o(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?i(Object(n),!0).forEach((function(t){(0,r.Z)(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):i(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function a(e){return"Minified Redux error #"+e+"; visit https://redux.js.org/Errors?code="+e+" for the full message or use the non-minified dev environment for full errors. "}var s="function"==typeof Symbol&&Symbol.observable||"@@observable",l=function(){return Math.random().toString(36).substring(7).split("").join(".")},u={INIT:"@@redux/INIT"+l(),REPLACE:"@@redux/REPLACE"+l(),PROBE_UNKNOWN_ACTION:function(){return"@@redux/PROBE_UNKNOWN_ACTION"+l()}};function c(e,t,n){var r;if("function"==typeof t&&"function"==typeof n||"function"==typeof n&&"function"==typeof arguments[3])throw new Error(a(0));if("function"==typeof t&&void 0===n&&(n=t,t=void 0),void 0!==n){if("function"!=typeof n)throw new Error(a(1));return n(c)(e,t)}if("function"!=typeof e)throw new Error(a(2));var i=e,o=t,l=[],d=l,p=!1;function f(){d===l&&(d=l.slice())}function h(){if(p)throw new Error(a(3));return o}function m(e){if("function"!=typeof e)throw new Error(a(4));if(p)throw new Error(a(5));var t=!0;return f(),d.push(e),function(){if(t){if(p)throw new Error(a(6));t=!1,f();var n=d.indexOf(e);d.splice(n,1),l=null}}}function g(e){if(!function(e){if("object"!=typeof e||null===e)return!1;for(var t=e;null!==Object.getPrototypeOf(t);)t=Object.getPrototypeOf(t);return Object.getPrototypeOf(e)===t}(e))throw new Error(a(7));if(void 0===e.type)throw new Error(a(8));if(p)throw new Error(a(9));try{p=!0,o=i(o,e)}finally{p=!1}for(var t=l=d,n=0;n<t.length;n++)(0,t[n])();return e}return g({type:u.INIT}),(r={dispatch:g,subscribe:m,getState:h,replaceReducer:function(e){if("function"!=typeof e)throw new Error(a(10));i=e,g({type:u.REPLACE})}})[s]=function(){var e,t=m;return(e={subscribe:function(e){if("object"!=typeof e||null===e)throw new Error(a(11));function n(){e.next&&e.next(h())}return n(),{unsubscribe:t(n)}}})[s]=function(){return this},e},r}function d(e){for(var t=Object.keys(e),n={},r=0;r<t.length;r++){var i=t[r];"function"==typeof e[i]&&(n[i]=e[i])}var o,s=Object.keys(n);try{!function(e){Object.keys(e).forEach((function(t){var n=e[t];if(void 0===n(void 0,{type:u.INIT}))throw new Error(a(12));if(void 0===n(void 0,{type:u.PROBE_UNKNOWN_ACTION()}))throw new Error(a(13))}))}(n)}catch(e){o=e}return function(e,t){if(void 0===e&&(e={}),o)throw o;for(var r=!1,i={},l=0;l<s.length;l++){var u=s[l],c=n[u],d=e[u],p=c(d,t);if(void 0===p)throw t&&t.type,new Error(a(14));i[u]=p,r=r||p!==d}return(r=r||s.length!==Object.keys(e).length)?i:e}}function p(e,t){return function(){return t(e.apply(this,arguments))}}function f(e,t){if("function"==typeof e)return p(e,t);if("object"!=typeof e||null===e)throw new Error(a(16));var n={};for(var r in e){var i=e[r];"function"==typeof i&&(n[r]=p(i,t))}return n}function h(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return 0===t.length?function(e){return e}:1===t.length?t[0]:t.reduce((function(e,t){return function(){return e(t.apply(void 0,arguments))}}))}function m(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return function(e){return function(){var n=e.apply(void 0,arguments),r=function(){throw new Error(a(15))},i={getState:n.getState,dispatch:function(){return r.apply(void 0,arguments)}},s=t.map((function(e){return e(i)}));return r=h.apply(void 0,s)(n.dispatch),o(o({},n),{},{dispatch:r})}}}},90682:e=>{e.exports=["br","wbr","li","table","caption","colgroup","col","thead","tbody","tfoot","tr","td","th","summary","optgroup","option","html","head","body","address","blockquote","center","dialog","div","figure","figcaption","footer","form","header","hr","legend","listing","main","p","plaintext","pre","xmp","article","aside","h1","h2","h3","h4","h5","h6","hgroup","nav","section","dir","dd","dl","dt","menu","ol","ul","li","th","td"]},3178:e=>{e.exports=["button","input","select","textarea"]},26653:(e,t,n)=>{"use strict";var r=n(85909),i=n(34713),o=n(2547),a=n(67273),s=n(90682),l=n(3178),u=n(52827);e.exports=function(e){var t,n=(t=(e||{}).newlines?x:k,function(e){return String(e).replace(/[\t\n\v\f\r ]+/g,t)});return function(e){m(e,{collapse:n,whitespace:"normal"})}};var c=o(["doctype","comment"]),d=o(["element","root"]),p=o(["root"]),f=o(["element"]),h=o(["text"]);function m(e,t){var n;if(d(e))return n=Object.assign({},t),(p(e)||b(e))&&(n.before=!0,n.after=!0),n.whitespace=function(e,t){var n=e.properties||{};switch(e.tagName){case"listing":case"plaintext":case"xmp":return"pre";case"nobr":return"nowrap";case"pre":return n.wrap?"pre-wrap":"pre";case"td":case"th":return n.noWrap?"nowrap":t.whitespace;case"textarea":return"pre-wrap";default:return t.whitespace}}(e,t),function(e,t){for(var n,r=t.before,i=t.after,o=e.children,a=o.length,s=-1;++s<a;)(n=m(o[s],Object.assign({},t,{before:r,after:g(o,s,i)}))).remove?(o.splice(s,1),s--,a--):n.ignore||(r=n.stripAtStart),y(o[s])&&(r=!1);return{remove:!1,ignore:!1,stripAtStart:r||i}}(e,n);if(h(e)){if("normal"===t.whitespace)return function(e,t){var n=t.collapse(e.value),r=0,i=n.length,o={remove:!1,ignore:!1,stripAtStart:!1};return t.before&&_(n.charAt(0))&&r++,r!==i&&_(n.charAt(i-1))&&(t.after?i--:o.stripAtStart=!0),r===i?o.remove=!0:e.value=n.slice(r,i),o}(e,t);"nowrap"===t.whitespace&&(e.value=t.collapse(e.value))}return{remove:!1,ignore:c(e),stripAtStart:!1}}function g(e,t,n){for(var r,i,o=e.length;++t<o;)if(void 0===(i=v(r=e[t]))&&r.children&&!w(r)&&(i=g(r.children,-1)),"boolean"==typeof i)return i;return n}function v(e){if(f(e)){if(y(e))return!1;if(b(e))return!0}else if(h(e)){if(!a(e))return!1}else if(!c(e))return!1}function y(e){return i(e)||r(e,l)}function b(e){return r(e,s)}function w(e){var t=e.properties||{};return c(e)||r(e,u)||t.hidden}function _(e){return" "===e||"\n"===e}function x(e){var t=/\r?\n|\r/.exec(e);return t?t[0]:" "}function k(){return" "}},52827:e=>{e.exports=["area","base","basefont","dialog","datalist","head","link","meta","noembed","noframes","param","rp","script","source","style","template","track","title"]},52824:(e,t,n)=>{"use strict";var r=n(19776),i=n(68834),o=n(51960),a=n(99026),s={2:!0,1:!1,0:null};function l(e){return e.charAt(1).toUpperCase()}e.exports=function(e){var t=o(e,this.data("settings")),n=t.position;n="boolean"!=typeof n||n,this.Parser=function(e,o){var u=t.fragment?"parseFragment":"parse",c=t.emitParseErrors?function(n){var r,i,u=n.code,c=u.replace(/-[a-z]/g,l),d=t[c],p=null==d||d,f="number"==typeof p?p:p?1:0,h={line:n.startLine,column:n.startCol,offset:n.startOffset},m={line:n.endLine,column:n.endCol,offset:n.endOffset};function g(e){return e.replace(/%c(?:-(\d+))?/g,v).replace(/%x/g,y)}function v(t,r){var i=r?-parseInt(r,10):0,o=e.charAt(n.startOffset+i);return"`"===o?"` ` `":o}function y(){return"0x"+e.charCodeAt(n.startOffset).toString(16).toUpperCase()}f&&(r=a[c]||{reason:"",description:""},(i=o.message(g(r.reason),{start:h,end:m})).source="parse-error",i.ruleId=u,i.fatal=s[f],i.note=g(r.description),i.url=!1===r.url?null:"https://html.spec.whatwg.org/multipage/parsing.html#parse-error-"+u)}:null,d=new i({sourceCodeLocationInfo:n,onParseError:c,scriptingEnabled:!1});return r(d[u](e),{space:t.space,file:o,verbose:t.verbose})}}},62100:(e,t,n)=>{"use strict";var r=n(51389);e.exports=function(e,t){var n,i;return"function"==typeof e?(i=e,n=t||{}):n=e||{},void 0!==n.document&&null!==n.document||(n=Object.assign({},n,{document:!0})),i?function(e,t){return function(n,i,o){e.run(r(n,t),i,(function(e){o(e)}))}}(i,n):function(e){return function(t){return r(t,e)}}(n)}},40940:(e,t,n)=>{"use strict";var r,i=n(53977),o=n(69242),a=n(73965);e.exports=function(e){var t=this.data();function n(e,n){t[e]?t[e].push(n):t[e]=[n]}!r&&(this.Parser&&this.Parser.prototype&&this.Parser.prototype.blockTokenizers||this.Compiler&&this.Compiler.prototype&&this.Compiler.prototype.visitors)&&(r=!0,console.warn("[remark-gfm] Warning: please upgrade to remark 13 to use this plugin")),n("micromarkExtensions",i(e)),n("fromMarkdownExtensions",o),n("toMarkdownExtensions",a(e))}},98431:(e,t,n)=>{"use strict";var r=n(30603),i=n(51960),o=n(49879);function a(e){var t=this.data("settings"),n=r(o);n.prototype.options=i(n.prototype.options,t,e),this.Parser=n}e.exports=a,a.Parser=o},86261:e=>{"use strict";e.exports=["address","article","aside","base","basefont","blockquote","body","caption","center","col","colgroup","dd","details","dialog","dir","div","dl","dt","fieldset","figcaption","figure","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","iframe","legend","li","link","main","menu","menuitem","meta","nav","noframes","ol","optgroup","option","p","param","pre","section","source","title","summary","table","tbody","td","tfoot","th","thead","title","tr","track","ul"]},35943:(e,t,n)=>{"use strict";var r=n(51960),i=n(70930);e.exports=function(e){return n.raw=function(e,n,a){return i(e,r(a,{position:t(n),warning:o}))},n;function t(t){for(var n=e.offset,r=t.line,i=[];++r&&r in n;)i.push((n[r]||0)+1);return{start:t,indent:i}}function n(n,r,a){i(n,{position:t(r),warning:o,text:a,reference:a,textContext:e,referenceContext:e})}function o(t,n,r){3!==r&&e.file.message(t,n)}}},87732:(e,t,n)=>{"use strict";e.exports={position:!0,gfm:!0,commonmark:!1,footnotes:!1,pedantic:!1,blocks:n(86261)}},76715:e=>{"use strict";e.exports=function(e,t){for(var n=e.indexOf("\n",t);n>t&&" "===e.charAt(n-1);)n--;return n}},15331:e=>{"use strict";e.exports=function(e,t){return e.indexOf("`",t)}},47315:e=>{"use strict";e.exports=function(e,t){return e.indexOf("~~",t)}},85946:e=>{"use strict";e.exports=function(e,t){var n=e.indexOf("*",t),r=e.indexOf("_",t);return-1===r?n:-1===n||r<n?r:n}},38932:e=>{"use strict";e.exports=function(e,t){return e.indexOf("\\",t)}},88906:e=>{"use strict";e.exports=function(e,t){var n=e.indexOf("[",t),r=e.indexOf("![",t);return-1===r||n<r?n:r}},73251:e=>{"use strict";e.exports=function(e,t){var n=e.indexOf("**",t),r=e.indexOf("__",t);return-1===r?n:-1===n||r<n?r:n}},20309:e=>{"use strict";e.exports=function(e,t){return e.indexOf("<",t)}},38693:e=>{"use strict";e.exports=function(e,n){var r,i=t.length,o=-1,a=-1;if(!this.options.gfm)return-1;for(;++o<i;)-1!==(r=e.indexOf(t[o],n))&&(r<a||-1===a)&&(a=r);return a};var t=["https://","http://","mailto:"]},10665:(e,t,n)=>{"use strict";var r=n(51960),i=n(5281);e.exports=function(){var e,t=this,n=String(t.file),s={line:1,column:1,offset:0},l=r(s);return 65279===(n=n.replace(a,o)).charCodeAt(0)&&(n=n.slice(1),l.column++,l.offset++),e={type:"root",children:t.tokenizeBlock(n,l),position:{start:s,end:t.eof||r(s)}},t.options.position||i(e,!0),e};var o="\n",a=/\r\n|\r/g},49879:(e,t,n)=>{"use strict";var r=n(51960),i=n(82344),o=n(31982),a=n(92084),s=n(35943),l=n(9223);function u(e,t){this.file=t,this.offset={},this.options=r(this.options),this.setOptions({}),this.inList=!1,this.inBlock=!1,this.inLink=!1,this.atStart=!0,this.toOffset=o(t).toOffset,this.unescape=a(this,"escape"),this.decode=s(this)}e.exports=u;var c=u.prototype;function d(e){var t,n=[];for(t in e)n.push(t);return n}c.setOptions=n(92375),c.parse=n(10665),c.options=n(87732),c.exitStart=i("atStart",!0),c.enterList=i("inList",!1),c.enterLink=i("inLink",!1),c.enterBlock=i("inBlock",!1),c.interruptParagraph=[["thematicBreak"],["atxHeading"],["fencedCode"],["blockquote"],["html"],["setextHeading",{commonmark:!1}],["definition",{commonmark:!1}],["footnote",{commonmark:!1}]],c.interruptList=[["atxHeading",{pedantic:!1}],["fencedCode",{pedantic:!1}],["thematicBreak",{pedantic:!1}],["definition",{commonmark:!1}],["footnote",{commonmark:!1}]],c.interruptBlockquote=[["indentedCode",{commonmark:!0}],["fencedCode",{commonmark:!0}],["atxHeading",{commonmark:!0}],["setextHeading",{commonmark:!0}],["thematicBreak",{commonmark:!0}],["html",{commonmark:!0}],["list",{commonmark:!0}],["definition",{commonmark:!1}],["footnote",{commonmark:!1}]],c.blockTokenizers={newline:n(79413),indentedCode:n(43180),fencedCode:n(97547),blockquote:n(11756),atxHeading:n(56857),thematicBreak:n(16050),list:n(18356),setextHeading:n(45418),html:n(37660),footnote:n(74266),definition:n(42818),table:n(19054),paragraph:n(31409)},c.inlineTokenizers={escape:n(87597),autoLink:n(26572),url:n(76899),html:n(27659),link:n(22541),reference:n(2196),strong:n(26033),emphasis:n(72504),deletion:n(78931),code:n(90332),break:n(22230),text:n(67510)},c.blockMethods=d(c.blockTokenizers),c.inlineMethods=d(c.inlineTokenizers),c.tokenizeBlock=l("block"),c.tokenizeInline=l("inline"),c.tokenizeFactory=l},92375:(e,t,n)=>{"use strict";var r=n(51960),i=n(4608),o=n(87732);e.exports=function(e){var t,n,a=this,s=a.options;if(null==e)e={};else{if("object"!=typeof e)throw new Error("Invalid value `"+e+"` for setting `options`");e=r(e)}for(t in o){if(null==(n=e[t])&&(n=s[t]),"blocks"!==t&&"boolean"!=typeof n||"blocks"===t&&"object"!=typeof n)throw new Error("Invalid value `"+n+"` for setting `options."+t+"`");e[t]=n}return a.options=e,a.escape=i(e),a}},26572:(e,t,n)=>{"use strict";var r=n(74898),i=n(70930),o=n(20309);e.exports=p,p.locator=o,p.notInLink=!0;var a="<",s=">",l="@",u="/",c="mailto:",d=c.length;function p(e,t,n){var o,p,f,h,m,g=this,v="",y=t.length,b=0,w="",_=!1,x="";if(t.charAt(0)===a){for(b++,v=a;b<y&&(o=t.charAt(b),!(r(o)||o===s||o===l||":"===o&&t.charAt(b+1)===u));)w+=o,b++;if(w){if(x+=w,w="",x+=o=t.charAt(b),b++,o===l)_=!0;else{if(":"!==o||t.charAt(b+1)!==u)return;x+=u,b++}for(;b<y&&(o=t.charAt(b),!r(o)&&o!==s);)w+=o,b++;if(o=t.charAt(b),w&&o===s)return!!n||(f=x+=w,v+=x+o,(p=e.now()).column++,p.offset++,_&&(x.slice(0,d).toLowerCase()===c?(f=f.substr(d),p.column+=d,p.offset+=d):x=c+x),h=g.inlineTokenizers,g.inlineTokenizers={text:h.text},m=g.enterLink(),f=g.tokenizeInline(f,p),g.inlineTokenizers=h,m(),e(v)({type:"link",title:null,url:i(x,{nonTerminated:!1}),children:f}))}}}},11756:(e,t,n)=>{"use strict";var r=n(50311),i=n(14538);e.exports=function(e,t,n){for(var u,c,d,p,f,h,m,g,v,y=this,b=y.offset,w=y.blockTokenizers,_=y.interruptBlockquote,x=e.now(),k=x.line,E=t.length,C=[],S=[],A=[],T=0;T<E&&((c=t.charAt(T))===s||c===a);)T++;if(t.charAt(T)===l){if(n)return!0;for(T=0;T<E;){for(m=T,g=!1,-1===(p=t.indexOf(o,T))&&(p=E);T<E&&((c=t.charAt(T))===s||c===a);)T++;if(t.charAt(T)===l?(T++,g=!0,t.charAt(T)===s&&T++):T=m,f=t.slice(T,p),!g&&!r(f)){T=m;break}if(!g&&(d=t.slice(T),i(_,w,y,[e,d,!0])))break;h=m===T?f:t.slice(m,p),A.push(T-m),C.push(h),S.push(f),T=p+1}for(T=-1,E=A.length,u=e(C.join(o));++T<E;)b[k]=(b[k]||0)+A[T],k++;return v=y.enterBlock(),S=y.tokenizeBlock(S.join(o),x),v(),u({type:"blockquote",children:S})}};var o="\n",a="\t",s=" ",l=">"},22230:(e,t,n)=>{"use strict";var r=n(76715);e.exports=s,s.locator=r;var i=" ",o="\n",a=2;function s(e,t,n){for(var r,s=t.length,l=-1,u="";++l<s;){if((r=t.charAt(l))===o){if(l<a)return;return!!n||e(u+=r)({type:"break"})}if(r!==i)return;u+=r}}},97547:(e,t,n)=>{"use strict";var r=n(67876);e.exports=function(e,t,n){var d,p,f,h,m,g,v,y,b,w,_,x,k,E=this,C=E.options.gfm,S=t.length+1,A=0,T="";if(C){for(;A<S&&((f=t.charAt(A))===a||f===o);)T+=f,A++;if(x=A,(f=t.charAt(A))===s||f===l){for(A++,p=f,d=1,T+=f;A<S&&(f=t.charAt(A))===p;)T+=f,d++,A++;if(!(d<u)){for(;A<S&&((f=t.charAt(A))===a||f===o);)T+=f,A++;for(h="",v="";A<S&&(f=t.charAt(A))!==i&&f!==s&&f!==l;)f===a||f===o?v+=f:(h+=v+f,v=""),A++;if(!(f=t.charAt(A))||f===i){if(n)return!0;for((k=e.now()).column+=T.length,k.offset+=T.length,T+=h,h=E.decode.raw(E.unescape(h),k),v&&(T+=v),v="",w="",_="",y="",b="";A<S;)if(y+=w,b+=_,w="",_="",(f=t.charAt(A))===i){for(y?(w+=f,_+=f):T+=f,v="",A++;A<S&&(f=t.charAt(A))===a;)v+=f,A++;if(w+=v,_+=v.slice(x),!(v.length>=c)){for(v="";A<S&&(f=t.charAt(A))===p;)v+=f,A++;if(w+=v,_+=v,!(v.length<d)){for(v="";A<S&&((f=t.charAt(A))===a||f===o);)w+=f,_+=f,A++;if(!f||f===i)break}}}else y+=f,_+=f,A++;for(T+=y+w,A=-1,S=h.length;++A<S;)if((f=h.charAt(A))===a||f===o)m||(m=h.slice(0,A));else if(m){g=h.slice(A);break}return e(T)({type:"code",lang:m||h||null,meta:g||null,value:r(b)})}}}}};var i="\n",o="\t",a=" ",s="~",l="`",u=3,c=4},43180:(e,t,n)=>{"use strict";var r=n(34076),i=n(67876);e.exports=function(e,t,n){for(var r,u,c,d=-1,p=t.length,f="",h="",m="",g="";++d<p;)if(r=t.charAt(d),c)if(c=!1,f+=m,h+=g,m="",g="",r===o)m=r,g=r;else for(f+=r,h+=r;++d<p;){if(!(r=t.charAt(d))||r===o){g=r,m=r;break}f+=r,h+=r}else if(r===s&&t.charAt(d+1)===r&&t.charAt(d+2)===r&&t.charAt(d+3)===r)m+=l,d+=3,c=!0;else if(r===a)m+=r,c=!0;else{for(u="";r===a||r===s;)u+=r,r=t.charAt(++d);if(r!==o)break;m+=u+r,g+=r}if(h)return!!n||e(f)({type:"code",lang:null,meta:null,value:i(h)})};var o="\n",a="\t",s=" ",l=r(s,4)},90332:(e,t,n)=>{"use strict";var r=n(74898),i=n(15331);e.exports=a,a.locator=i;var o="`";function a(e,t,n){for(var i,a,s,l,u,c,d,p,f=t.length,h=0,m="",g="";h<f&&t.charAt(h)===o;)m+=o,h++;if(m){for(u=m,l=h,m="",p=t.charAt(h),s=0;h<f;){if(c=p,p=t.charAt(h+1),c===o?(s++,g+=c):(s=0,m+=c),s&&p!==o){if(s===l){u+=m+g,d=!0;break}m+=g,g=""}h++}if(!d){if(l%2!=0)return;m=""}if(n)return!0;for(i="",a="",f=m.length,h=-1;++h<f;)c=m.charAt(h),r(c)?a+=c:(a&&(i&&(i+=a),a=""),i+=c);return e(u)({type:"inlineCode",value:i})}}},42818:(e,t,n)=>{"use strict";var r=n(74898),i=n(28999);e.exports=y,y.notInList=!0,y.notInBlock=!0;var o='"',a="'",s="\\",l="\n",u="\t",c=" ",d="[",p="]",f="(",h=")",m=":",g="<",v=">";function y(e,t,n){for(var r,v,y,_,x,k,E,C,S=this,A=S.options.commonmark,T=0,O=t.length,P="";T<O&&((_=t.charAt(T))===c||_===u);)P+=_,T++;if((_=t.charAt(T))===d){for(T++,P+=_,y="";T<O&&(_=t.charAt(T))!==p;)_===s&&(y+=_,T++,_=t.charAt(T)),y+=_,T++;if(y&&t.charAt(T)===p&&t.charAt(T+1)===m){for(k=y,T=(P+=y+p+m).length,y="";T<O&&((_=t.charAt(T))===u||_===c||_===l);)P+=_,T++;if(y="",r=P,(_=t.charAt(T))===g){for(T++;T<O&&b(_=t.charAt(T));)y+=_,T++;if((_=t.charAt(T))===b.delimiter)P+=g+y+_,T++;else{if(A)return;T-=y.length+1,y=""}}if(!y){for(;T<O&&w(_=t.charAt(T));)y+=_,T++;P+=y}if(y){for(E=y,y="";T<O&&((_=t.charAt(T))===u||_===c||_===l);)y+=_,T++;if(x=null,(_=t.charAt(T))===o?x=o:_===a?x=a:_===f&&(x=h),x){if(!y)return;for(T=(P+=y+_).length,y="";T<O&&(_=t.charAt(T))!==x;){if(_===l){if(T++,(_=t.charAt(T))===l||_===x)return;y+=l}y+=_,T++}if((_=t.charAt(T))!==x)return;v=P,P+=y+_,T++,C=y,y=""}else y="",T=P.length;for(;T<O&&((_=t.charAt(T))===u||_===c);)P+=_,T++;return(_=t.charAt(T))&&_!==l?void 0:!!n||(r=e(r).test().end,E=S.decode.raw(S.unescape(E),r,{nonTerminated:!1}),C&&(v=e(v).test().end,C=S.decode.raw(S.unescape(C),v)),e(P)({type:"definition",identifier:i(k),label:k,title:C||null,url:E}))}}}}function b(e){return e!==v&&e!==d&&e!==p}function w(e){return e!==d&&e!==p&&!r(e)}b.delimiter=v},78931:(e,t,n)=>{"use strict";var r=n(74898),i=n(47315);e.exports=s,s.locator=i;var o="~",a="~~";function s(e,t,n){var i,s,l,u="",c="",d="",p="";if(this.options.gfm&&t.charAt(0)===o&&t.charAt(1)===o&&!r(t.charAt(2)))for(i=1,s=t.length,(l=e.now()).column+=2,l.offset+=2;++i<s;){if(!((u=t.charAt(i))!==o||c!==o||d&&r(d)))return!!n||e(a+p+a)({type:"delete",children:this.tokenizeInline(p,l)});p+=c,d=c,c=u}}},72504:(e,t,n)=>{"use strict";var r=n(50311),i=n(2821),o=n(74898),a=n(85946);e.exports=c,c.locator=a;var s="*",l="_",u="\\";function c(e,t,n){var a,c,d,p,f,h,m,g=0,v=t.charAt(g);if(!(v!==s&&v!==l||(c=this.options.pedantic,f=v,d=v,h=t.length,g++,p="",v="",c&&o(t.charAt(g)))))for(;g<h;){if(m=v,!((v=t.charAt(g))!==d||c&&o(m))){if((v=t.charAt(++g))!==d){if(!r(p)||m===d)return;if(!c&&d===l&&i(v)){p+=d;continue}return!!n||((a=e.now()).column++,a.offset++,e(f+p+d)({type:"emphasis",children:this.tokenizeInline(p,a)}))}p+=d}c||v!==u||(p+=v,v=t.charAt(++g)),p+=v,g++}}},87597:(e,t,n)=>{"use strict";var r=n(38932);e.exports=a,a.locator=r;var i="\n",o="\\";function a(e,t,n){var r,a;if(t.charAt(0)===o&&(r=t.charAt(1),-1!==this.escape.indexOf(r)))return!!n||(a=r===i?{type:"break"}:{type:"text",value:r},e(o+r)(a))}},74266:(e,t,n)=>{"use strict";var r=n(74898),i=n(28999);e.exports=h,h.notInList=!0,h.notInBlock=!0;var o="\\",a="\n",s="\t",l=" ",u="[",c="]",d="^",p=":",f=/^( {4}|\t)?/gm;function h(e,t,n){var h,m,g,v,y,b,w,_,x,k,E,C,S=this,A=S.offset;if(S.options.footnotes){for(h=0,m=t.length,g="",v=e.now(),y=v.line;h<m&&(x=t.charAt(h),r(x));)g+=x,h++;if(t.charAt(h)===u&&t.charAt(h+1)===d){for(h=(g+=u+d).length,w="";h<m&&(x=t.charAt(h))!==c;)x===o&&(w+=x,h++,x=t.charAt(h)),w+=x,h++;if(w&&t.charAt(h)===c&&t.charAt(h+1)===p){if(n)return!0;for(k=w,h=(g+=w+c+p).length;h<m&&((x=t.charAt(h))===s||x===l);)g+=x,h++;for(v.column+=g.length,v.offset+=g.length,w="",b="",_="";h<m;){if((x=t.charAt(h))===a){for(_=x,h++;h<m&&(x=t.charAt(h))===a;)_+=x,h++;for(w+=_,_="";h<m&&(x=t.charAt(h))===l;)_+=x,h++;if(0===_.length)break;w+=_}w&&(b+=w,w=""),b+=x,h++}return g+=b,b=b.replace(f,(function(e){return A[y]=(A[y]||0)+e.length,y++,""})),E=e(g),C=S.enterBlock(),b=S.tokenizeBlock(b,v),C(),E({type:"footnoteDefinition",identifier:i(k),label:k,children:b})}}}}},56857:e=>{"use strict";e.exports=function(e,a,s){for(var l,u,c,d=this.options.pedantic,p=a.length+1,f=-1,h=e.now(),m="",g="";++f<p;){if((l=a.charAt(f))!==r&&l!==n){f--;break}m+=l}for(c=0;++f<=p;){if((l=a.charAt(f))!==i){f--;break}m+=l,c++}if(!(c>o)&&c&&(d||a.charAt(f+1)!==i)){for(p=a.length+1,u="";++f<p;){if((l=a.charAt(f))!==r&&l!==n){f--;break}u+=l}if(d||0!==u.length||!l||l===t){if(s)return!0;for(m+=u,u="",g="";++f<p&&(l=a.charAt(f))&&l!==t;)if(l===r||l===n||l===i){for(;l===r||l===n;)u+=l,l=a.charAt(++f);if(d||!g||u||l!==i){for(;l===i;)u+=l,l=a.charAt(++f);for(;l===r||l===n;)u+=l,l=a.charAt(++f);f--}else g+=l}else g+=u+l,u="";return h.column+=m.length,h.offset+=m.length,e(m+=g+u)({type:"heading",depth:c,children:this.tokenizeInline(g,h)})}}};var t="\n",n="\t",r=" ",i="#",o=6},45418:e=>{"use strict";e.exports=function(e,u,c){for(var d,p,f,h,m,g=e.now(),v=u.length,y=-1,b="";++y<v;){if((f=u.charAt(y))!==r||y>=a){y--;break}b+=f}for(d="",p="";++y<v;){if((f=u.charAt(y))===t){y--;break}f===r||f===n?p+=f:(d+=p+f,p="")}if(g.column+=b.length,g.offset+=b.length,b+=d+p,f=u.charAt(++y),h=u.charAt(++y),f===t&&(h===i||h===o)){for(b+=f,p=h,m=h===i?s:l;++y<v;){if((f=u.charAt(y))!==h){if(f!==t)return;y--;break}p+=f}return!!c||e(b+p)({type:"heading",depth:m,children:this.tokenizeInline(d,g)})}};var t="\n",n="\t",r=" ",i="=",o="-",a=3,s=1,l=2},37660:(e,t,n)=>{"use strict";var r=n(80889).g;e.exports=function(e,t,n){for(var r,w,_,x,k,E,C,S=this.options.blocks.join("|"),A=new RegExp("^</?("+S+")(?=(\\s|/?>|$))","i"),T=t.length,O=0,P=[[l,u,!0],[c,d,!0],[p,f,!0],[h,m,!0],[g,v,!0],[A,y,!0],[b,y,!1]];O<T&&((x=t.charAt(O))===i||x===o);)O++;if(t.charAt(O)===s){for(r=-1===(r=t.indexOf(a,O+1))?T:r,w=t.slice(O,r),_=-1,k=P.length;++_<k;)if(P[_][0].test(w)){E=P[_];break}if(E){if(n)return E[2];if(O=r,!E[1].test(w))for(;O<T;){if(r=-1===(r=t.indexOf(a,O+1))?T:r,w=t.slice(O+1,r),E[1].test(w)){w&&(O=r);break}O=r}return e(C=t.slice(0,O))({type:"html",value:C})}}};var i="\t",o=" ",a="\n",s="<",l=/^<(script|pre|style)(?=(\s|>|$))/i,u=/<\/(script|pre|style)>/i,c=/^<!--/,d=/-->/,p=/^<\?/,f=/\?>/,h=/^<![A-Za-z]/,m=/>/,g=/^<!\[CDATA\[/,v=/\]\]>/,y=/^$/,b=new RegExp(r.source+"\\s*$")},27659:(e,t,n)=>{"use strict";var r=n(73756),i=n(20309),o=n(80889)._;e.exports=p,p.locator=i;var a="<",s="?",l="!",u="/",c=/^<a /i,d=/^<\/a>/i;function p(e,t,n){var i,p,f=this,h=t.length;if(!(t.charAt(0)!==a||h<3)&&(i=t.charAt(1),(r(i)||i===s||i===l||i===u)&&(p=t.match(o))))return!!n||(p=p[0],!f.inLink&&c.test(p)?f.inLink=!0:f.inLink&&d.test(p)&&(f.inLink=!1),e(p)({type:"html",value:p}))}},22541:(e,t,n)=>{"use strict";var r=n(74898),i=n(88906);e.exports=v,v.locator=i;var o="\n",a="!",s='"',l="'",u="(",c=")",d="<",p=">",f="[",h="\\",m="]",g="`";function v(e,t,n){var i,v,y,b,w,_,x,k,E,C,S,A,T,O,P,D,R,I,M=this,L="",N=0,j=t.charAt(0),F=M.options.pedantic,B=M.options.commonmark,z=M.options.gfm;if(j===a&&(k=!0,L=j,j=t.charAt(++N)),j===f&&(k||!M.inLink)){for(L+=j,O="",N++,S=t.length,T=0,(D=e.now()).column+=N,D.offset+=N;N<S;){if(_=j=t.charAt(N),j===g){for(v=1;t.charAt(N+1)===g;)_+=j,N++,v++;y?v>=y&&(y=0):y=v}else if(j===h)N++,_+=t.charAt(N);else if(y&&!z||j!==f){if((!y||z)&&j===m){if(!T){if(!F)for(;N<S&&(j=t.charAt(N+1),r(j));)_+=j,N++;if(t.charAt(N+1)!==u)return;_+=u,i=!0,N++;break}T--}}else T++;O+=_,_="",N++}if(i){for(E=O,L+=O+_,N++;N<S&&(j=t.charAt(N),r(j));)L+=j,N++;if(O="",b=L,(j=t.charAt(N))===d){for(N++,b+=d;N<S&&(j=t.charAt(N))!==p;){if(B&&j===o)return;O+=j,N++}if(t.charAt(N)!==p)return;L+=d+O+p,P=O,N++}else{for(j=null,_="";N<S&&(j=t.charAt(N),!_||!(j===s||j===l||B&&j===u));){if(r(j)){if(!F)break;_+=j}else{if(j===u)T++;else if(j===c){if(0===T)break;T--}O+=_,_="",j===h&&(O+=h,j=t.charAt(++N)),O+=j}N++}P=O,N=(L+=O).length}for(O="";N<S&&(j=t.charAt(N),r(j));)O+=j,N++;if(j=t.charAt(N),L+=O,O&&(j===s||j===l||B&&j===u))if(N++,O="",C=j===u?c:j,w=L+=j,B){for(;N<S&&(j=t.charAt(N))!==C;)j===h&&(O+=h,j=t.charAt(++N)),N++,O+=j;if((j=t.charAt(N))!==C)return;for(A=O,L+=O+j,N++;N<S&&(j=t.charAt(N),r(j));)L+=j,N++}else for(_="";N<S;){if((j=t.charAt(N))===C)x&&(O+=C+_,_=""),x=!0;else if(x){if(j===c){L+=O+C+_,A=O;break}r(j)?_+=j:(O+=C+_+j,_="",x=!1)}else O+=j;N++}if(t.charAt(N)===c)return!!n||(L+=c,P=M.decode.raw(M.unescape(P),e(b).test().end,{nonTerminated:!1}),A&&(w=e(w).test().end,A=M.decode.raw(M.unescape(A),w)),I={type:k?"image":"link",title:A||null,url:P},k?I.alt=M.decode.raw(M.unescape(E),D)||null:(R=M.enterLink(),I.children=M.tokenizeInline(E,D),R()),e(L)(I))}}}},18356:(e,t,n)=>{"use strict";var r=n(50311),i=n(34076),o=n(61278),a=n(30491),s=n(97621),l=n(14538);e.exports=function(e,t,n){for(var i,a,s,y,w,_,x,k,E,S,A,T,O,P,D,R,I,M,L,N,j,F,B=this,z=B.options.commonmark,U=B.options.pedantic,q=B.blockTokenizers,$=B.interruptList,W=0,H=t.length,V=null,G=0,K=!1;W<H;){if((s=t.charAt(W))===g)G+=b-G%b;else{if(s!==h)break;G++}W++}if(!(G>=b)){if((s=t.charAt(W))===u||s===d||s===p)y=s,a=!1;else{for(a=!0,i="";W<H&&(s=t.charAt(W),o(s));)i+=s,W++;if(s=t.charAt(W),!i||!(s===f||z&&s===v))return;V=parseInt(i,10),y=s}if((s=t.charAt(++W))===h||s===g||!(U||s!==m&&""!==s)){if(n)return!0;for(W=0,O=[],P=[],D=[];W<H;){for(_=W,x=!1,F=!1,-1===(w=t.indexOf(m,W))&&(w=H),j=W+b,G=0;W<H;){if((s=t.charAt(W))===g)G+=b-G%b;else{if(s!==h)break;G++}W++}if(G>=b&&(F=!0),R&&G>=R.indent&&(F=!0),s=t.charAt(W),k=null,!F){if(s===u||s===d||s===p)k=s,W++,G++;else{for(i="";W<H&&(s=t.charAt(W),o(s));)i+=s,W++;s=t.charAt(W),W++,i&&(s===f||z&&s===v)&&(k=s,G+=i.length+1)}if(k)if((s=t.charAt(W))===g)G+=b-G%b,W++;else if(s===h){for(j=W+b;W<j&&t.charAt(W)===h;)W++,G++;W===j&&t.charAt(W)===h&&(W-=b-1,G-=b-1)}else s!==m&&""!==s&&(k=null)}if(k){if(!U&&y!==k)break;x=!0}else z||F||t.charAt(_)!==h?z&&R&&(F=G>=R.indent||G>b):F=!0,x=!1,W=_;if(S=t.slice(_,w),E=_===W?S:t.slice(W,w),(k===u||k===c||k===p)&&q.thematicBreak.call(B,e,S,!0))break;if(A=T,T=!x&&!r(E).length,F&&R)R.value=R.value.concat(D,S),P=P.concat(D,S),D=[];else if(x)0!==D.length&&(K=!0,R.value.push(""),R.trail=D.concat()),R={value:[S],indent:G,trail:[]},O.push(R),P=P.concat(D,S),D=[];else if(T){if(A&&!z)break;D.push(S)}else{if(A)break;if(l($,q,B,[e,S,!0]))break;R.value=R.value.concat(D,S),P=P.concat(D,S),D=[]}W=w+1}for(L=e(P.join(m)).reset({type:"list",ordered:a,start:V,spread:K,children:[]}),I=B.enterList(),M=B.enterBlock(),W=-1,H=O.length;++W<H;)R=O[W].value.join(m),N=e.now(),e(R)(C(B,R,N),L),R=O[W].trail.join(m),W!==H-1&&(R+=m),e(R);return I(),M(),L}}};var u="*",c="_",d="+",p="-",f=".",h=" ",m="\n",g="\t",v=")",y="x",b=4,w=/\n\n(?!\s*$)/,_=/^\[([ \t]|x|X)][ \t]/,x=/^([ \t]*)([*+-]|\d+[.)])( {1,4}(?! )| |\t|$|(?=\n))([^\n]*)/,k=/^([ \t]*)([*+-]|\d+[.)])([ \t]+)/,E=/^( {1,4}|\t)?/gm;function C(e,t,n){var r,i,o=e.offset,a=null;return t=(e.options.pedantic?S:A).apply(null,arguments),e.options.gfm&&(r=t.match(_))&&(i=r[0].length,a=r[1].toLowerCase()===y,o[n.line]+=i,t=t.slice(i)),{type:"listItem",spread:w.test(t),checked:a,children:e.tokenizeBlock(t,n)}}function S(e,t,n){var r=e.offset,i=n.line;return t=t.replace(k,o),i=n.line,t.replace(E,o);function o(e){return r[i]=(r[i]||0)+e.length,i++,""}}function A(e,t,n){var r,o,l,u,c,d,p,f=e.offset,g=n.line;for(u=(t=t.replace(x,(function(e,t,n,a,s){return o=t+n+a,l=s,Number(n)<10&&o.length%2==1&&(n=h+n),(r=t+i(h,n.length)+a)+l}))).split(m),(c=s(t,a(r).indent).split(m))[0]=l,f[g]=(f[g]||0)+o.length,g++,d=0,p=u.length;++d<p;)f[g]=(f[g]||0)+u[d].length-c[d].length,g++;return c.join(m)}},79413:(e,t,n)=>{"use strict";var r=n(74898);e.exports=function(e,t,n){var o,a,s,l,u=t.charAt(0);if(u===i){if(n)return!0;for(l=1,o=t.length,a=u,s="";l<o&&(u=t.charAt(l),r(u));)s+=u,u===i&&(a+=s,s=""),l++;e(a)}};var i="\n"},31409:(e,t,n)=>{"use strict";var r=n(50311),i=n(61278),o=n(67876),a=n(14538);e.exports=function(e,t,n){for(var d,p,f,h,m,g=this,v=g.options,y=v.commonmark,b=v.gfm,w=g.blockTokenizers,_=g.interruptParagraph,x=t.indexOf(l),k=t.length;x<k;){if(-1===x){x=k;break}if(t.charAt(x+1)===l)break;if(y){for(h=0,d=x+1;d<k;){if((f=t.charAt(d))===s){h=c;break}if(f!==u)break;h++,d++}if(h>=c&&f!==l){x=t.indexOf(l,x+1);continue}}if(p=t.slice(x+1),a(_,w,g,[e,p,!0]))break;if(w.list.call(g,e,p,!0)&&(g.inList||y||b&&!i(r.left(p).charAt(0))))break;if(d=x,-1!==(x=t.indexOf(l,x+1))&&""===r(t.slice(d,x))){x=d;break}}return p=t.slice(0,x),""===r(p)?(e(p),null):!!n||(m=e.now(),e(p=o(p))({type:"paragraph",children:g.tokenizeInline(p,m)}))};var s="\t",l="\n",u=" ",c=4},2196:(e,t,n)=>{"use strict";var r=n(74898),i=n(88906),o=n(28999);e.exports=y,y.locator=i;var a="link",s="image",l="footnote",u="shortcut",c="collapsed",d="full",p=" ",f="!",h="[",m="\\",g="]",v="^";function y(e,t,n){var i,y,b,w,_,x,k,E,C=this,S=C.options.commonmark,A=t.charAt(0),T=0,O=t.length,P="",D="",R=a,I=u;if(A===f&&(R=s,D=A,A=t.charAt(++T)),A===h){if(T++,D+=A,x="",C.options.footnotes&&t.charAt(T)===v){if(R===s)return;D+=v,T++,R=l}for(E=0;T<O;){if((A=t.charAt(T))===h)k=!0,E++;else if(A===g){if(!E)break;E--}A===m&&(x+=m,A=t.charAt(++T)),x+=A,T++}if(P=x,i=x,(A=t.charAt(T))===g){if(T++,P+=A,x="",!S)for(;T<O&&(A=t.charAt(T),r(A));)x+=A,T++;if(A=t.charAt(T),R!==l&&A===h){for(y="",x+=A,T++;T<O&&(A=t.charAt(T))!==h&&A!==g;)A===m&&(y+=m,A=t.charAt(++T)),y+=A,T++;(A=t.charAt(T))===g?(I=y?d:c,x+=y+A,T++):y="",P+=x,x=""}else{if(!i)return;y=i}if(I===d||!k)return P=D+P,R===a&&C.inLink?null:!!n||(R===l&&-1!==i.indexOf(p)?e(P)({type:l,children:this.tokenizeInline(i,e.now())}):((b=e.now()).column+=D.length,b.offset+=D.length,w={type:R+"Reference",identifier:o(y=I===d?y:i),label:y},R!==a&&R!==s||(w.referenceType=I),R===a?(_=C.enterLink(),w.children=C.tokenizeInline(i,b),_()):R===s&&(w.alt=C.decode.raw(C.unescape(i),b)||null),e(P)(w)))}}}},26033:(e,t,n)=>{"use strict";var r=n(50311),i=n(74898),o=n(73251);e.exports=u,u.locator=o;var a="\\",s="*",l="_";function u(e,t,n){var o,u,c,d,p,f,h,m=0,g=t.charAt(m);if(!(g!==s&&g!==l||t.charAt(++m)!==g||(u=this.options.pedantic,p=(c=g)+c,f=t.length,m++,d="",g="",u&&i(t.charAt(m)))))for(;m<f;){if(h=g,!((g=t.charAt(m))!==c||t.charAt(m+1)!==c||u&&i(h))&&(g=t.charAt(m+2))!==c){if(!r(d))return;return!!n||((o=e.now()).column+=2,o.offset+=2,e(p+d+p)({type:"strong",children:this.tokenizeInline(d,o)}))}u||g!==a||(d+=g,g=t.charAt(++m)),d+=g,m++}}},19054:(e,t,n)=>{"use strict";var r=n(74898);e.exports=function(e,t,n){var v,y,b,w,_,x,k,E,C,S,A,T,O,P,D,R,I,M,L,N,j,F,B,z;if(this.options.gfm){for(v=0,M=0,x=t.length+1,k=[];v<x;){if(F=t.indexOf(o,v),B=t.indexOf(d,v+1),-1===F&&(F=t.length),-1===B||B>F){if(M<f)return;break}k.push(t.slice(v,F)),M++,v=F+1}for(w=k.join(o),v=0,x=(y=k.splice(1,1)[0]||[]).length,M--,b=!1,A=[];v<x;){if((C=y.charAt(v))===d){if(S=null,!1===b){if(!1===z)return}else A.push(b),b=!1;z=!1}else if(C===s)S=!0,b=b||null;else if(C===l)b=b===h?m:S&&null===b?g:h;else if(!r(C))return;v++}if(!1!==b&&A.push(b),!(A.length<p)){if(n)return!0;for(I=-1,N=[],j=e(w).reset({type:"table",align:A,children:N});++I<M;){for(L=k[I],_={type:"tableRow",children:[]},I&&e(o),e(L).reset(_,j),x=L.length+1,v=0,E="",T="",O=!0,P=null,D=null;v<x;)if((C=L.charAt(v))!==i&&C!==a){if(""===C||C===d)if(O)e(C);else{if(C&&D){E+=C,v++;continue}!T&&!C||O||(w=T,E.length>1&&(C?(w+=E.slice(0,E.length-1),E=E.charAt(E.length-1)):(w+=E,E="")),R=e.now(),e(w)({type:"tableCell",children:this.tokenizeInline(T,R)},_)),e(E+C),E="",T=""}else if(E&&(T+=E,E=""),T+=C,C===u&&v!==x-2&&(T+=L.charAt(v+1),v++),C===c){for(P=1;L.charAt(v+1)===C;)T+=C,v++,P++;D?P>=D&&(D=0):D=P}O=!1,v++}else T?E+=C:e(C),v++;I||e(o+y)}return j}}};var i="\t",o="\n",a=" ",s="-",l=":",u="\\",c="`",d="|",p=1,f=2,h="left",m="center",g="right"},67510:e=>{"use strict";e.exports=function(e,t,n){var r,i,o,a,s,l,u,c,d,p,f=this;if(n)return!0;for(a=(r=f.inlineMethods).length,i=f.inlineTokenizers,o=-1,d=t.length;++o<a;)"text"!==(c=r[o])&&i[c]&&((u=i[c].locator)||e.file.fail("Missing locator: `"+c+"`"),-1!==(l=u.call(f,t,1))&&l<d&&(d=l));s=t.slice(0,d),p=e.now(),f.decode(s,p,(function(t,n,r){e(r||t)({type:"text",value:t})}))}},16050:e=>{"use strict";e.exports=function(e,l,u){for(var c,d,p,f,h=-1,m=l.length+1,g="";++h<m&&((c=l.charAt(h))===t||c===r);)g+=c;if(c===i||c===o||c===a)for(d=c,g+=c,p=1,f="";++h<m;)if((c=l.charAt(h))===d)p++,g+=f+d,f="";else{if(c!==r)return p>=s&&(!c||c===n)?(g+=f,!!u||e(g)({type:"thematicBreak"})):void 0;f+=c}};var t="\t",n="\n",r=" ",i="*",o="-",a="_",s=3},76899:(e,t,n)=>{"use strict";var r=n(70930),i=n(74898),o=n(38693);e.exports=_,_.locator=o,_.notInLink=!0;var a='"',s="'",l="(",u=")",c=",",d=".",p=":",f=";",h="<",m="@",g="[",v="]",y="mailto:",b=["http://","https://",y],w=b.length;function _(e,t,n){var o,_,x,k,E,C,S,A,T,O,P,D,R,I=this;if(I.options.gfm){for(o="",k=-1;++k<w;)if(C=b[k],(S=t.slice(0,C.length)).toLowerCase()===C){o=S;break}if(o){for(k=o.length,A=t.length,T="",O=0;k<A&&(x=t.charAt(k),!i(x)&&x!==h)&&(x!==d&&x!==c&&x!==p&&x!==f&&x!==a&&x!==s&&x!==u&&x!==v||(P=t.charAt(k+1))&&!i(P))&&(x!==l&&x!==g||O++,x!==u&&x!==v||!(--O<0));)T+=x,k++;if(T){if(_=o+=T,C===y){if(-1===(E=T.indexOf(m))||E===A-1)return;_=_.substr(y.length)}return!!n||(R=I.enterLink(),D=I.inlineTokenizers,I.inlineTokenizers={text:D.text},_=I.tokenizeInline(_,e.now()),I.inlineTokenizers=D,R(),e(o)({type:"link",title:null,url:r(o,{nonTerminated:!1}),children:_}))}}}}},9223:e=>{"use strict";function t(e){var t,n;return"text"!==e.type||!e.position||(t=e.position.start,n=e.position.end,t.line!==n.line||n.column-t.column===e.value.length)}function n(e,t){return e.value+=t.value,e}function r(e,t){return this.options.commonmark?t:(e.children=e.children.concat(t.children),e)}e.exports=function(e){return function(i,o){var a,s,l,u,c,d=this,p=d.offset,f=[],h=d[e+"Methods"],m=d[e+"Tokenizers"],g=o.line,v=o.column;if(!i)return f;for(_.now=b,_.file=d.file,y("");i;){for(a=-1,s=h.length,u=!1;++a<s&&(!(l=m[h[a]])||l.onlyAtStart&&!d.atStart||l.notInList&&d.inList||l.notInBlock&&d.inBlock||l.notInLink&&d.inLink||(c=i.length,l.apply(d,[_,i]),!(u=c!==i.length))););u||d.file.fail(new Error("Infinite loop"),_.now())}return d.eof=b(),f;function y(e){for(var t=-1,n=e.indexOf("\n");-1!==n;)g++,t=n,n=e.indexOf("\n",n+1);-1===t?v+=e.length:v=e.length-t,g in p&&(-1!==t?v+=p[g]:v<=p[g]&&(v=p[g]+1))}function b(){var e={line:g,column:v};return e.offset=d.toOffset(e),e}function w(e){this.start=e,this.end=b()}function _(e){var o,a=function(){var e=[],t=g+1;return function(){for(var n=g+1;t<n;)e.push((p[t]||0)+1),t++;return e}}(),s=(o=b(),function(e,t){var n=e.position,r=n?n.start:o,i=[],a=n&&n.end.line,s=o.line;if(e.position=new w(r),n&&t&&n.indent){if(i=n.indent,a<s){for(;++a<s;)i.push((p[a]||0)+1);i.push(o.column)}t=i.concat(t)}return e.position.indent=t||[],e}),l=b();return function(e){i.substring(0,e.length)!==e&&d.file.fail(new Error("Incorrectly eaten value: please report this warning on https://git.io/vg5Ft"),b())}(e),u.reset=c,c.test=h,u.test=h,i=i.substring(e.length),y(e),a=a(),u;function u(e,i){return s(function(e,i){var o=i?i.children:f,a=o[o.length-1];return a&&e.type===a.type&&("text"===e.type||"blockquote"===e.type)&&t(a)&&t(e)&&(e=("text"===e.type?n:r).call(d,a,e)),e!==a&&o.push(e),d.atStart&&0!==f.length&&d.exitStart(),e}(s(e),i),a)}function c(){var t=u.apply(null,arguments);return g=l.line,v=l.column,i=e+i,t}function h(){var t=s({});return g=l.line,v=l.column,i=e+i,t.position}}}}},92084:e=>{"use strict";e.exports=function(e,n){return function(r){for(var i,o=0,a=r.indexOf(t),s=e[n],l=[];-1!==a;)l.push(r.slice(o,a)),o=a+1,(i=r.charAt(o))&&-1!==s.indexOf(i)||l.push(t),a=r.indexOf(t,o+1);return l.push(r.slice(o)),l.join("")}};var t="\\"},30491:e=>{"use strict";e.exports=function(e){for(var o,a=0,s=0,l=e.charAt(a),u={};l===t||l===n;)s+=o=l===t?i:r,o>1&&(s=Math.floor(s/o)*o),u[s]=a,l=e.charAt(++a);return{indent:s,stops:u}};var t="\t",n=" ",r=1,i=4},80889:(e,t)=>{"use strict";var n="<[A-Za-z][A-Za-z0-9\\-]*(?:\\s+[a-zA-Z_:][a-zA-Z0-9:._-]*(?:\\s*=\\s*(?:[^\"'=<>`\\u0000-\\u0020]+|'[^']*'|\"[^\"]*\"))?)*\\s*\\/?>",r="<\\/[A-Za-z][A-Za-z0-9\\-]*\\s*>";t.g=new RegExp("^(?:"+n+"|"+r+")"),t._=new RegExp("^(?:"+n+"|"+r+"|\x3c!----\x3e|\x3c!--(?:-?[^>-])(?:-?[^-])*--\x3e|<[?].*?[?]>|<![A-Za-z]+\\s+[^>]*>|<!\\[CDATA\\[[\\s\\S]*?\\]\\]>)")},14538:e=>{"use strict";e.exports=function(e,t,n,r){for(var i,o,a=e.length,s=-1;++s<a;)if((void 0===(o=(i=e[s])[1]||{}).pedantic||o.pedantic===n.options.pedantic)&&(void 0===o.commonmark||o.commonmark===n.options.commonmark)&&t[i[0]].apply(n,r))return!0;return!1}},28999:(e,t,n)=>{"use strict";var r=n(38925);e.exports=function(e){return r(e).toLowerCase()}},97621:(e,t,n)=>{"use strict";var r=n(50311),i=n(34076),o=n(30491);e.exports=function(e,t){var n,c,d,p,f=e.split(s),h=f.length+1,m=1/0,g=[];for(f.unshift(i(l,t)+u);h--;)if(c=o(f[h]),g[h]=c.stops,0!==r(f[h]).length){if(!c.indent){m=1/0;break}c.indent>0&&c.indent<m&&(m=c.indent)}if(m!==1/0)for(h=f.length;h--;){for(d=g[h],n=m;n&&!(n in d);)n--;p=0!==r(f[h]).length&&m&&n!==m?a:"",f[h]=p+f[h].slice(n in d?d[n]+1:0)}return f.shift(),f.join(s)};var a="\t",s="\n",l=" ",u="!"},86099:e=>{"use strict";var t;e.exports=function(e){var n,r="&"+e+";";return(t=t||document.createElement("i")).innerHTML=r,(59!==(n=t.textContent).charCodeAt(n.length-1)||"semi"===e)&&(n!==r&&n)}},70930:(e,t,n)=>{"use strict";var r=n(93562),i=n(71216),o=n(61278),a=n(48833),s=n(65279),l=n(86099);e.exports=function(e,t){var n,o,a={};for(o in t||(t={}),p)n=t[o],a[o]=null==n?p[o]:n;return(a.position.indent||a.position.start)&&(a.indent=a.position.indent||[],a.position=a.position.start),function(e,t){var n,o,a,p,B,z,U,q,$,W,H,V,G,K,Y,Z,X,Q,J,ee,te=t.additional,ne=t.nonTerminated,re=t.text,ie=t.reference,oe=t.warning,ae=t.textContext,se=t.referenceContext,le=t.warningContext,ue=t.position,ce=t.indent||[],de=e.length,pe=0,fe=-1,he=ue.column||1,me=ue.line||1,ge="",ve=[];for("string"==typeof te&&(te=te.charCodeAt(0)),Z=ye(),q=oe?function(e,t){var n=ye();n.column+=t,n.offset+=t,oe.call(le,j[e],n,e)}:d,pe--,de++;++pe<de;)if(B===h&&(he=ce[fe]||1),(B=e.charCodeAt(pe))===v){if((U=e.charCodeAt(pe+1))===f||U===h||U===m||U===g||U===v||U===b||U!=U||te&&U===te){ge+=c(B),he++;continue}for(V=G=pe+1,J=G,U===_?(J=++V,(U=e.charCodeAt(J))===x||U===k?(K=S,J=++V):K=A):K=C,n="",H="",p="",Y=O[K],J--;++J<de&&Y(U=e.charCodeAt(J));)p+=c(U),K===C&&u.call(r,p)&&(n=p,H=r[p]);(a=e.charCodeAt(J)===y)&&(J++,(o=K===C&&l(p))&&(n=p,H=o)),Q=1+J-G,(a||ne)&&(p?K===C?(a&&!H?q(M,1):(n!==p&&(Q=1+(J=V+n.length)-V,a=!1),a||($=n?P:R,t.attribute?(U=e.charCodeAt(J))===w?(q($,Q),H=null):s(U)?H=null:q($,Q):q($,Q))),z=H):(a||q(D,Q),(ee=z=parseInt(p,T[K]))>=55296&&ee<=57343||ee>1114111?(q(N,Q),z=c(E)):z in i?(q(L,Q),z=i[z]):(W="",F(z)&&q(L,Q),z>65535&&(W+=c((z-=65536)>>>10|55296),z=56320|1023&z),z=W+c(z))):K!==C&&q(I,Q)),z?(be(),Z=ye(),pe=J-1,he+=J-G+1,ve.push(z),(X=ye()).offset++,ie&&ie.call(se,z,{start:Z,end:X},e.slice(G-1,J)),Z=X):(p=e.slice(G-1,J),ge+=p,he+=p.length,pe=J-1)}else 10===B&&(me++,fe++,he=0),B==B?(ge+=c(B),he++):be();return ve.join("");function ye(){return{line:me,column:he,offset:pe+(ue.offset||0)}}function be(){ge&&(ve.push(ge),re&&re.call(ae,ge,{start:Z,end:ye()}),ge="")}}(e,a)};var u={}.hasOwnProperty,c=String.fromCharCode,d=Function.prototype,p={warning:null,reference:null,text:null,warningContext:null,referenceContext:null,textContext:null,position:{},additional:null,attribute:!1,nonTerminated:!0},f=9,h=10,m=12,g=32,v=38,y=59,b=60,w=61,_=35,x=88,k=120,E=65533,C="named",S="hexadecimal",A="decimal",T={};T[S]=16,T[A]=10;var O={};O[C]=s,O[A]=o,O[S]=a;var P=1,D=2,R=3,I=4,M=5,L=6,N=7,j={};function F(e){return e>=1&&e<=8||11===e||e>=13&&e<=31||e>=127&&e<=159||e>=64976&&e<=65007||65535==(65535&e)||65534==(65535&e)}j[P]="Named character references must be terminated by a semicolon",j[D]="Numeric character references must be terminated by a semicolon",j[R]="Named character references cannot be empty",j[I]="Numeric character references cannot be empty",j[M]="Named character references must be known",j[L]="Numeric character references cannot be disallowed",j[N]="Numeric character references cannot be outside the permissible Unicode range"},32578:(e,t,n)=>{"use strict";var r=n(31887);e.exports=function(e,t){return e&&!e.process&&(t=e,e=null),e?function(e,t){return function(n,i,o){e.run(r(n,t),i,(function(e){o(e)}))}}(e,t):function(e){return function(t){return r(t,e)}}(t)}},48283:(e,t,n)=>{"use strict";var r=n(30603),i=n(51960),o=n(39401);function a(e){var t=r(o);t.prototype.options=i(t.prototype.options,this.data("settings"),e),this.Compiler=t}e.exports=a,a.Compiler=o},39401:(e,t,n)=>{"use strict";var r=n(51960),i=n(82344);function o(e,t){this.inLink=!1,this.inTable=!1,this.tree=e,this.file=t,this.options=r(this.options),this.setOptions({})}e.exports=o;var a=o.prototype;a.enterLink=i("inLink",!1),a.enterTable=i("inTable",!1),a.enterLinkReference=n(29528),a.options=n(14836),a.setOptions=n(28018),a.compile=n(83127),a.visit=n(24931),a.all=n(70581),a.block=n(60977),a.visitOrderedItems=n(9899),a.visitUnorderedItems=n(41103),a.visitors={root:n(56047),text:n(18497),heading:n(34732),paragraph:n(90008),blockquote:n(13518),list:n(78829),listItem:n(71878),inlineCode:n(522),code:n(41266),html:n(33943),thematicBreak:n(1405),strong:n(19915),emphasis:n(11577),break:n(22758),delete:n(21706),link:n(51888),linkReference:n(52019),imageReference:n(97943),definition:n(19825),image:n(69326),footnote:n(52898),footnoteReference:n(2888),footnoteDefinition:n(40243),table:n(84220),tableCell:n(14825)}},14836:e=>{"use strict";e.exports={gfm:!0,commonmark:!1,pedantic:!1,entities:"false",setext:!1,closeAtx:!1,looseTable:!1,spacedTable:!0,paddedTable:!0,stringLength:function(e){return e.length},incrementListMarker:!0,fences:!1,fence:"`",bullet:"-",listItemIndent:"tab",rule:"*",ruleSpaces:!0,ruleRepetition:3,strong:"*",emphasis:"_"}},69425:(e,t,n)=>{"use strict";var r=n(61278),i=n(88637),o=n(74898),a=n(4608),s=n(3495);e.exports=function(e){return function(t,n,R){var I,M,B,z,U,q,$=this,W=e.gfm,H=e.commonmark,V=e.pedantic,G=H?[y,h]:[y],K=R&&R.children,Y=K&&K.indexOf(n),Z=K&&K[Y-1],X=K&&K[Y+1],Q=t.length,J=a(e),ee=-1,te=[],ne=te;for(I=Z?j(Z)&&L.test(Z.value):!R||"root"===R.type||"paragraph"===R.type;++ee<Q;){if(q=!1,"\n"===(M=t.charAt(ee)))I=!0;else if(M===k||M===S||M===m||M===O&&t.charAt(ee+1)===x||M===x||M===w||M===p&&s(t.slice(ee))>0||M===E&&$.inLink||W&&M===T&&t.charAt(ee+1)===T||W&&M===A&&($.inTable||N(t,ee))||M===C&&ee>0&&ee<Q-1&&(V||!i(t.charAt(ee-1))||!i(t.charAt(ee+1)))||W&&!$.inLink&&M===b&&F(te.join("")))q=!0;else if(I)if(M===_||M===d||M===m||M===v||M===g)q=!0;else if(r(M)){for(U=ee+1;U<Q&&r(t.charAt(U));)U++;-1!==G.indexOf(t.charAt(U))&&((X=t.charAt(U+1))&&X!==c&&X!==l&&X!==u||(te.push(t.slice(ee,U)),ee=U,M=t.charAt(ee),q=!0))}I&&!o(M)&&(I=!1),te.push(q?re(M):M)}if(K&&j(n)){if(Z&&Z.referenceType===D){for(ee=-1,Q=ne.length;++ee<Q;)if((M=ne[ee])!==c&&M!==l){M!==f&&M!==b||(ne[ee]=re(M));break}j(X)&&ee===Q&&X.value.charAt(0)===f&&ne.push(k)}W&&!$.inLink&&j(Z)&&t.charAt(0)===b&&F(Z.value.slice(-6))&&(ne[0]=re(b)),j(X)&&t.charAt(Q-1)===p&&0!==s(p+X.value)&&(ne[ne.length-1]=re(p)),X&&"link"===X.type&&t.charAt(Q-1)===O&&(ne[ne.length-1]=re(O)),W&&j(X)&&t.charAt(Q-1)===T&&X.value.charAt(0)===T&&ne.splice(ne.length-1,0,k),B=j(Z)&&i(Z.value.slice(-1)),z=j(X)&&i(X.value.charAt(0)),1===Q?t!==C||!V&&B&&z||ne.unshift(k):(t.charAt(0)!==C||!V&&B&&i(t.charAt(1))||ne.unshift(k),t.charAt(Q-1)!==C||!V&&z&&i(t.charAt(Q-2))||ne.splice(ne.length-1,0,k))}return ne.join("");function re(e){return-1===J.indexOf(e)?P[e]:k+e}}};var l="\t",u="\n",c=" ",d="#",p="&",f="(",h=")",m="*",g="+",v="-",y=".",b=":",w="<",_=">",x="[",k="\\",E="]",C="_",S="`",A="|",T="~",O="!",P={"<":"&lt;",":":"&#x3A;","&":"&amp;","|":"&#x7C;","~":"&#x7E;"},D="shortcut",R="mailto",I="https",M="http",L=/\n\s*$/;function N(e,t){var n,r=e.lastIndexOf(u,t),i=e.indexOf(u,t);for(i=-1===i?e.length:i;++r<i;)if((n=e.charAt(r))!==b&&n!==v&&n!==c&&n!==A)return!1;return!0}function j(e){return e&&"text"===e.type}function F(e){var t=e.slice(-6).toLowerCase();return t===R||t.slice(-5)===I||t.slice(-4)===M}},70581:e=>{"use strict";e.exports=function(e){for(var t=e.children,n=t.length,r=[],i=-1;++i<n;)r[i]=this.visit(t[i],e);return r}},60977:e=>{"use strict";e.exports=function(e){for(var i,o,a=this.options,s=a.fences,l=a.commonmark?r:n,u=[],c=e.children,d=c.length,p=-1;++p<d;)i=o,o=c[p],i&&("list"===i.type&&("list"===o.type&&i.ordered===o.ordered||"code"===o.type&&!o.lang&&!s)?u.push(l):u.push(t)),u.push(this.visit(o,e));return u.join("")};var t="\n\n",n=t+"\n",r=t+"\x3c!----\x3e"+t},83127:(e,t,n)=>{"use strict";var r=n(62499);e.exports=function(){return this.visit(r(this.tree,this.options.commonmark))}},24931:e=>{"use strict";e.exports=function(e,t){var n=this,r=n.visitors;return"function"!=typeof r[e.type]&&n.file.fail(new Error("Missing compiler for node of type `"+e.type+"`: `"+e+"`"),e),r[e.type].call(n,e,t)}},9899:e=>{"use strict";e.exports=function(e){var i,o=this,a=o.visitors.listItem,s=o.options.incrementListMarker,l=[],u=e.start,c=e.children,d=c.length,p=-1;for(u=null==u?1:u;++p<d;)i=(s?u+p:u)+n,l[p]=a.call(o,c[p],e,p,i);return l.join(e.spread?r:t)};var t="\n",n=".",r=t+t},41103:e=>{"use strict";e.exports=function(e){for(var r=this,i=r.options.bullet,o=r.visitors.listItem,a=e.children,s=a.length,l=-1,u=[];++l<s;)u[l]=o.call(r,a[l],e,l,i);return u.join(e.spread?n:t)};var t="\n",n=t+t},28018:(e,t,n)=>{"use strict";var r=n(51960),i=n(26952),o=n(14836),a=n(69425),s=n(85117);e.exports=function(e){var t,n,d=this,p=d.options;if(null==e)e={};else{if("object"!=typeof e)throw new Error("Invalid value `"+e+"` for setting `options`");e=r(e)}for(n in o)u[typeof o[n]](e,n,p[n],l[n]);return(t=e.ruleRepetition)&&t<3&&c(t,"options.ruleRepetition"),d.encode=function(e){var t={};return"false"===e?s:("true"===e&&(t.useNamedReferences=!0),"escape"===e&&(t.escapeOnly=!0,t.useNamedReferences=!0),function(e){return i(e,t)})}(String(e.entities)),d.escape=a(e),d.options=e,d};var l={entities:{true:!0,false:!0,numbers:!0,escape:!0},bullet:{"*":!0,"-":!0,"+":!0},rule:{"-":!0,_:!0,"*":!0},listItemIndent:{tab:!0,mixed:!0,1:!0},emphasis:{_:!0,"*":!0},strong:{_:!0,"*":!0},fence:{"`":!0,"~":!0}},u={boolean:function(e,t,n){var r=e[t];null==r&&(r=n),"boolean"!=typeof r&&c(r,"options."+t),e[t]=r},string:function(e,t,n,r){var i=e[t];null==i&&(i=n),(i=String(i))in r||c(i,"options."+t),e[t]=i},number:function(e,t,n){var r=e[t];null==r&&(r=n),isNaN(r)&&c(r,"options."+t),e[t]=r},function:function(e,t,n){var r=e[t];null==r&&(r=n),"function"!=typeof r&&c(r,"options."+t),e[t]=r}};function c(e,t){throw new Error("Invalid value `"+e+"` for setting `"+t+"`")}},84386:(e,t,n)=>{"use strict";var r=n(3495);e.exports=function(e,t){for(var n,a=e.length,s=t.length,l=[],u=0,c=0;c<a;){for(n=c;c<a&&!o.test(e.charAt(c));)c+=1;for(l.push(e.slice(n,c));u<s&&!o.test(t.charAt(u));)u+=1;for(n=u;u<s&&o.test(t.charAt(u));)t.charAt(u)===i&&(u+=r(t.slice(u))),u+=1;for(l.push(t.slice(n,u));c<a&&o.test(e.charAt(c));)c+=1}return l.join("")};var i="&",o=/[-!"#$%&'()*+,./:;<=>?@[\\\]^`{|}~_]/},55386:e=>{"use strict";e.exports=function(e){var r=-1===e.indexOf(t)?t:n;return r+e+r};var t='"',n="'"},19708:(e,t,n)=>{"use strict";var r=n(53404);e.exports=function(e,t){return t||0===e.length||l.test(e)||r(e,i)!==r(e,o)?a+e+s:e};var i="(",o=")",a="<",s=">",l=/\s/},29528:(e,t,n)=>{"use strict";var r=n(85117);e.exports=function(e,t){var n=e.encode,i=e.escape,o=e.enterLink();return"shortcut"!==t.referenceType&&"collapsed"!==t.referenceType?o:(e.escape=r,e.encode=r,function(){e.encode=n,e.escape=i,o()})}},3495:(e,t,n)=>{"use strict";var r=n(37059);e.exports=function(e){var t;return e.charAt(0)!==i?0:(t=e.split(i,2).join(i)).length-r(t).length};var i="&"},85117:e=>{"use strict";e.exports=function(e){return e}},65781:e=>{"use strict";e.exports=function(e){var o=e.referenceType;return o===r?"":t+(o===i?"":e.label||e.identifier)+n};var t="[",n="]",r="shortcut",i="collapsed"},85880:(e,t,n)=>{"use strict";var r=n(34076);e.exports=function(e,t){for(var n=e.split(i),s=n.length,l=r(o,t*a);s--;)0!==n[s].length&&(n[s]=l+n[s]);return n.join(i)};var i="\n",o=" ",a=4},13518:e=>{"use strict";e.exports=function(e){for(var i,o=this.block(e).split(t),a=[],s=o.length,l=-1;++l<s;)i=o[l],a[l]=(i?n:"")+i;return r+a.join(t+r)};var t="\n",n=" ",r=">"},22758:e=>{"use strict";e.exports=function(){return this.options.commonmark?t:n};var t="\\\n",n=" \n"},41266:(e,t,n)=>{"use strict";var r=n(6316),i=n(34076),o=n(85880);e.exports=function(e,t){var n,l=this,u=e.value,c=l.options,d=c.fence,p=e.lang||"";return p&&e.meta&&(p+=s+e.meta),(p=l.encode(l.escape(p,e)))||c.fences||!u?(n=i(d,Math.max(r(u,d)+1,3)))+p+a+u+a+n:(t&&"listItem"===t.type&&"tab"!==c.listItemIndent&&c.pedantic&&l.file.fail("Cannot indent code properly. See https://git.io/fxKR8",e.position),o(u,1))};var a="\n",s=" "},19825:(e,t,n)=>{"use strict";var r=n(19708),i=n(55386);e.exports=function(e){var t=r(e.url);return e.title&&(t+=o+i(e.title)),s+(e.label||e.identifier)+l+a+o+t};var o=" ",a=":",s="[",l="]"},21706:e=>{"use strict";e.exports=function(e){return t+this.all(e).join("")+t};var t="~~"},11577:e=>{"use strict";e.exports=function(e){var r=this.options.emphasis,i=this.all(e).join("");return this.options.pedantic&&r===t&&-1!==i.indexOf(r)&&(r=n),r+i+r};var t="_",n="*"},40243:(e,t,n)=>{"use strict";var r=n(34076)(" ",4);e.exports=function(e){var t=this.all(e).join("\n\n"+r);return"[^"+(e.label||e.identifier)+"]: "+t}},2888:e=>{"use strict";e.exports=function(e){return t+r+(e.label||e.identifier)+n};var t="[",n="]",r="^"},52898:e=>{"use strict";e.exports=function(e){return t+r+this.all(e).join("")+n};var t="[",n="]",r="^"},34732:(e,t,n)=>{"use strict";var r=n(34076);e.exports=function(e){var t,n=this,u=e.depth,c=n.options.setext,d=n.options.closeAtx,p=n.all(e).join("");return c&&u<3?p+i+r(1===u?l:s,p.length):(t=r(a,e.depth))+o+p+(d?o+t:"")};var i="\n",o=" ",a="#",s="-",l="="},33943:e=>{"use strict";e.exports=function(e){return e.value}},97943:(e,t,n)=>{"use strict";var r=n(65781);e.exports=function(e){return a+i+(this.encode(e.alt,e)||"")+o+r(e)};var i="[",o="]",a="!"},69326:(e,t,n)=>{"use strict";var r=n(19708),i=n(55386);e.exports=function(e){var t=this,n=r(t.encode(e.url||"",e)),d=t.enterLink(),p=t.encode(t.escape(e.alt||"",e));return d(),e.title&&(n+=o+i(t.encode(e.title,e))),c+l+p+u+a+n+s};var o=" ",a="(",s=")",l="[",u="]",c="!"},522:(e,t,n)=>{"use strict";var r=n(6316),i=n(34076);e.exports=function(e){var t=e.value,n=i(a,r(t,a)+1),s=n,l=n;return t.charAt(0)===a&&(s+=o),t.charAt(t.length-1)===a&&(l=o+l),s+t+l};var o=" ",a="`"},52019:(e,t,n)=>{"use strict";var r=n(84386),i=n(65781);e.exports=function(e){var t=this,n=e.referenceType,u=t.enterLinkReference(t,e),c=t.all(e).join("");return u(),(n===s||n===l)&&(c=r(c,e.label||e.identifier)),o+c+a+i(e)};var o="[",a="]",s="shortcut",l="collapsed"},51888:(e,t,n)=>{"use strict";var r=n(19708),i=n(55386);e.exports=function(e){var t=this,n=t.encode(e.url||"",e),d=t.enterLink(),p=t.encode(t.escape(e.url||"",e)),f=t.all(e).join("");return d(),null==e.title&&c.test(n)&&p===f?r(t.encode(e.url),!0):(n=r(n),e.title&&(n+=o+i(t.encode(t.escape(e.title,e),e))),a+f+s+l+n+u)};var o=" ",a="[",s="]",l="(",u=")",c=/^[a-z][a-z+.-]+:\/?/i},71878:(e,t,n)=>{"use strict";var r=n(34076),i=n(85880);e.exports=function(e,t,n,f){for(var h,m,g,v=this,y=v.options.listItemIndent,b=f||v.options.bullet,w=null==e.spread||e.spread,_=e.checked,x=e.children,k=x.length,E=[],C=-1;++C<k;)E[C]=v.visit(x[C],e);return h=E.join(w?d:o),"boolean"==typeof _&&(h=s+(_?u:a)+l+a+h),"1"===y||"mixed"===y&&-1===h.indexOf(o)?(m=b.length+1,g=a):(m=c((b.length+1)/p)*p,g=r(a,m-b.length)),h?b+g+i(h,m/p).slice(m):b};var o="\n",a=" ",s="[",l="]",u="x",c=Math.ceil,d=o+o,p=4},78829:e=>{"use strict";e.exports=function(e){return(e.ordered?this.visitOrderedItems:this.visitUnorderedItems).call(this,e)}},90008:e=>{"use strict";e.exports=function(e){return this.all(e).join("")}},56047:e=>{"use strict";e.exports=function(e){return this.block(e)+t};var t="\n"},19915:(e,t,n)=>{"use strict";var r=n(34076);e.exports=function(e){var t=r(this.options.strong,2);return t+this.all(e).join("")+t}},14825:e=>{"use strict";e.exports=function(e){return this.all(e).join("")}},84220:(e,t,n)=>{"use strict";var r=n(17591);e.exports=function(e){for(var t,n,a=this,s=a.options,l=s.looseTable,u=s.spacedTable,c=s.paddedTable,d=s.stringLength,p=e.children,f=p.length,h=a.enterTable(),m=[];f--;)m[f]=a.all(p[f]);return h(),l?(t="",n=""):u?(t=o+i,n=i+o):(t=o,n=o),r(m,{align:e.align,pad:c,start:t,end:n,stringLength:d,delimiter:u?i+o+i:o})};var i=" ",o="|"},18497:e=>{"use strict";e.exports=function(e,t){return this.encode(this.escape(e.value,e,t),e)}},1405:(e,t,n)=>{"use strict";var r=n(34076);e.exports=function(){var e=this.options,t=r(e.rule,e.ruleRepetition);return e.ruleSpaces?t.split("").join(i):t};var i=" "},32876:e=>{"use strict";var t;e.exports=function(e){var n,r="&"+e+";";return(t=t||document.createElement("i")).innerHTML=r,(59!==(n=t.textContent).charCodeAt(n.length-1)||"semi"===e)&&(n!==r&&n)}},37059:(e,t,n)=>{"use strict";var r=n(93562),i=n(71216),o=n(61278),a=n(48833),s=n(65279),l=n(32876);e.exports=function(e,t){var n,o,a={};for(o in t||(t={}),p)n=t[o],a[o]=null==n?p[o]:n;return(a.position.indent||a.position.start)&&(a.indent=a.position.indent||[],a.position=a.position.start),function(e,t){var n,o,a,p,B,z,U,q,$,W,H,V,G,K,Y,Z,X,Q,J,ee,te=t.additional,ne=t.nonTerminated,re=t.text,ie=t.reference,oe=t.warning,ae=t.textContext,se=t.referenceContext,le=t.warningContext,ue=t.position,ce=t.indent||[],de=e.length,pe=0,fe=-1,he=ue.column||1,me=ue.line||1,ge="",ve=[];for("string"==typeof te&&(te=te.charCodeAt(0)),Z=ye(),q=oe?function(e,t){var n=ye();n.column+=t,n.offset+=t,oe.call(le,j[e],n,e)}:d,pe--,de++;++pe<de;)if(B===h&&(he=ce[fe]||1),(B=e.charCodeAt(pe))===v){if((U=e.charCodeAt(pe+1))===f||U===h||U===m||U===g||U===v||U===b||U!=U||te&&U===te){ge+=c(B),he++;continue}for(V=G=pe+1,J=G,U===_?(J=++V,(U=e.charCodeAt(J))===x||U===k?(K=S,J=++V):K=A):K=C,n="",H="",p="",Y=O[K],J--;++J<de&&Y(U=e.charCodeAt(J));)p+=c(U),K===C&&u.call(r,p)&&(n=p,H=r[p]);(a=e.charCodeAt(J)===y)&&(J++,(o=K===C&&l(p))&&(n=p,H=o)),Q=1+J-G,(a||ne)&&(p?K===C?(a&&!H?q(M,1):(n!==p&&(Q=1+(J=V+n.length)-V,a=!1),a||($=n?P:R,t.attribute?(U=e.charCodeAt(J))===w?(q($,Q),H=null):s(U)?H=null:q($,Q):q($,Q))),z=H):(a||q(D,Q),(ee=z=parseInt(p,T[K]))>=55296&&ee<=57343||ee>1114111?(q(N,Q),z=c(E)):z in i?(q(L,Q),z=i[z]):(W="",F(z)&&q(L,Q),z>65535&&(W+=c((z-=65536)>>>10|55296),z=56320|1023&z),z=W+c(z))):K!==C&&q(I,Q)),z?(be(),Z=ye(),pe=J-1,he+=J-G+1,ve.push(z),(X=ye()).offset++,ie&&ie.call(se,z,{start:Z,end:X},e.slice(G-1,J)),Z=X):(p=e.slice(G-1,J),ge+=p,he+=p.length,pe=J-1)}else 10===B&&(me++,fe++,he=0),B==B?(ge+=c(B),he++):be();return ve.join("");function ye(){return{line:me,column:he,offset:pe+(ue.offset||0)}}function be(){ge&&(ve.push(ge),re&&re.call(ae,ge,{start:Z,end:ye()}),ge="")}}(e,a)};var u={}.hasOwnProperty,c=String.fromCharCode,d=Function.prototype,p={warning:null,reference:null,text:null,warningContext:null,referenceContext:null,textContext:null,position:{},additional:null,attribute:!1,nonTerminated:!0},f=9,h=10,m=12,g=32,v=38,y=59,b=60,w=61,_=35,x=88,k=120,E=65533,C="named",S="hexadecimal",A="decimal",T={};T[S]=16,T[A]=10;var O={};O[C]=s,O[A]=o,O[S]=a;var P=1,D=2,R=3,I=4,M=5,L=6,N=7,j={};function F(e){return e>=1&&e<=8||11===e||e>=13&&e<=31||e>=127&&e<=159||e>=64976&&e<=65007||65535==(65535&e)||65534==(65535&e)}j[P]="Named character references must be terminated by a semicolon",j[D]="Numeric character references must be terminated by a semicolon",j[R]="Named character references cannot be empty",j[I]="Numeric character references cannot be empty",j[M]="Named character references must be known",j[L]="Numeric character references cannot be disallowed",j[N]="Numeric character references cannot be outside the permissible Unicode range"},34076:e=>{"use strict";var t,n="";e.exports=function(e,r){if("string"!=typeof e)throw new TypeError("expected a string");if(1===r)return e;if(2===r)return e+e;var i=e.length*r;if(t!==e||void 0===t)t=e,n="";else if(n.length>=i)return n.substr(0,i);for(;i>n.length&&r>1;)1&r&&(n+=e),r>>=1,e+=e;return n=(n+=e).substr(0,i)}},77834:(e,t,n)=>{var r=n(48834),i=r.Buffer;function o(e,t){for(var n in e)t[n]=e[n]}function a(e,t,n){return i(e,t,n)}i.from&&i.alloc&&i.allocUnsafe&&i.allocUnsafeSlow?e.exports=r:(o(r,t),t.Buffer=a),a.prototype=Object.create(i.prototype),o(i,a),a.from=function(e,t,n){if("number"==typeof e)throw new TypeError("Argument must not be a number");return i(e,t,n)},a.alloc=function(e,t,n){if("number"!=typeof e)throw new TypeError("Argument must be a number");var r=i(e);return void 0!==t?"string"==typeof n?r.fill(t,n):r.fill(t):r.fill(0),r},a.allocUnsafe=function(e){if("number"!=typeof e)throw new TypeError("Argument must be a number");return i(e)},a.allocUnsafeSlow=function(e){if("number"!=typeof e)throw new TypeError("Argument must be a number");return r.SlowBuffer(e)}},17826:(e,t,n)=>{"use strict";var r=n(83439),i=/[\/\?<>\\:\*\|"]/g,o=/[\x00-\x1f\x80-\x9f]/g,a=/^\.+$/,s=/^(con|prn|aux|nul|com[0-9]|lpt[0-9])(\..*)?$/i,l=/[\. ]+$/;function u(e,t){if("string"!=typeof e)throw new Error("Input must be string");var n=e.replace(i,t).replace(o,t).replace(a,t).replace(s,t).replace(l,t);return r(n,255)}e.exports=function(e,t){var n=t&&t.replacement||"",r=u(e,n);return""===n?r:u(r,"")}},46475:(e,t)=>{"use strict";function n(e,t){var n=e.length;e.push(t);e:for(;0<n;){var r=n-1>>>1,i=e[r];if(!(0<o(i,t)))break e;e[r]=t,e[n]=i,n=r}}function r(e){return 0===e.length?null:e[0]}function i(e){if(0===e.length)return null;var t=e[0],n=e.pop();if(n!==t){e[0]=n;e:for(var r=0,i=e.length,a=i>>>1;r<a;){var s=2*(r+1)-1,l=e[s],u=s+1,c=e[u];if(0>o(l,n))u<i&&0>o(c,l)?(e[r]=c,e[u]=n,r=u):(e[r]=l,e[s]=n,r=s);else{if(!(u<i&&0>o(c,n)))break e;e[r]=c,e[u]=n,r=u}}}return t}function o(e,t){var n=e.sortIndex-t.sortIndex;return 0!==n?n:e.id-t.id}if("object"==typeof performance&&"function"==typeof performance.now){var a=performance;t.unstable_now=function(){return a.now()}}else{var s=Date,l=s.now();t.unstable_now=function(){return s.now()-l}}var u=[],c=[],d=1,p=null,f=3,h=!1,m=!1,g=!1,v="function"==typeof setTimeout?setTimeout:null,y="function"==typeof clearTimeout?clearTimeout:null,b="undefined"!=typeof setImmediate?setImmediate:null;function w(e){for(var t=r(c);null!==t;){if(null===t.callback)i(c);else{if(!(t.startTime<=e))break;i(c),t.sortIndex=t.expirationTime,n(u,t)}t=r(c)}}function _(e){if(g=!1,w(e),!m)if(null!==r(u))m=!0,I(x);else{var t=r(c);null!==t&&M(_,t.startTime-e)}}function x(e,n){m=!1,g&&(g=!1,y(S),S=-1),h=!0;var o=f;try{for(w(n),p=r(u);null!==p&&(!(p.expirationTime>n)||e&&!O());){var a=p.callback;if("function"==typeof a){p.callback=null,f=p.priorityLevel;var s=a(p.expirationTime<=n);n=t.unstable_now(),"function"==typeof s?p.callback=s:p===r(u)&&i(u),w(n)}else i(u);p=r(u)}if(null!==p)var l=!0;else{var d=r(c);null!==d&&M(_,d.startTime-n),l=!1}return l}finally{p=null,f=o,h=!1}}"undefined"!=typeof navigator&&void 0!==navigator.scheduling&&void 0!==navigator.scheduling.isInputPending&&navigator.scheduling.isInputPending.bind(navigator.scheduling);var k,E=!1,C=null,S=-1,A=5,T=-1;function O(){return!(t.unstable_now()-T<A)}function P(){if(null!==C){var e=t.unstable_now();T=e;var n=!0;try{n=C(!0,e)}finally{n?k():(E=!1,C=null)}}else E=!1}if("function"==typeof b)k=function(){b(P)};else if("undefined"!=typeof MessageChannel){var D=new MessageChannel,R=D.port2;D.port1.onmessage=P,k=function(){R.postMessage(null)}}else k=function(){v(P,0)};function I(e){C=e,E||(E=!0,k())}function M(e,n){S=v((function(){e(t.unstable_now())}),n)}t.unstable_IdlePriority=5,t.unstable_ImmediatePriority=1,t.unstable_LowPriority=4,t.unstable_NormalPriority=3,t.unstable_Profiling=null,t.unstable_UserBlockingPriority=2,t.unstable_cancelCallback=function(e){e.callback=null},t.unstable_continueExecution=function(){m||h||(m=!0,I(x))},t.unstable_forceFrameRate=function(e){0>e||125<e?console.error("forceFrameRate takes a positive int between 0 and 125, forcing frame rates higher than 125 fps is not supported"):A=0<e?Math.floor(1e3/e):5},t.unstable_getCurrentPriorityLevel=function(){return f},t.unstable_getFirstCallbackNode=function(){return r(u)},t.unstable_next=function(e){switch(f){case 1:case 2:case 3:var t=3;break;default:t=f}var n=f;f=t;try{return e()}finally{f=n}},t.unstable_pauseExecution=function(){},t.unstable_requestPaint=function(){},t.unstable_runWithPriority=function(e,t){switch(e){case 1:case 2:case 3:case 4:case 5:break;default:e=3}var n=f;f=e;try{return t()}finally{f=n}},t.unstable_scheduleCallback=function(e,i,o){var a=t.unstable_now();switch(o="object"==typeof o&&null!==o&&"number"==typeof(o=o.delay)&&0<o?a+o:a,e){case 1:var s=-1;break;case 2:s=250;break;case 5:s=1073741823;break;case 4:s=1e4;break;default:s=5e3}return e={id:d++,callback:i,priorityLevel:e,startTime:o,expirationTime:s=o+s,sortIndex:-1},o>a?(e.sortIndex=o,n(c,e),null===r(u)&&e===r(c)&&(g?(y(S),S=-1):g=!0,M(_,o-a))):(e.sortIndex=s,n(u,e),m||h||(m=!0,I(x))),e},t.unstable_shouldYield=O,t.unstable_wrapCallback=function(e){var t=f;return function(){var n=f;f=t;try{return e.apply(this,arguments)}finally{f=n}}}},14616:(e,t,n)=>{"use strict";e.exports=n(46475)},24162:(e,t,n)=>{"use strict";var r=n(91366),i=n(24401);function o(e,t){return e.slice(0,t.length)===t&&e.charAt(t.length+1)!==t.slice(-1)}function a(e){return e}e.exports=function(e,t){"function"==typeof t&&(t={parse:t});var n=function(e){if("object"!==r(e)&&(e={content:e}),"string"!=typeof e.content&&!((t=e.content)&&t.constructor&&"function"==typeof t.constructor.isBuffer&&t.constructor.isBuffer(t)))throw new TypeError("expected a buffer or string");var t;return e.content=e.content.toString(),e.sections=[],e}(e),s=i({},{section_delimiter:"---",parse:a},t),l=s.section_delimiter,u=n.content.split(/\r?\n/),c=null,d={key:"",data:"",content:""},p=[],f=[];function h(e){n.content=e,c=[],p=[]}function m(e){f.length&&(d.key=function(e,t){return e?e.slice(t.length).trim():""}(f[0],l),d.content=e,s.parse(d,c),c.push(d),d={key:"",data:"",content:""},p=[],f=[])}for(var g=0;g<u.length;g++){var v=u[g],y=f.length,b=v.trim();if(o(b,l)){if(3===b.length&&0!==g){if(0===y||2===y){p.push(v);continue}f.push(b),d.data=p.join("\n"),p=[];continue}null===c&&h(p.join("\n")),2===y&&m(p.join("\n")),f.push(b)}else p.push(v)}return null===c?h(p.join("\n")):m(p.join("\n")),n.sections=c,n}},63252:function(e){!function(t){"use strict";var n=function(e){setTimeout(e,0)};"undefined"!=typeof process&&process&&"function"==typeof process.nextTick&&(n=process.nextTick),e.exports=function(e){var t={capacity:e||1,current:0,queue:[],firstHere:!1,take:function(){if(!1===t.firstHere){t.current++,t.firstHere=!0;var e=1}else e=0;var n={n:1};"function"==typeof arguments[0]?n.task=arguments[0]:n.n=arguments[0],arguments.length>=2&&("function"==typeof arguments[1]?n.task=arguments[1]:n.n=arguments[1]);var r=n.task;if(n.task=function(){r(t.leave)},t.current+n.n-e>t.capacity)return 1===e&&(t.current--,t.firstHere=!1),t.queue.push(n);t.current+=n.n-e,n.task(t.leave),1===e&&(t.firstHere=!1)},leave:function(e){if(e=e||1,t.current-=e,t.queue.length){var r=t.queue[0];r.n+t.current>t.capacity||(t.queue.shift(),t.current+=r.n,n(r.task))}else if(t.current<0)throw new Error("leave called too many times.")},available:function(e){return e=e||1,t.current+e<=t.capacity}};return t}}()},47669:(e,t,n)=>{"use strict";var r=n(67286),i=n(75195),o=n(81181)(),a=n(50326),s=n(5408),l=r("%Math.floor%");e.exports=function(e,t){if("function"!=typeof e)throw new s("`fn` is not a function");if("number"!=typeof t||t<0||t>4294967295||l(t)!==t)throw new s("`length` must be a positive 32-bit integer");var n=arguments.length>2&&!!arguments[2],r=!0,u=!0;if("length"in e&&a){var c=a(e,"length");c&&!c.configurable&&(r=!1),c&&!c.writable&&(u=!1)}return(r||u||!n)&&(o?i(e,"length",t,!0,!0):i(e,"length",t)),e}},74294:(e,t,n)=>{"use strict";var r=n(67286),i=n(62680),o=n(99500),a=r("%TypeError%"),s=r("%WeakMap%",!0),l=r("%Map%",!0),u=i("WeakMap.prototype.get",!0),c=i("WeakMap.prototype.set",!0),d=i("WeakMap.prototype.has",!0),p=i("Map.prototype.get",!0),f=i("Map.prototype.set",!0),h=i("Map.prototype.has",!0),m=function(e,t){for(var n,r=e;null!==(n=r.next);r=n)if(n.key===t)return r.next=n.next,n.next=e.next,e.next=n,n};e.exports=function(){var e,t,n,r={assert:function(e){if(!r.has(e))throw new a("Side channel does not contain "+o(e))},get:function(r){if(s&&r&&("object"==typeof r||"function"==typeof r)){if(e)return u(e,r)}else if(l){if(t)return p(t,r)}else if(n)return function(e,t){var n=m(e,t);return n&&n.value}(n,r)},has:function(r){if(s&&r&&("object"==typeof r||"function"==typeof r)){if(e)return d(e,r)}else if(l){if(t)return h(t,r)}else if(n)return function(e,t){return!!m(e,t)}(n,r);return!1},set:function(r,i){s&&r&&("object"==typeof r||"function"==typeof r)?(e||(e=new s),c(e,r,i)):l?(t||(t=new l),f(t,r,i)):(n||(n={key:{},next:null}),function(e,t,n){var r=m(e,t);r?r.value=n:e.next={key:t,next:e.next,value:n}}(n,r,i))}};return r}},17249:(e,t)=>{"use strict";for(var n="undefined"!=typeof window&&/Mac|iPod|iPhone|iPad/.test(window.navigator.platform),r={alt:"altKey",control:"ctrlKey",meta:"metaKey",shift:"shiftKey"},i={add:"+",break:"pause",cmd:"meta",command:"meta",ctl:"control",ctrl:"control",del:"delete",down:"arrowdown",esc:"escape",ins:"insert",left:"arrowleft",mod:n?"meta":"control",opt:"alt",option:"alt",return:"enter",right:"arrowright",space:" ",spacebar:" ",up:"arrowup",win:"meta",windows:"meta"},o={backspace:8,tab:9,enter:13,shift:16,control:17,alt:18,pause:19,capslock:20,escape:27," ":32,pageup:33,pagedown:34,end:35,home:36,arrowleft:37,arrowup:38,arrowright:39,arrowdown:40,insert:45,delete:46,meta:91,numlock:144,scrolllock:145,";":186,"=":187,",":188,"-":189,".":190,"/":191,"`":192,"[":219,"\\":220,"]":221,"'":222},a=1;a<20;a++)o["f"+a]=111+a;function s(e,t,n){t&&!("byKey"in t)&&(n=t,t=null),Array.isArray(e)||(e=[e]);var i=e.map((function(e){return function(e,t){var n=t&&t.byKey,i={},o=(e=e.replace("++","+add")).split("+"),a=o.length;for(var s in r)i[r[s]]=!1;var c=!0,d=!1,p=void 0;try{for(var f,h=o[Symbol.iterator]();!(c=(f=h.next()).done);c=!0){var m=f.value,g=m.endsWith("?")&&m.length>1;g&&(m=m.slice(0,-1));var v=u(m),y=r[v];1!==a&&y||(n?i.key=v:i.which=l(m)),y&&(i[y]=!g||null)}}catch(e){d=!0,p=e}finally{try{!c&&h.return&&h.return()}finally{if(d)throw p}}return i}(e,t)})),o=function(e){return i.some((function(t){return function(e,t){for(var n in e){var r=e[n],i=void 0;if(null!=r&&(null!=(i="key"===n&&null!=t.key?t.key.toLowerCase():"which"===n?91===r&&93===t.which?91:t.which:t[n])||!1!==r)&&i!==r)return!1}return!0}(t,e)}))};return null==n?o:o(n)}function l(e){return e=u(e),o[e]||e.toUpperCase().charCodeAt(0)}function u(e){return e=e.toLowerCase(),i[e]||e}t.TB=function(e,t){return s(e,{byKey:!0},t)}},93113:(e,t)=>{"use strict";t.parse=function(e){var t=String(e||n).trim();return t===n?[]:t.split(i)},t.stringify=function(e){return e.join(r).trim()};var n="",r=" ",i=/[ \t\n\r\f]+/g},82344:e=>{"use strict";e.exports=function(e,t,n){return function(){var r=n||this,i=r[e];return r[e]=!t,function(){r[e]=i}}}},54851:(e,t,n)=>{e.exports=i;var r=n(22699).EventEmitter;function i(){r.call(this)}n(91285)(i,r),i.Readable=n(33323),i.Writable=n(8234),i.Duplex=n(35929),i.Transform=n(69535),i.PassThrough=n(77706),i.finished=n(2529),i.pipeline=n(19125),i.Stream=i,i.prototype.pipe=function(e,t){var n=this;function i(t){e.writable&&!1===e.write(t)&&n.pause&&n.pause()}function o(){n.readable&&n.resume&&n.resume()}n.on("data",i),e.on("drain",o),e._isStdio||t&&!1===t.end||(n.on("end",s),n.on("close",l));var a=!1;function s(){a||(a=!0,e.end())}function l(){a||(a=!0,"function"==typeof e.destroy&&e.destroy())}function u(e){if(c(),0===r.listenerCount(this,"error"))throw e}function c(){n.removeListener("data",i),e.removeListener("drain",o),n.removeListener("end",s),n.removeListener("close",l),n.removeListener("error",u),e.removeListener("error",u),n.removeListener("end",c),n.removeListener("close",c),e.removeListener("close",c)}return n.on("error",u),e.on("error",u),n.on("end",c),n.on("close",c),e.on("close",c),e.emit("pipe",n),e}},49150:e=>{"use strict";var t={};function n(e,n,r){r||(r=Error);var i=function(e){var t,r;function i(t,r,i){return e.call(this,function(e,t,r){return"string"==typeof n?n:n(e,t,r)}(t,r,i))||this}return r=e,(t=i).prototype=Object.create(r.prototype),t.prototype.constructor=t,t.__proto__=r,i}(r);i.prototype.name=r.name,i.prototype.code=e,t[e]=i}function r(e,t){if(Array.isArray(e)){var n=e.length;return e=e.map((function(e){return String(e)})),n>2?"one of ".concat(t," ").concat(e.slice(0,n-1).join(", "),", or ")+e[n-1]:2===n?"one of ".concat(t," ").concat(e[0]," or ").concat(e[1]):"of ".concat(t," ").concat(e[0])}return"of ".concat(t," ").concat(String(e))}n("ERR_INVALID_OPT_VALUE",(function(e,t){return'The value "'+t+'" is invalid for option "'+e+'"'}),TypeError),n("ERR_INVALID_ARG_TYPE",(function(e,t,n){var i,o,a,s,l;if("string"==typeof t&&(o="not ",t.substr(0,4)===o)?(i="must not be",t=t.replace(/^not /,"")):i="must be",function(e,t,n){return(void 0===n||n>e.length)&&(n=e.length),e.substring(n-9,n)===t}(e," argument"))a="The ".concat(e," ").concat(i," ").concat(r(t,"type"));else{var u=("number"!=typeof l&&(l=0),l+1>(s=e).length||-1===s.indexOf(".",l)?"argument":"property");a='The "'.concat(e,'" ').concat(u," ").concat(i," ").concat(r(t,"type"))}return a+". Received type ".concat(typeof n)}),TypeError),n("ERR_STREAM_PUSH_AFTER_EOF","stream.push() after EOF"),n("ERR_METHOD_NOT_IMPLEMENTED",(function(e){return"The "+e+" method is not implemented"})),n("ERR_STREAM_PREMATURE_CLOSE","Premature close"),n("ERR_STREAM_DESTROYED",(function(e){return"Cannot call "+e+" after a stream was destroyed"})),n("ERR_MULTIPLE_CALLBACK","Callback called multiple times"),n("ERR_STREAM_CANNOT_PIPE","Cannot pipe, not readable"),n("ERR_STREAM_WRITE_AFTER_END","write after end"),n("ERR_STREAM_NULL_VALUES","May not write null values to stream",TypeError),n("ERR_UNKNOWN_ENCODING",(function(e){return"Unknown encoding: "+e}),TypeError),n("ERR_STREAM_UNSHIFT_AFTER_END_EVENT","stream.unshift() after end event"),e.exports.q=t},35929:(e,t,n)=>{"use strict";var r=Object.keys||function(e){var t=[];for(var n in e)t.push(n);return t};e.exports=u;var i=n(33323),o=n(8234);n(91285)(u,i);for(var a=r(o.prototype),s=0;s<a.length;s++){var l=a[s];u.prototype[l]||(u.prototype[l]=o.prototype[l])}function u(e){if(!(this instanceof u))return new u(e);i.call(this,e),o.call(this,e),this.allowHalfOpen=!0,e&&(!1===e.readable&&(this.readable=!1),!1===e.writable&&(this.writable=!1),!1===e.allowHalfOpen&&(this.allowHalfOpen=!1,this.once("end",c)))}function c(){this._writableState.ended||process.nextTick(d,this)}function d(e){e.end()}Object.defineProperty(u.prototype,"writableHighWaterMark",{enumerable:!1,get:function(){return this._writableState.highWaterMark}}),Object.defineProperty(u.prototype,"writableBuffer",{enumerable:!1,get:function(){return this._writableState&&this._writableState.getBuffer()}}),Object.defineProperty(u.prototype,"writableLength",{enumerable:!1,get:function(){return this._writableState.length}}),Object.defineProperty(u.prototype,"destroyed",{enumerable:!1,get:function(){return void 0!==this._readableState&&void 0!==this._writableState&&this._readableState.destroyed&&this._writableState.destroyed},set:function(e){void 0!==this._readableState&&void 0!==this._writableState&&(this._readableState.destroyed=e,this._writableState.destroyed=e)}})},77706:(e,t,n)=>{"use strict";e.exports=i;var r=n(69535);function i(e){if(!(this instanceof i))return new i(e);r.call(this,e)}n(91285)(i,r),i.prototype._transform=function(e,t,n){n(null,e)}},33323:(e,t,n)=>{"use strict";var r;e.exports=E,E.ReadableState=k,n(22699).EventEmitter;var i,o=function(e,t){return e.listeners(t).length},a=n(14811),s=n(48834).Buffer,l=(void 0!==n.g?n.g:"undefined"!=typeof window?window:"undefined"!=typeof self?self:{}).Uint8Array||function(){},u=n(59255);i=u&&u.debuglog?u.debuglog("stream"):function(){};var c,d,p,f=n(73413),h=n(50163),m=n(24774).getHighWaterMark,g=n(49150).q,v=g.ERR_INVALID_ARG_TYPE,y=g.ERR_STREAM_PUSH_AFTER_EOF,b=g.ERR_METHOD_NOT_IMPLEMENTED,w=g.ERR_STREAM_UNSHIFT_AFTER_END_EVENT;n(91285)(E,a);var _=h.errorOrDestroy,x=["error","close","destroy","pause","resume"];function k(e,t,i){r=r||n(35929),e=e||{},"boolean"!=typeof i&&(i=t instanceof r),this.objectMode=!!e.objectMode,i&&(this.objectMode=this.objectMode||!!e.readableObjectMode),this.highWaterMark=m(this,e,"readableHighWaterMark",i),this.buffer=new f,this.length=0,this.pipes=null,this.pipesCount=0,this.flowing=null,this.ended=!1,this.endEmitted=!1,this.reading=!1,this.sync=!0,this.needReadable=!1,this.emittedReadable=!1,this.readableListening=!1,this.resumeScheduled=!1,this.paused=!0,this.emitClose=!1!==e.emitClose,this.autoDestroy=!!e.autoDestroy,this.destroyed=!1,this.defaultEncoding=e.defaultEncoding||"utf8",this.awaitDrain=0,this.readingMore=!1,this.decoder=null,this.encoding=null,e.encoding&&(c||(c=n(30214).s),this.decoder=new c(e.encoding),this.encoding=e.encoding)}function E(e){if(r=r||n(35929),!(this instanceof E))return new E(e);var t=this instanceof r;this._readableState=new k(e,this,t),this.readable=!0,e&&("function"==typeof e.read&&(this._read=e.read),"function"==typeof e.destroy&&(this._destroy=e.destroy)),a.call(this)}function C(e,t,n,r,o){i("readableAddChunk",t);var a,u=e._readableState;if(null===t)u.reading=!1,function(e,t){if(i("onEofChunk"),!t.ended){if(t.decoder){var n=t.decoder.end();n&&n.length&&(t.buffer.push(n),t.length+=t.objectMode?1:n.length)}t.ended=!0,t.sync?O(e):(t.needReadable=!1,t.emittedReadable||(t.emittedReadable=!0,P(e)))}}(e,u);else if(o||(a=function(e,t){var n,r;return r=t,s.isBuffer(r)||r instanceof l||"string"==typeof t||void 0===t||e.objectMode||(n=new v("chunk",["string","Buffer","Uint8Array"],t)),n}(u,t)),a)_(e,a);else if(u.objectMode||t&&t.length>0)if("string"==typeof t||u.objectMode||Object.getPrototypeOf(t)===s.prototype||(t=function(e){return s.from(e)}(t)),r)u.endEmitted?_(e,new w):S(e,u,t,!0);else if(u.ended)_(e,new y);else{if(u.destroyed)return!1;u.reading=!1,u.decoder&&!n?(t=u.decoder.write(t),u.objectMode||0!==t.length?S(e,u,t,!1):D(e,u)):S(e,u,t,!1)}else r||(u.reading=!1,D(e,u));return!u.ended&&(u.length<u.highWaterMark||0===u.length)}function S(e,t,n,r){t.flowing&&0===t.length&&!t.sync?(t.awaitDrain=0,e.emit("data",n)):(t.length+=t.objectMode?1:n.length,r?t.buffer.unshift(n):t.buffer.push(n),t.needReadable&&O(e)),D(e,t)}Object.defineProperty(E.prototype,"destroyed",{enumerable:!1,get:function(){return void 0!==this._readableState&&this._readableState.destroyed},set:function(e){this._readableState&&(this._readableState.destroyed=e)}}),E.prototype.destroy=h.destroy,E.prototype._undestroy=h.undestroy,E.prototype._destroy=function(e,t){t(e)},E.prototype.push=function(e,t){var n,r=this._readableState;return r.objectMode?n=!0:"string"==typeof e&&((t=t||r.defaultEncoding)!==r.encoding&&(e=s.from(e,t),t=""),n=!0),C(this,e,t,!1,n)},E.prototype.unshift=function(e){return C(this,e,null,!0,!1)},E.prototype.isPaused=function(){return!1===this._readableState.flowing},E.prototype.setEncoding=function(e){c||(c=n(30214).s);var t=new c(e);this._readableState.decoder=t,this._readableState.encoding=this._readableState.decoder.encoding;for(var r=this._readableState.buffer.head,i="";null!==r;)i+=t.write(r.data),r=r.next;return this._readableState.buffer.clear(),""!==i&&this._readableState.buffer.push(i),this._readableState.length=i.length,this};var A=1073741824;function T(e,t){return e<=0||0===t.length&&t.ended?0:t.objectMode?1:e!=e?t.flowing&&t.length?t.buffer.head.data.length:t.length:(e>t.highWaterMark&&(t.highWaterMark=function(e){return e>=A?e=A:(e--,e|=e>>>1,e|=e>>>2,e|=e>>>4,e|=e>>>8,e|=e>>>16,e++),e}(e)),e<=t.length?e:t.ended?t.length:(t.needReadable=!0,0))}function O(e){var t=e._readableState;i("emitReadable",t.needReadable,t.emittedReadable),t.needReadable=!1,t.emittedReadable||(i("emitReadable",t.flowing),t.emittedReadable=!0,process.nextTick(P,e))}function P(e){var t=e._readableState;i("emitReadable_",t.destroyed,t.length,t.ended),t.destroyed||!t.length&&!t.ended||(e.emit("readable"),t.emittedReadable=!1),t.needReadable=!t.flowing&&!t.ended&&t.length<=t.highWaterMark,N(e)}function D(e,t){t.readingMore||(t.readingMore=!0,process.nextTick(R,e,t))}function R(e,t){for(;!t.reading&&!t.ended&&(t.length<t.highWaterMark||t.flowing&&0===t.length);){var n=t.length;if(i("maybeReadMore read 0"),e.read(0),n===t.length)break}t.readingMore=!1}function I(e){var t=e._readableState;t.readableListening=e.listenerCount("readable")>0,t.resumeScheduled&&!t.paused?t.flowing=!0:e.listenerCount("data")>0&&e.resume()}function M(e){i("readable nexttick read 0"),e.read(0)}function L(e,t){i("resume",t.reading),t.reading||e.read(0),t.resumeScheduled=!1,e.emit("resume"),N(e),t.flowing&&!t.reading&&e.read(0)}function N(e){var t=e._readableState;for(i("flow",t.flowing);t.flowing&&null!==e.read(););}function j(e,t){return 0===t.length?null:(t.objectMode?n=t.buffer.shift():!e||e>=t.length?(n=t.decoder?t.buffer.join(""):1===t.buffer.length?t.buffer.first():t.buffer.concat(t.length),t.buffer.clear()):n=t.buffer.consume(e,t.decoder),n);var n}function F(e){var t=e._readableState;i("endReadable",t.endEmitted),t.endEmitted||(t.ended=!0,process.nextTick(B,t,e))}function B(e,t){if(i("endReadableNT",e.endEmitted,e.length),!e.endEmitted&&0===e.length&&(e.endEmitted=!0,t.readable=!1,t.emit("end"),e.autoDestroy)){var n=t._writableState;(!n||n.autoDestroy&&n.finished)&&t.destroy()}}function z(e,t){for(var n=0,r=e.length;n<r;n++)if(e[n]===t)return n;return-1}E.prototype.read=function(e){i("read",e),e=parseInt(e,10);var t=this._readableState,n=e;if(0!==e&&(t.emittedReadable=!1),0===e&&t.needReadable&&((0!==t.highWaterMark?t.length>=t.highWaterMark:t.length>0)||t.ended))return i("read: emitReadable",t.length,t.ended),0===t.length&&t.ended?F(this):O(this),null;if(0===(e=T(e,t))&&t.ended)return 0===t.length&&F(this),null;var r,o=t.needReadable;return i("need readable",o),(0===t.length||t.length-e<t.highWaterMark)&&i("length less than watermark",o=!0),t.ended||t.reading?i("reading or ended",o=!1):o&&(i("do read"),t.reading=!0,t.sync=!0,0===t.length&&(t.needReadable=!0),this._read(t.highWaterMark),t.sync=!1,t.reading||(e=T(n,t))),null===(r=e>0?j(e,t):null)?(t.needReadable=t.length<=t.highWaterMark,e=0):(t.length-=e,t.awaitDrain=0),0===t.length&&(t.ended||(t.needReadable=!0),n!==e&&t.ended&&F(this)),null!==r&&this.emit("data",r),r},E.prototype._read=function(e){_(this,new b("_read()"))},E.prototype.pipe=function(e,t){var n=this,r=this._readableState;switch(r.pipesCount){case 0:r.pipes=e;break;case 1:r.pipes=[r.pipes,e];break;default:r.pipes.push(e)}r.pipesCount+=1,i("pipe count=%d opts=%j",r.pipesCount,t);var a=t&&!1===t.end||e===process.stdout||e===process.stderr?h:s;function s(){i("onend"),e.end()}r.endEmitted?process.nextTick(a):n.once("end",a),e.on("unpipe",(function t(o,a){i("onunpipe"),o===n&&a&&!1===a.hasUnpiped&&(a.hasUnpiped=!0,i("cleanup"),e.removeListener("close",p),e.removeListener("finish",f),e.removeListener("drain",l),e.removeListener("error",d),e.removeListener("unpipe",t),n.removeListener("end",s),n.removeListener("end",h),n.removeListener("data",c),u=!0,!r.awaitDrain||e._writableState&&!e._writableState.needDrain||l())}));var l=function(e){return function(){var t=e._readableState;i("pipeOnDrain",t.awaitDrain),t.awaitDrain&&t.awaitDrain--,0===t.awaitDrain&&o(e,"data")&&(t.flowing=!0,N(e))}}(n);e.on("drain",l);var u=!1;function c(t){i("ondata");var o=e.write(t);i("dest.write",o),!1===o&&((1===r.pipesCount&&r.pipes===e||r.pipesCount>1&&-1!==z(r.pipes,e))&&!u&&(i("false write response, pause",r.awaitDrain),r.awaitDrain++),n.pause())}function d(t){i("onerror",t),h(),e.removeListener("error",d),0===o(e,"error")&&_(e,t)}function p(){e.removeListener("finish",f),h()}function f(){i("onfinish"),e.removeListener("close",p),h()}function h(){i("unpipe"),n.unpipe(e)}return n.on("data",c),function(e,t,n){if("function"==typeof e.prependListener)return e.prependListener(t,n);e._events&&e._events[t]?Array.isArray(e._events[t])?e._events[t].unshift(n):e._events[t]=[n,e._events[t]]:e.on(t,n)}(e,"error",d),e.once("close",p),e.once("finish",f),e.emit("pipe",n),r.flowing||(i("pipe resume"),n.resume()),e},E.prototype.unpipe=function(e){var t=this._readableState,n={hasUnpiped:!1};if(0===t.pipesCount)return this;if(1===t.pipesCount)return e&&e!==t.pipes||(e||(e=t.pipes),t.pipes=null,t.pipesCount=0,t.flowing=!1,e&&e.emit("unpipe",this,n)),this;if(!e){var r=t.pipes,i=t.pipesCount;t.pipes=null,t.pipesCount=0,t.flowing=!1;for(var o=0;o<i;o++)r[o].emit("unpipe",this,{hasUnpiped:!1});return this}var a=z(t.pipes,e);return-1===a||(t.pipes.splice(a,1),t.pipesCount-=1,1===t.pipesCount&&(t.pipes=t.pipes[0]),e.emit("unpipe",this,n)),this},E.prototype.on=function(e,t){var n=a.prototype.on.call(this,e,t),r=this._readableState;return"data"===e?(r.readableListening=this.listenerCount("readable")>0,!1!==r.flowing&&this.resume()):"readable"===e&&(r.endEmitted||r.readableListening||(r.readableListening=r.needReadable=!0,r.flowing=!1,r.emittedReadable=!1,i("on readable",r.length,r.reading),r.length?O(this):r.reading||process.nextTick(M,this))),n},E.prototype.addListener=E.prototype.on,E.prototype.removeListener=function(e,t){var n=a.prototype.removeListener.call(this,e,t);return"readable"===e&&process.nextTick(I,this),n},E.prototype.removeAllListeners=function(e){var t=a.prototype.removeAllListeners.apply(this,arguments);return"readable"!==e&&void 0!==e||process.nextTick(I,this),t},E.prototype.resume=function(){var e=this._readableState;return e.flowing||(i("resume"),e.flowing=!e.readableListening,function(e,t){t.resumeScheduled||(t.resumeScheduled=!0,process.nextTick(L,e,t))}(this,e)),e.paused=!1,this},E.prototype.pause=function(){return i("call pause flowing=%j",this._readableState.flowing),!1!==this._readableState.flowing&&(i("pause"),this._readableState.flowing=!1,this.emit("pause")),this._readableState.paused=!0,this},E.prototype.wrap=function(e){var t=this,n=this._readableState,r=!1;for(var o in e.on("end",(function(){if(i("wrapped end"),n.decoder&&!n.ended){var e=n.decoder.end();e&&e.length&&t.push(e)}t.push(null)})),e.on("data",(function(o){i("wrapped data"),n.decoder&&(o=n.decoder.write(o)),n.objectMode&&null==o||(n.objectMode||o&&o.length)&&(t.push(o)||(r=!0,e.pause()))})),e)void 0===this[o]&&"function"==typeof e[o]&&(this[o]=function(t){return function(){return e[t].apply(e,arguments)}}(o));for(var a=0;a<x.length;a++)e.on(x[a],this.emit.bind(this,x[a]));return this._read=function(t){i("wrapped _read",t),r&&(r=!1,e.resume())},this},"function"==typeof Symbol&&(E.prototype[Symbol.asyncIterator]=function(){return void 0===d&&(d=n(64020)),d(this)}),Object.defineProperty(E.prototype,"readableHighWaterMark",{enumerable:!1,get:function(){return this._readableState.highWaterMark}}),Object.defineProperty(E.prototype,"readableBuffer",{enumerable:!1,get:function(){return this._readableState&&this._readableState.buffer}}),Object.defineProperty(E.prototype,"readableFlowing",{enumerable:!1,get:function(){return this._readableState.flowing},set:function(e){this._readableState&&(this._readableState.flowing=e)}}),E._fromList=j,Object.defineProperty(E.prototype,"readableLength",{enumerable:!1,get:function(){return this._readableState.length}}),"function"==typeof Symbol&&(E.from=function(e,t){return void 0===p&&(p=n(67324)),p(E,e,t)})},69535:(e,t,n)=>{"use strict";e.exports=c;var r=n(49150).q,i=r.ERR_METHOD_NOT_IMPLEMENTED,o=r.ERR_MULTIPLE_CALLBACK,a=r.ERR_TRANSFORM_ALREADY_TRANSFORMING,s=r.ERR_TRANSFORM_WITH_LENGTH_0,l=n(35929);function u(e,t){var n=this._transformState;n.transforming=!1;var r=n.writecb;if(null===r)return this.emit("error",new o);n.writechunk=null,n.writecb=null,null!=t&&this.push(t),r(e);var i=this._readableState;i.reading=!1,(i.needReadable||i.length<i.highWaterMark)&&this._read(i.highWaterMark)}function c(e){if(!(this instanceof c))return new c(e);l.call(this,e),this._transformState={afterTransform:u.bind(this),needTransform:!1,transforming:!1,writecb:null,writechunk:null,writeencoding:null},this._readableState.needReadable=!0,this._readableState.sync=!1,e&&("function"==typeof e.transform&&(this._transform=e.transform),"function"==typeof e.flush&&(this._flush=e.flush)),this.on("prefinish",d)}function d(){var e=this;"function"!=typeof this._flush||this._readableState.destroyed?p(this,null,null):this._flush((function(t,n){p(e,t,n)}))}function p(e,t,n){if(t)return e.emit("error",t);if(null!=n&&e.push(n),e._writableState.length)throw new s;if(e._transformState.transforming)throw new a;return e.push(null)}n(91285)(c,l),c.prototype.push=function(e,t){return this._transformState.needTransform=!1,l.prototype.push.call(this,e,t)},c.prototype._transform=function(e,t,n){n(new i("_transform()"))},c.prototype._write=function(e,t,n){var r=this._transformState;if(r.writecb=n,r.writechunk=e,r.writeencoding=t,!r.transforming){var i=this._readableState;(r.needTransform||i.needReadable||i.length<i.highWaterMark)&&this._read(i.highWaterMark)}},c.prototype._read=function(e){var t=this._transformState;null===t.writechunk||t.transforming?t.needTransform=!0:(t.transforming=!0,this._transform(t.writechunk,t.writeencoding,t.afterTransform))},c.prototype._destroy=function(e,t){l.prototype._destroy.call(this,e,(function(e){t(e)}))}},8234:(e,t,n)=>{"use strict";function r(e){var t=this;this.next=null,this.entry=null,this.finish=function(){!function(e,t,n){var r=e.entry;for(e.entry=null;r;){var i=r.callback;t.pendingcb--,i(undefined),r=r.next}t.corkedRequestsFree.next=e}(t,e)}}var i;e.exports=E,E.WritableState=k;var o,a={deprecate:n(5803)},s=n(14811),l=n(48834).Buffer,u=(void 0!==n.g?n.g:"undefined"!=typeof window?window:"undefined"!=typeof self?self:{}).Uint8Array||function(){},c=n(50163),d=n(24774).getHighWaterMark,p=n(49150).q,f=p.ERR_INVALID_ARG_TYPE,h=p.ERR_METHOD_NOT_IMPLEMENTED,m=p.ERR_MULTIPLE_CALLBACK,g=p.ERR_STREAM_CANNOT_PIPE,v=p.ERR_STREAM_DESTROYED,y=p.ERR_STREAM_NULL_VALUES,b=p.ERR_STREAM_WRITE_AFTER_END,w=p.ERR_UNKNOWN_ENCODING,_=c.errorOrDestroy;function x(){}function k(e,t,o){i=i||n(35929),e=e||{},"boolean"!=typeof o&&(o=t instanceof i),this.objectMode=!!e.objectMode,o&&(this.objectMode=this.objectMode||!!e.writableObjectMode),this.highWaterMark=d(this,e,"writableHighWaterMark",o),this.finalCalled=!1,this.needDrain=!1,this.ending=!1,this.ended=!1,this.finished=!1,this.destroyed=!1;var a=!1===e.decodeStrings;this.decodeStrings=!a,this.defaultEncoding=e.defaultEncoding||"utf8",this.length=0,this.writing=!1,this.corked=0,this.sync=!0,this.bufferProcessing=!1,this.onwrite=function(e){!function(e,t){var n=e._writableState,r=n.sync,i=n.writecb;if("function"!=typeof i)throw new m;if(function(e){e.writing=!1,e.writecb=null,e.length-=e.writelen,e.writelen=0}(n),t)!function(e,t,n,r,i){--t.pendingcb,n?(process.nextTick(i,r),process.nextTick(P,e,t),e._writableState.errorEmitted=!0,_(e,r)):(i(r),e._writableState.errorEmitted=!0,_(e,r),P(e,t))}(e,n,r,t,i);else{var o=T(n)||e.destroyed;o||n.corked||n.bufferProcessing||!n.bufferedRequest||A(e,n),r?process.nextTick(S,e,n,o,i):S(e,n,o,i)}}(t,e)},this.writecb=null,this.writelen=0,this.bufferedRequest=null,this.lastBufferedRequest=null,this.pendingcb=0,this.prefinished=!1,this.errorEmitted=!1,this.emitClose=!1!==e.emitClose,this.autoDestroy=!!e.autoDestroy,this.bufferedRequestCount=0,this.corkedRequestsFree=new r(this)}function E(e){var t=this instanceof(i=i||n(35929));if(!t&&!o.call(E,this))return new E(e);this._writableState=new k(e,this,t),this.writable=!0,e&&("function"==typeof e.write&&(this._write=e.write),"function"==typeof e.writev&&(this._writev=e.writev),"function"==typeof e.destroy&&(this._destroy=e.destroy),"function"==typeof e.final&&(this._final=e.final)),s.call(this)}function C(e,t,n,r,i,o,a){t.writelen=r,t.writecb=a,t.writing=!0,t.sync=!0,t.destroyed?t.onwrite(new v("write")):n?e._writev(i,t.onwrite):e._write(i,o,t.onwrite),t.sync=!1}function S(e,t,n,r){n||function(e,t){0===t.length&&t.needDrain&&(t.needDrain=!1,e.emit("drain"))}(e,t),t.pendingcb--,r(),P(e,t)}function A(e,t){t.bufferProcessing=!0;var n=t.bufferedRequest;if(e._writev&&n&&n.next){var i=t.bufferedRequestCount,o=new Array(i),a=t.corkedRequestsFree;a.entry=n;for(var s=0,l=!0;n;)o[s]=n,n.isBuf||(l=!1),n=n.next,s+=1;o.allBuffers=l,C(e,t,!0,t.length,o,"",a.finish),t.pendingcb++,t.lastBufferedRequest=null,a.next?(t.corkedRequestsFree=a.next,a.next=null):t.corkedRequestsFree=new r(t),t.bufferedRequestCount=0}else{for(;n;){var u=n.chunk,c=n.encoding,d=n.callback;if(C(e,t,!1,t.objectMode?1:u.length,u,c,d),n=n.next,t.bufferedRequestCount--,t.writing)break}null===n&&(t.lastBufferedRequest=null)}t.bufferedRequest=n,t.bufferProcessing=!1}function T(e){return e.ending&&0===e.length&&null===e.bufferedRequest&&!e.finished&&!e.writing}function O(e,t){e._final((function(n){t.pendingcb--,n&&_(e,n),t.prefinished=!0,e.emit("prefinish"),P(e,t)}))}function P(e,t){var n=T(t);if(n&&(function(e,t){t.prefinished||t.finalCalled||("function"!=typeof e._final||t.destroyed?(t.prefinished=!0,e.emit("prefinish")):(t.pendingcb++,t.finalCalled=!0,process.nextTick(O,e,t)))}(e,t),0===t.pendingcb&&(t.finished=!0,e.emit("finish"),t.autoDestroy))){var r=e._readableState;(!r||r.autoDestroy&&r.endEmitted)&&e.destroy()}return n}n(91285)(E,s),k.prototype.getBuffer=function(){for(var e=this.bufferedRequest,t=[];e;)t.push(e),e=e.next;return t},function(){try{Object.defineProperty(k.prototype,"buffer",{get:a.deprecate((function(){return this.getBuffer()}),"_writableState.buffer is deprecated. Use _writableState.getBuffer instead.","DEP0003")})}catch(e){}}(),"function"==typeof Symbol&&Symbol.hasInstance&&"function"==typeof Function.prototype[Symbol.hasInstance]?(o=Function.prototype[Symbol.hasInstance],Object.defineProperty(E,Symbol.hasInstance,{value:function(e){return!!o.call(this,e)||this===E&&e&&e._writableState instanceof k}})):o=function(e){return e instanceof this},E.prototype.pipe=function(){_(this,new g)},E.prototype.write=function(e,t,n){var r,i=this._writableState,o=!1,a=!i.objectMode&&(r=e,l.isBuffer(r)||r instanceof u);return a&&!l.isBuffer(e)&&(e=function(e){return l.from(e)}(e)),"function"==typeof t&&(n=t,t=null),a?t="buffer":t||(t=i.defaultEncoding),"function"!=typeof n&&(n=x),i.ending?function(e,t){var n=new b;_(e,n),process.nextTick(t,n)}(this,n):(a||function(e,t,n,r){var i;return null===n?i=new y:"string"==typeof n||t.objectMode||(i=new f("chunk",["string","Buffer"],n)),!i||(_(e,i),process.nextTick(r,i),!1)}(this,i,e,n))&&(i.pendingcb++,o=function(e,t,n,r,i,o){if(!n){var a=function(e,t,n){return e.objectMode||!1===e.decodeStrings||"string"!=typeof t||(t=l.from(t,n)),t}(t,r,i);r!==a&&(n=!0,i="buffer",r=a)}var s=t.objectMode?1:r.length;t.length+=s;var u=t.length<t.highWaterMark;if(u||(t.needDrain=!0),t.writing||t.corked){var c=t.lastBufferedRequest;t.lastBufferedRequest={chunk:r,encoding:i,isBuf:n,callback:o,next:null},c?c.next=t.lastBufferedRequest:t.bufferedRequest=t.lastBufferedRequest,t.bufferedRequestCount+=1}else C(e,t,!1,s,r,i,o);return u}(this,i,a,e,t,n)),o},E.prototype.cork=function(){this._writableState.corked++},E.prototype.uncork=function(){var e=this._writableState;e.corked&&(e.corked--,e.writing||e.corked||e.bufferProcessing||!e.bufferedRequest||A(this,e))},E.prototype.setDefaultEncoding=function(e){if("string"==typeof e&&(e=e.toLowerCase()),!(["hex","utf8","utf-8","ascii","binary","base64","ucs2","ucs-2","utf16le","utf-16le","raw"].indexOf((e+"").toLowerCase())>-1))throw new w(e);return this._writableState.defaultEncoding=e,this},Object.defineProperty(E.prototype,"writableBuffer",{enumerable:!1,get:function(){return this._writableState&&this._writableState.getBuffer()}}),Object.defineProperty(E.prototype,"writableHighWaterMark",{enumerable:!1,get:function(){return this._writableState.highWaterMark}}),E.prototype._write=function(e,t,n){n(new h("_write()"))},E.prototype._writev=null,E.prototype.end=function(e,t,n){var r=this._writableState;return"function"==typeof e?(n=e,e=null,t=null):"function"==typeof t&&(n=t,t=null),null!=e&&this.write(e,t),r.corked&&(r.corked=1,this.uncork()),r.ending||function(e,t,n){t.ending=!0,P(e,t),n&&(t.finished?process.nextTick(n):e.once("finish",n)),t.ended=!0,e.writable=!1}(this,r,n),this},Object.defineProperty(E.prototype,"writableLength",{enumerable:!1,get:function(){return this._writableState.length}}),Object.defineProperty(E.prototype,"destroyed",{enumerable:!1,get:function(){return void 0!==this._writableState&&this._writableState.destroyed},set:function(e){this._writableState&&(this._writableState.destroyed=e)}}),E.prototype.destroy=c.destroy,E.prototype._undestroy=c.undestroy,E.prototype._destroy=function(e,t){t(e)}},64020:(e,t,n)=>{"use strict";var r;function i(e,t,n){return(t=function(e){var t=function(e,t){if("object"!=typeof e||null===e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t))in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var o=n(2529),a=Symbol("lastResolve"),s=Symbol("lastReject"),l=Symbol("error"),u=Symbol("ended"),c=Symbol("lastPromise"),d=Symbol("handlePromise"),p=Symbol("stream");function f(e,t){return{value:e,done:t}}function h(e){var t=e[a];if(null!==t){var n=e[p].read();null!==n&&(e[c]=null,e[a]=null,e[s]=null,t(f(n,!1)))}}function m(e){process.nextTick(h,e)}var g=Object.getPrototypeOf((function(){})),v=Object.setPrototypeOf((i(r={get stream(){return this[p]},next:function(){var e=this,t=this[l];if(null!==t)return Promise.reject(t);if(this[u])return Promise.resolve(f(void 0,!0));if(this[p].destroyed)return new Promise((function(t,n){process.nextTick((function(){e[l]?n(e[l]):t(f(void 0,!0))}))}));var n,r=this[c];if(r)n=new Promise(function(e,t){return function(n,r){e.then((function(){t[u]?n(f(void 0,!0)):t[d](n,r)}),r)}}(r,this));else{var i=this[p].read();if(null!==i)return Promise.resolve(f(i,!1));n=new Promise(this[d])}return this[c]=n,n}},Symbol.asyncIterator,(function(){return this})),i(r,"return",(function(){var e=this;return new Promise((function(t,n){e[p].destroy(null,(function(e){e?n(e):t(f(void 0,!0))}))}))})),r),g);e.exports=function(e){var t,n=Object.create(v,(i(t={},p,{value:e,writable:!0}),i(t,a,{value:null,writable:!0}),i(t,s,{value:null,writable:!0}),i(t,l,{value:null,writable:!0}),i(t,u,{value:e._readableState.endEmitted,writable:!0}),i(t,d,{value:function(e,t){var r=n[p].read();r?(n[c]=null,n[a]=null,n[s]=null,e(f(r,!1))):(n[a]=e,n[s]=t)},writable:!0}),t));return n[c]=null,o(e,(function(e){if(e&&"ERR_STREAM_PREMATURE_CLOSE"!==e.code){var t=n[s];return null!==t&&(n[c]=null,n[a]=null,n[s]=null,t(e)),void(n[l]=e)}var r=n[a];null!==r&&(n[c]=null,n[a]=null,n[s]=null,r(f(void 0,!0))),n[u]=!0})),e.on("readable",m.bind(null,n)),n}},73413:(e,t,n)=>{"use strict";function r(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function i(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?r(Object(n),!0).forEach((function(t){o(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):r(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function o(e,t,n){return(t=s(t))in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,s(r.key),r)}}function s(e){var t=function(e,t){if("object"!=typeof e||null===e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}var l=n(48834).Buffer,u=n(57267).inspect,c=u&&u.custom||"inspect";e.exports=function(){function e(){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.head=null,this.tail=null,this.length=0}var t,n;return t=e,(n=[{key:"push",value:function(e){var t={data:e,next:null};this.length>0?this.tail.next=t:this.head=t,this.tail=t,++this.length}},{key:"unshift",value:function(e){var t={data:e,next:this.head};0===this.length&&(this.tail=t),this.head=t,++this.length}},{key:"shift",value:function(){if(0!==this.length){var e=this.head.data;return 1===this.length?this.head=this.tail=null:this.head=this.head.next,--this.length,e}}},{key:"clear",value:function(){this.head=this.tail=null,this.length=0}},{key:"join",value:function(e){if(0===this.length)return"";for(var t=this.head,n=""+t.data;t=t.next;)n+=e+t.data;return n}},{key:"concat",value:function(e){if(0===this.length)return l.alloc(0);for(var t,n,r,i=l.allocUnsafe(e>>>0),o=this.head,a=0;o;)t=o.data,n=i,r=a,l.prototype.copy.call(t,n,r),a+=o.data.length,o=o.next;return i}},{key:"consume",value:function(e,t){var n;return e<this.head.data.length?(n=this.head.data.slice(0,e),this.head.data=this.head.data.slice(e)):n=e===this.head.data.length?this.shift():t?this._getString(e):this._getBuffer(e),n}},{key:"first",value:function(){return this.head.data}},{key:"_getString",value:function(e){var t=this.head,n=1,r=t.data;for(e-=r.length;t=t.next;){var i=t.data,o=e>i.length?i.length:e;if(o===i.length?r+=i:r+=i.slice(0,e),0==(e-=o)){o===i.length?(++n,t.next?this.head=t.next:this.head=this.tail=null):(this.head=t,t.data=i.slice(o));break}++n}return this.length-=n,r}},{key:"_getBuffer",value:function(e){var t=l.allocUnsafe(e),n=this.head,r=1;for(n.data.copy(t),e-=n.data.length;n=n.next;){var i=n.data,o=e>i.length?i.length:e;if(i.copy(t,t.length-e,0,o),0==(e-=o)){o===i.length?(++r,n.next?this.head=n.next:this.head=this.tail=null):(this.head=n,n.data=i.slice(o));break}++r}return this.length-=r,t}},{key:c,value:function(e,t){return u(this,i(i({},t),{},{depth:0,customInspect:!1}))}}])&&a(t.prototype,n),Object.defineProperty(t,"prototype",{writable:!1}),e}()},50163:e=>{"use strict";function t(e,t){r(e,t),n(e)}function n(e){e._writableState&&!e._writableState.emitClose||e._readableState&&!e._readableState.emitClose||e.emit("close")}function r(e,t){e.emit("error",t)}e.exports={destroy:function(e,i){var o=this,a=this._readableState&&this._readableState.destroyed,s=this._writableState&&this._writableState.destroyed;return a||s?(i?i(e):e&&(this._writableState?this._writableState.errorEmitted||(this._writableState.errorEmitted=!0,process.nextTick(r,this,e)):process.nextTick(r,this,e)),this):(this._readableState&&(this._readableState.destroyed=!0),this._writableState&&(this._writableState.destroyed=!0),this._destroy(e||null,(function(e){!i&&e?o._writableState?o._writableState.errorEmitted?process.nextTick(n,o):(o._writableState.errorEmitted=!0,process.nextTick(t,o,e)):process.nextTick(t,o,e):i?(process.nextTick(n,o),i(e)):process.nextTick(n,o)})),this)},undestroy:function(){this._readableState&&(this._readableState.destroyed=!1,this._readableState.reading=!1,this._readableState.ended=!1,this._readableState.endEmitted=!1),this._writableState&&(this._writableState.destroyed=!1,this._writableState.ended=!1,this._writableState.ending=!1,this._writableState.finalCalled=!1,this._writableState.prefinished=!1,this._writableState.finished=!1,this._writableState.errorEmitted=!1)},errorOrDestroy:function(e,t){var n=e._readableState,r=e._writableState;n&&n.autoDestroy||r&&r.autoDestroy?e.destroy(t):e.emit("error",t)}}},2529:(e,t,n)=>{"use strict";var r=n(49150).q.ERR_STREAM_PREMATURE_CLOSE;function i(){}e.exports=function e(t,n,o){if("function"==typeof n)return e(t,null,n);n||(n={}),o=function(e){var t=!1;return function(){if(!t){t=!0;for(var n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];e.apply(this,r)}}}(o||i);var a=n.readable||!1!==n.readable&&t.readable,s=n.writable||!1!==n.writable&&t.writable,l=function(){t.writable||c()},u=t._writableState&&t._writableState.finished,c=function(){s=!1,u=!0,a||o.call(t)},d=t._readableState&&t._readableState.endEmitted,p=function(){a=!1,d=!0,s||o.call(t)},f=function(e){o.call(t,e)},h=function(){var e;return a&&!d?(t._readableState&&t._readableState.ended||(e=new r),o.call(t,e)):s&&!u?(t._writableState&&t._writableState.ended||(e=new r),o.call(t,e)):void 0},m=function(){t.req.on("finish",c)};return function(e){return e.setHeader&&"function"==typeof e.abort}(t)?(t.on("complete",c),t.on("abort",h),t.req?m():t.on("request",m)):s&&!t._writableState&&(t.on("end",l),t.on("close",l)),t.on("end",p),t.on("finish",c),!1!==n.error&&t.on("error",f),t.on("close",h),function(){t.removeListener("complete",c),t.removeListener("abort",h),t.removeListener("request",m),t.req&&t.req.removeListener("finish",c),t.removeListener("end",l),t.removeListener("close",l),t.removeListener("finish",c),t.removeListener("end",p),t.removeListener("error",f),t.removeListener("close",h)}}},67324:e=>{e.exports=function(){throw new Error("Readable.from is not available in the browser")}},19125:(e,t,n)=>{"use strict";var r,i=n(49150).q,o=i.ERR_MISSING_ARGS,a=i.ERR_STREAM_DESTROYED;function s(e){if(e)throw e}function l(e){e()}function u(e,t){return e.pipe(t)}e.exports=function(){for(var e=arguments.length,t=new Array(e),i=0;i<e;i++)t[i]=arguments[i];var c,d=function(e){return e.length?"function"!=typeof e[e.length-1]?s:e.pop():s}(t);if(Array.isArray(t[0])&&(t=t[0]),t.length<2)throw new o("streams");var p=t.map((function(e,i){var o=i<t.length-1;return function(e,t,i,o){o=function(e){var t=!1;return function(){t||(t=!0,e.apply(void 0,arguments))}}(o);var s=!1;e.on("close",(function(){s=!0})),void 0===r&&(r=n(2529)),r(e,{readable:t,writable:i},(function(e){if(e)return o(e);s=!0,o()}));var l=!1;return function(t){if(!s&&!l)return l=!0,function(e){return e.setHeader&&"function"==typeof e.abort}(e)?e.abort():"function"==typeof e.destroy?e.destroy():void o(t||new a("pipe"))}}(e,o,i>0,(function(e){c||(c=e),e&&p.forEach(l),o||(p.forEach(l),d(c))}))}));return t.reduce(u)}},24774:(e,t,n)=>{"use strict";var r=n(49150).q.ERR_INVALID_OPT_VALUE;e.exports={getHighWaterMark:function(e,t,n,i){var o=function(e,t,n){return null!=e.highWaterMark?e.highWaterMark:t?e[n]:null}(t,i,n);if(null!=o){if(!isFinite(o)||Math.floor(o)!==o||o<0)throw new r(i?n:"highWaterMark",o);return Math.floor(o)}return e.objectMode?16:16384}}},14811:(e,t,n)=>{e.exports=n(22699).EventEmitter},30214:(e,t,n)=>{"use strict";var r=n(77834).Buffer,i=r.isEncoding||function(e){switch((e=""+e)&&e.toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"binary":case"base64":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":case"raw":return!0;default:return!1}};function o(e){var t;switch(this.encoding=function(e){var t=function(e){if(!e)return"utf8";for(var t;;)switch(e){case"utf8":case"utf-8":return"utf8";case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return"utf16le";case"latin1":case"binary":return"latin1";case"base64":case"ascii":case"hex":return e;default:if(t)return;e=(""+e).toLowerCase(),t=!0}}(e);if("string"!=typeof t&&(r.isEncoding===i||!i(e)))throw new Error("Unknown encoding: "+e);return t||e}(e),this.encoding){case"utf16le":this.text=l,this.end=u,t=4;break;case"utf8":this.fillLast=s,t=4;break;case"base64":this.text=c,this.end=d,t=3;break;default:return this.write=p,void(this.end=f)}this.lastNeed=0,this.lastTotal=0,this.lastChar=r.allocUnsafe(t)}function a(e){return e<=127?0:e>>5==6?2:e>>4==14?3:e>>3==30?4:e>>6==2?-1:-2}function s(e){var t=this.lastTotal-this.lastNeed,n=function(e,t,n){if(128!=(192&t[0]))return e.lastNeed=0,"<22>";if(e.lastNeed>1&&t.length>1){if(128!=(192&t[1]))return e.lastNeed=1,"<22>";if(e.lastNeed>2&&t.length>2&&128!=(192&t[2]))return e.lastNeed=2,"<22>"}}(this,e);return void 0!==n?n:this.lastNeed<=e.length?(e.copy(this.lastChar,t,0,this.lastNeed),this.lastChar.toString(this.encoding,0,this.lastTotal)):(e.copy(this.lastChar,t,0,e.length),void(this.lastNeed-=e.length))}function l(e,t){if((e.length-t)%2==0){var n=e.toString("utf16le",t);if(n){var r=n.charCodeAt(n.length-1);if(r>=55296&&r<=56319)return this.lastNeed=2,this.lastTotal=4,this.lastChar[0]=e[e.length-2],this.lastChar[1]=e[e.length-1],n.slice(0,-1)}return n}return this.lastNeed=1,this.lastTotal=2,this.lastChar[0]=e[e.length-1],e.toString("utf16le",t,e.length-1)}function u(e){var t=e&&e.length?this.write(e):"";if(this.lastNeed){var n=this.lastTotal-this.lastNeed;return t+this.lastChar.toString("utf16le",0,n)}return t}function c(e,t){var n=(e.length-t)%3;return 0===n?e.toString("base64",t):(this.lastNeed=3-n,this.lastTotal=3,1===n?this.lastChar[0]=e[e.length-1]:(this.lastChar[0]=e[e.length-2],this.lastChar[1]=e[e.length-1]),e.toString("base64",t,e.length-n))}function d(e){var t=e&&e.length?this.write(e):"";return this.lastNeed?t+this.lastChar.toString("base64",0,3-this.lastNeed):t}function p(e){return e.toString(this.encoding)}function f(e){return e&&e.length?this.write(e):""}t.s=o,o.prototype.write=function(e){if(0===e.length)return"";var t,n;if(this.lastNeed){if(void 0===(t=this.fillLast(e)))return"";n=this.lastNeed,this.lastNeed=0}else n=0;return n<e.length?t?t+this.text(e,n):this.text(e,n):t||""},o.prototype.end=function(e){var t=e&&e.length?this.write(e):"";return this.lastNeed?t+"<22>":t},o.prototype.text=function(e,t){var n=function(e,t,n){var r=t.length-1;if(r<n)return 0;var i=a(t[r]);return i>=0?(i>0&&(e.lastNeed=i-1),i):--r<n||-2===i?0:(i=a(t[r]))>=0?(i>0&&(e.lastNeed=i-2),i):--r<n||-2===i?0:(i=a(t[r]))>=0?(i>0&&(2===i?i=0:e.lastNeed=i-3),i):0}(this,e,t);if(!this.lastNeed)return e.toString("utf8",t);this.lastTotal=n;var r=e.length-(n-this.lastNeed);return e.copy(this.lastChar,0,r),e.toString("utf8",t,r)},o.prototype.fillLast=function(e){if(this.lastNeed<=e.length)return e.copy(this.lastChar,this.lastTotal-this.lastNeed,0,this.lastNeed),this.lastChar.toString(this.encoding,0,this.lastTotal);e.copy(this.lastChar,this.lastTotal-this.lastNeed,0,e.length),this.lastNeed-=e.length}},26952:(e,t,n)=>{"use strict";var r=n(22017),i=n(93562),o=n(48833),a=n(65279),s=n(11653);e.exports=f,f.escape=function(e){return f(e,{escapeOnly:!0,useNamedReferences:!0})};var l={}.hasOwnProperty,u=function(){var e,t={};for(e in r)t[r[e]]=e;return t}(),c=g(['"',"'","<",">","&","`"]),d=/[\uD800-\uDBFF][\uDC00-\uDFFF]/g,p=/[\x01-\t\x0B\f\x0E-\x1F\x7F\x81\x8D\x8F\x90\x9D\xA0-\uFFFF]/g;function f(e,t){var n=t||{},r=n.subset,i=r?g(r):c,o=n.escapeOnly,a=n.omitOptionalSemicolons;return e=e.replace(i,(function(e,t,r){return h(e,r.charAt(t+1),n)})),r||o?e:e.replace(d,(function(e,t,n){return m(1024*(e.charCodeAt(0)-55296)+e.charCodeAt(1)-56320+65536,n.charAt(t+2),a)})).replace(p,(function(e,t,r){return h(e,r.charAt(t+1),n)}))}function h(e,t,n){var r,o,c=n.useShortestReferences,d=n.omitOptionalSemicolons;return(c||n.useNamedReferences)&&l.call(u,e)&&(r=function(e,t,n,r){var o="&"+e;return n&&l.call(i,e)&&-1===s.indexOf(e)&&(!r||t&&"="!==t&&!a(t))?o:o+";"}(u[e],t,d,n.attribute)),!c&&r||(o=m(e.charCodeAt(0),t,d)),r&&(!c||r.length<o.length)?r:o}function m(e,t,n){var r="&#x"+e.toString(16).toUpperCase();return n&&t&&!o(t)?r:r+";"}function g(e){return new RegExp("["+e.join("")+"]","g")}},42014:e=>{"use strict";e.exports=function(e){return"string"==typeof e&&"\ufeff"===e.charAt(0)?e.slice(1):e}},85653:(e,t,n)=>{var r=n(6299);e.exports=function(e,t){var n,i=null;if(!e||"string"!=typeof e)return i;for(var o,a,s=r(e),l="function"==typeof t,u=0,c=s.length;u<c;u++)o=(n=s[u]).property,a=n.value,l?t(o,a,n):a&&(i||(i={}),i[o]=a);return i}},7288:(e,t,n)=>{"use strict";n.d(t,{Z:()=>r}),e=n.hmd(e);const r=function(e){var t,n=e.Symbol;return"function"==typeof n?n.observable?t=n.observable:(t=n("observable"),n.observable=t):t="@@observable",t}("undefined"!=typeof self?self:"undefined"!=typeof window?window:void 0!==n.g?n.g:e)},21707:e=>{e.exports=function(e,t,n){var r=0,i=0,o=!1,a=!1,s=!1;function l(e){s||t(e)}function u(t){s=!0,o||(o=!0,e.addEventListener("touchmove",c,n),e.addEventListener("touchend",d,n),e.addEventListener("touchcancel",p,n),a=!1,r=t.touches[0].clientX,i=t.touches[0].clientY)}function c(e){a||Math.abs(e.touches[0].clientX-r)<=10&&Math.abs(e.touches[0].clientY-i)<=10||(a=!0)}function d(e){o=!1,f(),a||t(e)}function p(){o=!1,a=!1,r=0,i=0}function f(){e.removeEventListener("touchmove",c,n),e.removeEventListener("touchend",d,n),e.removeEventListener("touchcancel",p,n)}return e.addEventListener("click",l,n),e.addEventListener("touchstart",u,n),{remove:function(){e.removeEventListener("click",l,n),e.removeEventListener("touchstart",u,n),f()}}}},49470:(e,t,n)=>{var r=n(29764);r&&r.__esModule&&(r=r.default),e.exports="string"==typeof r?r:r.toString()},82305:(e,t,n)=>{var r=n(19467);r&&r.__esModule&&(r=r.default),e.exports="string"==typeof r?r:r.toString()},64552:(e,t,n)=>{var r=n(61077);r&&r.__esModule&&(r=r.default),e.exports="string"==typeof r?r:r.toString()},56330:function(e,t,n){var r;e=n.nmd(e),function(){"use strict";var n=function(e,t){if(0===e.length)return"";for(var n="",r=0,i=e.length;r<i;r++)if(a(e[r])&&e[r])n+=(n?".":"")+h(e[r]);else{if(!s(e[r]))return!1;t||(n+=(n?".":"")+"["+e[r]+"]")}return n||!1},i=function(e,t){return(n(e)||"<root>")+": "+t},o=function(e){return Object.prototype.toString.call(e)};o.Boolean=o(!1),o.String=o(""),o.Number=o(0),o.Array=o([]),o.Date=o(new Date(0));var a=function(e){return"string"==typeof e},s=function(e){return"number"==typeof e},l=Array.isArray||function(e){return o(e)===o.Array},u=function(e){return null!==e&&"object"==typeof e&&!(l(e)||function(e){return o(e)===o.Date}(e))},c=function(e){if(e.length<1)return!1;for(var t=0,n=e.length;t<n;t++)if(u(e[t]))return!0;return!1},d=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},p=function(e,t,n){return"object"==typeof JSON&&JSON?JSON.stringify(n):'"'+String(n).replace(/[\x00-\x1F"\\]/g,(function(e){switch(e){case'"':case"\\":return"\\"+e;case"\t":return"\\t";case"\n":return"\\n";case"\r":return"\\r";case"\b":return"\\b";case"\f":return"\\f";default:var t=e.charCodeAt(0).toString(16);return"\\u"+"0000".substr(t.length)+t}}))+'"'},f=function(e,t,n){if(e.replace){var r=e.replace.call(g(e),t,n);if(a(r))return r;if(null==r)return null;if(!1!==r)throw new Error(i(e.path,"Replacer must return a string, false, null or undefined."))}switch(o(n)){case o.Boolean:return function(e,t,n){return n?"true":"false"}(0,0,n);case o.String:return p(0,0,n);case o.Number:return function(e,t,n){if(!isFinite(n))throw new Error(i(e.path,"Number must be finite."));var r;return r=(r=(Number.isInteger?Number.isInteger(n):Math.floor(n)===n)?n.toFixed(1):String(n)).replace(/([eE])(\d)/,"$1+$2"),/[.eE]/.test(r)?r:r+".0"}(e,0,n);case o.Array:return function(e,t,n){if(function(e){if(e.length<2)return!1;for(var t=o(e[0]),n=1,r=e.length;n<r;n++)if(null!=e[n]&&o(e[n])!==t)return!0;return!1}(n))throw new Error(i(e.path,"Array cannot contain values of different types."));var r=e.table;e.table=n;var s=[],l=e.inPair;c(n)&&(e.inPair=!0);for(var u=0,d=n.length;u<d;u++){e.path.push(u);var p=f(e,u,n[u]);a(p)&&s.push(p),e.path.pop()}return e.inPair=l,e.table=r,!e.inPair&&s.length>0&&e.space?"[\n"+w(s.join(",\n"),1,e.space)+"\n]":"["+s.join(", ")+"]"}(e,0,n);case o.Date:return function(e,t,n){if(!isFinite(n.getTime()))throw new Error(i(e.path,"Invalid Date"));return n.toISOString()}(e,0,n);default:return null==n?null:function(e,t,n){var r=e.table;e.table=n;var o=e.inPair;e.inPair=!0;var s=[],l=Object.keys(n);e.sort&&l.sort(e.sort);for(var u=0,c=l.length;u<c;u++){var p=l[u];if(d(n,p)&&null!=n[p]){if(!p)throw new Error(i(e.path,"Key cannot be an empty string."));e.path.push(p);var m=f(e,p,n[p]);a(m)&&s.push(h(p)+" = "+m),e.path.pop()}}return e.inPair=o,e.table=r,"{"+s.join(", ")+"}"}(e,0,n)}},h=function(e){return!!e&&(/^[a-zA-Z0-9\-_]+$/.test(e)?e:p(0,0,e))},m=function(e,t,n){var r=h(t);if(!r)throw new Error(i(e.path,"Key cannot be an empty string."));var o=f(e,t,n);return a(o)?r+" = "+o:null},g=function(e){return{path:e.path.slice(0),table:e.table}},v=function(e,t,n){if(e.replace){var r=e.replace.call(g(e),t,n);if(a(r))return h(t)+" = "+r;if(null==r)return null;if(!1!==r)throw new Error(i(e.path,"Replacer must return a string, false, null or undefined."))}return!1},y=function(e,t,n,r){var i;if(!(e.replace&&0===e.path.length&&(i=v(e,t,n),a(i)&&e.lines.push(i),!1!==i)||r(e,t,n))){var o=e.table;if(e.table=n,l(n)){var s=e.inTableArray;e.inTableArray=c(n);for(var p=0,f=n.length;p<f;p++)e.path.push(p),y(e,p,n[p],r),e.path.pop();e.inTableArray=s}else if(u(n)){s=e.inTableArray,e.inTableArray=!1;var h=[],g=Object.keys(n);for(e.sort&&g.sort(e.sort),p=0,f=g.length;p<f;p++){var b=g[p];if(d(n,b)){var _=n[b],x=e.path.length>0&&(l(_)?c(_):u(_));l(_)&&c(_)?h.push([!0,b,_,x]):u(_)?h.push([!1,b,_,x]):(e.path.push(b),y(e,b,_,r),e.path.pop())}}if(g=null,e.replace)for(p=0,f=h.length;p<f;p++)if((o=h[p])[0]){if(e.path.push(o[1]),!1!==(i=v(e,o[1],o[2]))){a(i)&&e.lines.push(w(i,e.level,e.space)),o[2]=null,e.path.pop();continue}var k=o[2],E=0;for(b=k.length;E<b;E++){var C=k[E];if(e.path.push(E),i=v(e,E,C),e.path.pop(),!1!==i){if(null==i){k[E]=null;continue}i=m(e,o[1],o[2]),a(i)&&e.lines.push(w(i,e.level,e.space)),o[2]=null;break}}e.path.pop()}else e.path.push(o[1]),!1!==(i=v(e,o[1],o[2]))&&(a(i)&&e.lines.push(w(i,e.level,e.space)),o[2]=null),e.path.pop();for(p=0,f=h.length;p<f;p++)null!=(o=h[p])[2]&&(e.path.push(o[1]),o[3]&&e.level++,y(e,o[1],o[2],r),o[3]&&e.level--,e.path.pop());e.inTableArray=s}e.table=o}},b=function(e,t){if(e.repeat)return e.repeat(t);for(var n="",r="";t>0;)r+=r||e,1&t&&(n+=r),t>>>=1;return n},w=function(e,t,n){var r=b(n,t);return e.replace(/^(?!$)/gm,r)},_=function(e){return a(e)?e:s(e)&&e>=0&&isFinite(e)&&Math.floor(e)===e?b(" ",e):""},x=function(e,t){if(null==e)throw new Error("Undefined or null cannot be stringified.");if(function(e){var t=function(e,n){if(!l(e)&&!u(e))return!1;if(-1!==n.indexOf(e))return!0;for(var r in n.push(e),e)if(d(e,r)){var i=n.length;if(t(e[r],n))return!0;n.splice(i)}return!1};return t(e,[])}(e))throw new Error("Converting circular structure to TOML.");if(null!=t&&"object"!=typeof t)throw new Error("Options must be an object.");if(null!=t.replace&&"function"!=typeof t.replace)throw new Error("Replacer must be a function.");if(null!=t.sort&&"function"!=typeof t.sort)throw new Error("Compare function for sorting must be a function.")},k={toToml:function(e,t){if(!u(e))throw new Error("An object other than Array or Date is expected.");return x(e,t=null!=t?t:{}),function(e,t){var r=[];return y({path:[],table:{"":e},inPair:!1,inTableArray:!1,replace:t.replace,sort:t.sort,level:0,space:_(t.space),lines:r},"",e,(function(e,t,i){var o=null;if(u(i))""!==t&&(r.length>0&&r.push(""),o=e.inTableArray?"[["+n(e.path,!0)+"]]":"["+n(e.path,!0)+"]",r.push(w(o,e.level,e.space)));else{if(!l(i))return a(t)&&(o=m(e,t,i),a(o)&&r.push(w(o,e.level,e.space))),!0;if(!a(t))return!0;c(i)||(o=m(e,t,i),a(o)&&r.push(w(o,e.level,e.space)))}})),r.join("\n")}(e,t)},toKey:function(e,t){if(a(e)){if(!(r=h(e)))throw new Error("Key cannot be an empty string.");return r}if(l(e)){var r;if(!1===(r=n(e,t)))throw new Error("Key path must consist of non-empty string(s).");return r}throw new Error("Invalid Arguments for tomlify.toKey({String | Array})")},toValue:function(e,t){return x(e,t=null!=t?t:{}),function(e,t){return f({path:[],table:{"":e},inPair:!1,inTableArray:!1,sort:t.sort,replace:t.replace,level:0,space:_(t.space)},"",e)}(e,t)}};null!=(null!==e?e.exports:void 0)?e.exports=k:void 0===(r=function(){return k}.apply(t,[]))||(e.exports=r)}.call(this)},62489:function(e,t,n){var r;(function(i,o){"use strict";!function(){for(var e=0,t=["ms","moz","webkit","o"],n=0;n<t.length&&!i.requestAnimationFrame;++n)i.requestAnimationFrame=i[t[n]+"RequestAnimationFrame"],i.cancelAnimationFrame=i[t[n]+"CancelAnimationFrame"]||i[t[n]+"CancelRequestAnimationFrame"];i.requestAnimationFrame||(i.requestAnimationFrame=function(t,n){var r=(new Date).getTime(),o=Math.max(0,16-(r-e)),a=i.setTimeout((function(){t(r+o)}),o);return e=r+o,a}),i.cancelAnimationFrame||(i.cancelAnimationFrame=function(e){clearTimeout(e)})}();var a,s,l,u,c,d={autoRun:!0,barThickness:3,barColors:{0:"rgba(26, 188, 156, .9)",".25":"rgba(52, 152, 219, .9)",".50":"rgba(241, 196, 15, .9)",".75":"rgba(230, 126, 34, .9)","1.0":"rgba(211, 84, 0, .9)"},shadowBlur:10,shadowColor:"rgba(0, 0, 0, .6)",className:null},p=function(){a.width=i.innerWidth,a.height=5*d.barThickness;var e=a.getContext("2d");e.shadowBlur=d.shadowBlur,e.shadowColor=d.shadowColor;var t=e.createLinearGradient(0,0,a.width,0);for(var n in d.barColors)t.addColorStop(n,d.barColors[n]);e.lineWidth=d.barThickness,e.beginPath(),e.moveTo(0,d.barThickness/2),e.lineTo(Math.ceil(u*a.width),d.barThickness/2),e.strokeStyle=t,e.stroke()},f={config:function(e){for(var t in e)d.hasOwnProperty(t)&&(d[t]=e[t])},show:function(){var e,t,n,r;c||(c=!0,null!==l&&i.cancelAnimationFrame(l),a||((r=(a=o.createElement("canvas")).style).position="fixed",r.top=r.left=r.right=r.margin=r.padding=0,r.zIndex=100001,r.display="none",d.className&&a.classList.add(d.className),o.body.appendChild(a),t="resize",n=p,(e=i).addEventListener?e.addEventListener(t,n,!1):e.attachEvent?e.attachEvent("on"+t,n):e["on"+t]=n),a.style.opacity=1,a.style.display="block",f.progress(0),d.autoRun&&function e(){s=i.requestAnimationFrame(e),f.progress("+"+.05*Math.pow(1-Math.sqrt(u),2))}())},progress:function(e){return void 0===e||("string"==typeof e&&(e=(e.indexOf("+")>=0||e.indexOf("-")>=0?u:0)+parseFloat(e)),u=e>1?1:e,p()),u},hide:function(){c&&(c=!1,null!=s&&(i.cancelAnimationFrame(s),s=null),function e(){if(f.progress("+.1")>=1&&(a.style.opacity-=.05,a.style.opacity<=.05))return a.style.display="none",void(l=null);l=i.requestAnimationFrame(e)}())}};"object"==typeof e.exports?e.exports=f:void 0===(r=function(){return f}.call(t,n,t,e))||(e.exports=r)}).call(this,window,document)},66406:e=>{"use strict";e.exports=function(e){return String(e).replace(t,n)};var t=/[ \t]*\n+[ \t]*/g,n="\n"},67876:e=>{"use strict";e.exports=function(e){return String(e).replace(/\n+$/,"")}},50311:(e,t)=>{(t=e.exports=function(e){return e.replace(/^\s*|\s*$/g,"")}).left=function(e){return e.replace(/^\s*/,"")},t.right=function(e){return e.replace(/\s*$/,"")}},68776:(e,t,n)=>{"use strict";var r=n(79996);e.exports=o,o.wrap=r;var i=[].slice;function o(){var e=[],t={run:function(){var t=-1,n=i.call(arguments,0,-1),o=arguments[arguments.length-1];if("function"!=typeof o)throw new Error("Expected function as last argument, not "+o);(function a(s){var l=e[++t],u=i.call(arguments,0).slice(1),c=n.length,d=-1;if(s)o(s);else{for(;++d<c;)null!==u[d]&&void 0!==u[d]||(u[d]=n[d]);n=u,l?r(l,a).apply(null,n):o.apply(null,[null].concat(n))}}).apply(null,[null].concat(n))},use:function(n){if("function"!=typeof n)throw new Error("Expected `fn` to be a function, not "+n);return e.push(n),t}};return t}},79996:e=>{"use strict";var t=[].slice;e.exports=function(e,n){var r;return function(){var n,a=t.call(arguments,0),s=e.length>a.length;s&&a.push(i);try{n=e.apply(null,a)}catch(e){if(s&&r)throw e;return i(e)}s||(n&&"function"==typeof n.then?n.then(o,i):n instanceof Error?i(n):o(n))};function i(){r||(r=!0,n.apply(null,arguments))}function o(e){i(null,e)}}},83439:(e,t,n)=>{"use strict";var r=n(46070),i=n(67343);e.exports=r.bind(null,i)},46070:e=>{"use strict";function t(e){return e>=55296&&e<=56319}function n(e){return e>=56320&&e<=57343}e.exports=function(e,r,i){if("string"!=typeof r)throw new Error("Input must be string");for(var o,a,s=r.length,l=0,u=0;u<s;u+=1){if(o=r.charCodeAt(u),a=r[u],t(o)&&n(r.charCodeAt(u+1))&&(a+=r[u+=1]),(l+=e(a))===i)return r.slice(0,u+1);if(l>i)return r.slice(0,u-a.length+1)}return r}},30603:(e,t,n)=>{"use strict";var r=n(51960),i=n(91285);e.exports=function(e){var t,n,o;for(n in i(s,e),i(a,s),t=s.prototype)(o=t[n])&&"object"==typeof o&&(t[n]="concat"in o?o.concat():r(o));return s;function a(t){return e.apply(this,t)}function s(){return this instanceof s?e.apply(this,arguments):new a(arguments)}}},73610:(e,t,n)=>{"use strict";var r=n(37320);e.exports=function(e,t,n){var i;return null!=n||"object"==typeof t&&!Array.isArray(t)||(n=t,t={}),i=r({type:String(e)},t),Array.isArray(n)?i.children=n:null!=n&&(i.value=String(n)),i}},48313:(e,t,n)=>{"use strict";var r=n(2547);e.exports=function(e,t,n){var i,o,a,s=r(n);if(!e||!e.type||!e.children)throw new Error("Expected parent node");if(a=(i=e.children).length,t&&t.type&&(t=i.indexOf(t)),isNaN(t)||t<0||t===1/0)throw new Error("Expected positive finite index or child node");for(;++t<a;)if(s(o=i[t],t,e))return o;return null}},93624:e=>{"use strict";e.exports=function(e){return!(e&&e.position&&e.position.start&&e.position.start.line&&e.position.start.column&&e.position.end&&e.position.end.line&&e.position.end.column)}},2547:e=>{"use strict";function t(){return!0}e.exports=function e(n){if(null==n)return t;if("string"==typeof n)return function(e){return function(t){return Boolean(t&&t.type===e)}}(n);if("object"==typeof n)return"length"in n?function(t){for(var n=[],r=-1;++r<t.length;)n[r]=e(t[r]);return function(){for(var e=-1;++e<n.length;)if(n[e].apply(this,arguments))return!0;return!1}}(n):function(e){return function(t){var n;for(n in e)if(t[n]!==e[n])return!1;return!0}}(n);if("function"==typeof n)return n;throw new Error("Expected function, string, or object as test")}},9352:e=>{"use strict";var t=i("start"),n=i("end");function r(e){return{start:t(e),end:n(e)}}function i(e){return t.displayName=e,t;function t(t){var n=t&&t.position&&t.position[e]||{};return{line:n.line||null,column:n.column||null,offset:isNaN(n.offset)?null:n.offset}}}e.exports=r,r.start=t,r.end=n},5281:(e,t,n)=>{"use strict";var r=n(9867);function i(e){delete e.position}function o(e){e.position=void 0}e.exports=function(e,t){return r(e,t?i:o),e}},88062:e=>{"use strict";var t={}.hasOwnProperty;function n(e){return e&&"object"==typeof e||(e={}),i(e.line)+":"+i(e.column)}function r(e){return e&&"object"==typeof e||(e={}),n(e.start)+"-"+n(e.end)}function i(e){return e&&"number"==typeof e?e:1}e.exports=function(e){return e&&"object"==typeof e?t.call(e,"position")||t.call(e,"type")?r(e.position):t.call(e,"start")||t.call(e,"end")?r(e):t.call(e,"line")||t.call(e,"column")?n(e):"":""}},26578:(e,t,n)=>{"use strict";e.exports=s;var r=n(832),i=!0,o="skip",a=!1;function s(e,t,n,i){var s;"function"==typeof t&&"function"!=typeof n&&(i=n,n=t,t=null),s=r(t),function e(r,u,c){var d,p=[];return(t&&!s(r,u,c[c.length-1]||null)||(p=l(n(r,c)))[0]!==a)&&r.children&&p[0]!==o?(d=l(function(t,n){for(var r,o=i?-1:1,s=(i?t.length:-1)+o;s>-1&&s<t.length;){if((r=e(t[s],s,n))[0]===a)return r;s="number"==typeof r[1]?r[1]:s+o}}(r.children,c.concat(r))),d[0]===a?d:p):p}(e,null,[])}function l(e){return null!==e&&"object"==typeof e&&"length"in e?e:"number"==typeof e?[i,e]:[e]}s.CONTINUE=i,s.SKIP=o,s.EXIT=a},832:e=>{"use strict";function t(e){if("string"==typeof e)return function(e){return function(t){return Boolean(t&&t.type===e)}}(e);if(null==e)return i;if("object"==typeof e)return("length"in e?r:n)(e);if("function"==typeof e)return e;throw new Error("Expected function, string, or object as test")}function n(e){return function(t){var n;for(n in e)if(t[n]!==e[n])return!1;return!0}}function r(e){var n=function(e){for(var n=[],r=e.length,i=-1;++i<r;)n[i]=t(e[i]);return n}(e),r=n.length;return function(){for(var e=-1;++e<r;)if(n[e].apply(this,arguments))return!0;return!1}}function i(){return!0}e.exports=t},9867:(e,t,n)=>{"use strict";e.exports=s;var r=n(26578),i=r.CONTINUE,o=r.SKIP,a=r.EXIT;function s(e,t,n,i){"function"==typeof t&&"function"!=typeof n&&(i=n,n=t,t=null),r(e,t,(function(e,t){var r=t[t.length-1],i=r?r.children.indexOf(e):null;return n(e,i,r)}),i)}s.CONTINUE=i,s.SKIP=o,s.EXIT=a},38625:function(e,t,n){e.exports=function(e){"use strict";function t(e){return e&&"object"==typeof e&&"default"in e?e:{default:e}}var n=t(e);function r(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function o(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?r(Object(n),!0).forEach((function(t){d(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):r(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function a(e){return a="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},a(e)}function s(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function u(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function c(e,t,n){return t&&u(e.prototype,t),n&&u(e,n),Object.defineProperty(e,"prototype",{writable:!1}),e}function d(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function p(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),Object.defineProperty(e,"prototype",{writable:!1}),t&&h(e,t)}function f(e){return f=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)},f(e)}function h(e,t){return h=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e},h(e,t)}function m(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}function g(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Boolean.prototype.valueOf.call(Reflect.construct(Boolean,[],(function(){}))),!0}catch(e){return!1}}();return function(){var n,r=f(e);if(t){var i=f(this).constructor;n=Reflect.construct(r,arguments,i)}else n=r.apply(this,arguments);return function(e,t){if(t&&("object"==typeof t||"function"==typeof t))return t;if(void 0!==t)throw new TypeError("Derived constructors may only return object or undefined");return m(e)}(this,n)}}function v(){return v="undefined"!=typeof Reflect&&Reflect.get?Reflect.get:function(e,t,n){var r=function(e,t){for(;!Object.prototype.hasOwnProperty.call(e,t)&&null!==(e=f(e)););return e}(e,t);if(r){var i=Object.getOwnPropertyDescriptor(r,t);return i.get?i.get.call(arguments.length<3?e:n):i.value}},v.apply(this,arguments)}function y(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){var n=null==e?null:"undefined"!=typeof Symbol&&e[Symbol.iterator]||e["@@iterator"];if(null!=n){var r,i,o=[],a=!0,s=!1;try{for(n=n.call(e);!(a=(r=n.next()).done)&&(o.push(r.value),!t||o.length!==t);a=!0);}catch(e){s=!0,i=e}finally{try{a||null==n.return||n.return()}finally{if(s)throw i}}return o}}(e,t)||w(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function b(e){return function(e){if(Array.isArray(e))return _(e)}(e)||function(e){if("undefined"!=typeof Symbol&&null!=e[Symbol.iterator]||null!=e["@@iterator"])return Array.from(e)}(e)||w(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function w(e,t){if(e){if("string"==typeof e)return _(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?_(e,t):void 0}}function _(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}var x=function(){var e;try{var t;return null!=(e=window.console)&&"function"==typeof e.log?(t=e).log.apply(t,arguments):void 0}catch(e){}},k=function(){var e;if(!(null!=(e=window.console)?e.debug:void 0))return x.apply(void 0,["Debug:"].concat(Array.prototype.slice.call(arguments)));try{var t;return(t=window.console).debug.apply(t,arguments)}catch(e){}},E=function(){var e;if(!(null!=(e=window.console)?e.warn:void 0))return x.apply(void 0,["Warning:"].concat(Array.prototype.slice.call(arguments)));try{var t;return(t=window.console).warn.apply(t,arguments)}catch(e){}},C={},S=function(e){if(null==C[e])return C[e]=!0,E(e)},A=function(){return"object"===("undefined"==typeof window?"undefined":a(window))},T=Object.freeze({__proto__:null,isWindowDefined:A}),O="RequestThrottledError",P=15e3;function D(e,t,r,i){return function(){var a=n.default.Deferred();for(var s,l=function(e,t,n){var r,i;if((null==e||null===(r=e.responseJSON)||void 0===r||null===(i=r.error)||void 0===i?void 0:i.error_code)===O&&n.attempt<t.throttledAttempts){var o=Number.parseFloat(e.getResponseHeader("retry-after"));return{shouldRetry:!0,retryTimeout:Number.isFinite(o)?Math.ceil(1e3*o):P}}return-1!==["error","timeout"].indexOf(e.statusText)&&n.attempt<t.attempts?{shouldRetry:!0,retryTimeout:Math.round(t.baseTimeout*Math.pow(t.factor,n.attempt))}:{shouldRetry:!1}}(e,r,i),u=l.shouldRetry,c=l.retryTimeout,d=arguments.length,p=new Array(d),f=0;f<d;f++)p[f]=arguments[f];return u?(null===(s=r.onAttemptFail)||void 0===s||s.call(r,{attempt:i.attempt}),r.debugUploads&&x("Attempt failed. Retry #".concat(i.attempt+1," in ").concat(c,"ms"),e),i.timeoutId=setTimeout((function(){i.jqXHR=n.default.ajax(t).retry(r,o(o({},i),{},{attempt:i.attempt+1})).done(a.resolve).fail(a.reject)}),c)):"resolved"===e.state()?a.resolveWith(e,p):a.rejectWith(e,p),a}}A()&&n.default.ajaxPrefilter((function(e,t,n){n.retry=function(t){return function(e,t,n,r){var i=["baseTimeout","attempts","factor"].find((function(e){return void 0===n[e]}));if(i)return E('Option key "'.concat(i,'" is missed in the retry config.')),e;r={attempt:r.attempt||0,timeoutId:null,jqXHR:null},n=o({baseTimeout:null,attempts:null,factor:null,onAttemptFail:null,debugUploads:!1},n);var a=D(e,t,n,r),s=e.then(a,a);return s.abort=function(){var t;clearTimeout(r.timeoutId);for(var n=arguments.length,i=new Array(n),o=0;o<n;o++)i[o]=arguments[o];e.abort.apply(e,i),null===(t=r.jqXHR)||void 0===t||t.abort.apply(t,i)},s}(n,e,t,arguments.length>1&&void 0!==arguments[1]?arguments[1]:{})}})),A()&&window.XDomainRequest&&n.default.ajaxTransport((function(e){var t;if(e.crossDomain&&e.async)return e.timeout&&(e.xdrTimeout=e.timeout,delete e.timeout),{send:function(n,r){function i(e,n,i,o){t.onload=t.onerror=t.ontimeout=function(){},t=void 0,r(e,n,i,o)}(t=new XDomainRequest).onload=function(){i(200,"OK",{text:t.responseText},"Content-Type: "+t.contentType)},t.onerror=function(){i(404,"Not Found")},t.onprogress=function(){},t.ontimeout=function(){i(0,"timeout")},t.timeout=e.xdrTimeout||Number.MAX_VALUE,t.open(e.type,e.url.replace(/^https?:/,"")),t.send(e.hasContent&&e.data||null)},abort:function(){t&&(t.onerror=function(){},t.abort())}}}));var R,I="3.21.2",M=A()&&!!(window.File&&window.FileList&&window.FileReader),L=A()&&!(!window.FormData||!M),N=A()&&("draggable"in(R=document.createElement("div"))||"ondragstart"in R&&"ondrop"in R),j=A()&&function(){var e;return!(!(e=document.createElement("canvas")).getContext||!e.getContext("2d"))}(),F=M&&N,B=null,z=A()&&/^[^(]+\(iP(?:hone|od|ad);\s*(.+?)\)/.exec(navigator.userAgent);if(z){var U=/OS (\d*)_(\d*)/.exec(z[1]);U&&(B=+U[1]+U[2]/10)}var q=A()&&navigator.maxTouchPoints&&navigator.maxTouchPoints>2&&/MacIntel/.test(navigator.platform),$=!1;try{A()&&new window.Blob&&($=window.Blob)}catch(e){}var W=A()&&(window.URL||window.webkitURL||!1),H=W&&W.createObjectURL&&W,V=A()&&(null!=window.FileReader?window.FileReader.prototype.readAsArrayBuffer:void 0)&&window.FileReader,G=[].indexOf,K=function(e){var t,n,r,i;for(i=[],n=0,r=e.length;n<r;n++)t=e[n],G.call(i,t)<0&&i.push(t);return i},Y=function(e){return setTimeout(e,0)},Z=function(e,t){for(var n;t;)n=e%t,e=t,t=n;return e},X=function(e){var t,n;return t=!1,n=null,function(){return t||(n=e.apply(this,arguments),t=!0),n}},Q=function(e){return n.default.Deferred().resolve(e).promise()},J=function(e,t,r,i){var o,a;return a=n.default.Deferred(),o=function(e,t){return e&&t?function(){return t.call(this,e.apply(this,arguments))}:e||t},e.then(o(t,a.resolve),o(r,a.reject),o(i,a.notify)),a.promise()},ee=function(e,t){var r;return r={},n.default.each(t,(function(t,i){var o=e[i];n.default.isFunction(o)?r[i]=function(){for(var t=arguments.length,n=new Array(t),i=0;i<t;i++)n[i]=arguments[i];var a=o.apply(e,n);return a===e?r:a}:r[i]=o})),r},te=function(e){return e.replace(/([A-Z])/g,"_$1").toUpperCase()},ne=function(e){var t;return(t=e.add).add=e.add,t.remove=e.remove,t},re=function(){return"xxxxxxxx-xxxx-4xxx-yxxx-xxxxxxxxxxxx".replace(/[xy]/g,(function(e){var t=16*Math.random()|0;return("x"===e?t:3&t|8).toString(16)}))},ie=/^(?:([^:/?#]+):)?(?:\/\/([^/?#]*))?([^?#]*)\??([^#]*)#?(.*)$/,oe=/[a-f0-9]{8}-[a-f0-9]{4}-[a-f0-9]{4}-[a-f0-9]{4}-[a-f0-9]{12}/i,ae=new RegExp("".concat(oe.source,"~[0-9]+"),"i"),se=new RegExp("^/?(".concat(oe.source,")(?:/(-/(?:[^/]+/)+)?([^/]*))?$"),"i"),le=function(e){return se.exec(ie.exec(e)[3])},ue=function(e){return e.replace(/[\\-\\[]\/\{\}\(\)\*\+\?\.\\\^\$\|]/g,"\\$&")},ce=function(e){var t,r=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"i";return t=n.default.map(e.split("*"),ue),new RegExp("^"+t.join(".+")+"$",r)},de=function(e){var t;return"http:"!==(t=document.location.protocol)&&(t="https:"),e.replace(/^\/\//,t+"//").replace(/\/+$/,"")},pe=function(e,t){if(e.length>t){var n=Math.ceil((t-3)/2),r=Math.floor((t-3)/2);return e.slice(0,n)+"..."+e.slice(-r)}return e},fe=function(e,t,n){var r,i;return e[0]>t[0]||e[1]>t[1]||n?(i=t[0]/e[0],r=t[1]/e[1],!t[0]||t[1]&&i>r?[Math.round(r*e[0]),t[1]]:[t[0],Math.round(i*e[1])]):e.slice()},he=function(e,t,r,i){var o,a,s,l,u,c,d;if(c=i.width,a=i.height,l=t.preferedSize,s="",(d=c===r[0]&&a===r[1])||(s+="-/crop/".concat(c,"x").concat(a,"/").concat(i.left,",").concat(i.top,"/")),o=t.downscale&&(c>l[0]||a>l[1]),u=t.upscale&&(c<l[0]||a<l[1]),o||u){var p=y(l,2);i.sw=p[0],i.sh=p[1],s+="-/resize/".concat(l.join("x"),"/")}else d||(s+="-/preview/");return(e=n.default.extend({},e)).cdnUrlModifiers=s,e.cdnUrl="".concat(e.originalUrl).concat(s||""),e.crop=i,e},me=["image/*","image/heif","image/heif-sequence","image/heic","image/heic-sequence","image/avif","image/avif-sequence",".heif",".heifs",".heic",".heics",".avif",".avifs"].join(","),ge=function(e,t,r){var i,o,a;return o=null,""===(i=t.inputAcceptTypes)&&(i=t.imagesOnly?me:null),(a=function(){return o=(t.multiple?n.default('<input type="file" multiple>'):n.default('<input type="file">')).attr("accept",i).css({position:"absolute",top:0,opacity:0,margin:0,padding:0,width:"auto",height:"auto",cursor:e.css("cursor")}).on("change",(function(){return r(this),n.default(this).hide(),a()})),e.append(o)})(),e.css({position:"relative",overflow:"hidden"}).mousemove((function(e){var t,r,i,a=n.default(this).offset();return t=a.left,r=a.top,i=o.width(),o.css({left:e.pageX-t-i+10,top:e.pageY-r-10})}))},ve=function(e,t,r){var i,o=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{};return""===(i=t.inputAcceptTypes)&&(i=t.imagesOnly?me:null),n.default(t.multiple?'<input type="file" multiple>':'<input type="file">').attr("accept",i).attr(o).css({position:"fixed",bottom:0,opacity:0}).on("change",(function(){return r(this),n.default(this).remove()})).appendTo(e).focus().click().hide()},ye="B KB MB GB TB PB EB ZB YB".split(" "),be=function(e){var t,n,r,i=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"",o=arguments.length>2&&void 0!==arguments[2]?arguments[2]:"",a=arguments.length>3&&void 0!==arguments[3]?arguments[3]:"";if(e=parseInt(e,10),isNaN(e))return i;for(2,n=0,r=1e3-5*Math.pow(10,2-Math.max(2,3));e>r&&n<ye.length-1;)n++,e/=1024;return e+=1e-15,t=Math.max(0,2-Math.floor(e).toFixed(0).length),e=Number(e.toFixed(t)),"".concat(o).concat(e," ").concat(ye[n]).concat(a)},we={dataType:"json",crossDomain:!0,cache:!1},_e=function(e,t,r){var i=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{},o=n.default.ajax(n.default.extend({url:e,type:t,data:r},i,we)).retry(i.retryConfig).fail((function(t,n,r){var i="".concat(n," (").concat(r,")");E("JSONP unexpected error: ".concat(i," while loading ").concat(e))})),a=o.then((function(e){var t,r;return e.error?("string"==typeof e.error?(t=e.error,r=e.error_code):(t=e.error.content,r=e.error.error_code),n.default.Deferred().reject({message:t,code:r})):e}));return a.abort=o.abort.bind(o),a},xe=function(e,t,n,r){var i,o,a,s,l,u;if(window.HTMLCanvasElement.prototype.toBlob)return e.toBlob(r,t,n);for(a=(a=e.toDataURL(t,n)).split(","),o=window.atob(a[1]),i=new Uint8Array(o.length),s=l=0,u=o.length;l<u;s=l+=1)i[s]=o.charCodeAt(s);return r(new window.Blob([i],{type:/:(.+\/.+);/.exec(a[0])[1]}))},ke=function(e){var t,n,r;return r=0,t=[],n=function(){var e;return t.length?(e=t.shift(),Y((function(){return e(n)}))):r-=1},function(i){return!e||r<e?(r+=1,Y((function(){return i(n)}))):t.push(i)}},Ee=[["notify","progress",2],["resolve","done",0],["reject","fail",1]],Ce=function(e){for(var t=arguments.length,r=new Array(t>1?t-1:0),i=1;i<t;i++)r[i-1]=arguments[i];return n.default.Deferred((function(t){return n.default.each(Ee,(function(i,o){var a;return a=n.default.isFunction(r[o[2]])&&r[o[2]],e[o[1]]((function(){var r;return(r=a&&a.apply(this,arguments))&&n.default.isFunction(r.promise)?r.promise().progress(t.notify).done(t.resolve).fail(t.reject):t[o[0]+"With"](this===e?t.promise():this,a?[r]:arguments)}))}))})).promise()},Se=function(e){var t;return t=o({},t=e.metadataCallback?e.metadataCallback()||{}:e.metadata||{}),n.default.each(t,(function(e,n){t[e]=String(n)})),t},Ae=55,Te=110,Oe=165,Pe=255,De="rgba(".concat(Ae,", ").concat(Te,", ").concat(Oe,", ").concat(Pe/255,")");function Re(e,t){try{var n=[e-1,t-1,1,1],r=document.createElement("canvas");r.width=1,r.height=1;var i=document.createElement("canvas");i.width=e,i.height=t;var o=r.getContext("2d"),a=i.getContext("2d");a&&(a.fillStyle=De,a.fillRect.apply(a,n),o.drawImage(i,e-1,t-1,1,1,0,0,1,1));var s=o&&o.getImageData(0,0,1,1).data,l=!1;return s&&(l=s[0]===Ae&&s[1]===Te&&s[2]===Oe&&s[3]===Pe),i.width=i.height=1,l}catch(n){return x("Failed to test for max canvas size of ".concat(e,"x").concat(t,"."),n),!1}}function Ie(e,t){var n={};return function(){for(var r=arguments.length,i=new Array(r),o=0;o<r;o++)i[o]=arguments[o];var a=t(i,n);return a in n?n[a]:n[a]=e.apply(void 0,i)}}var Me={squareSide:[Math.floor(Math.sqrt(5e6)),4096,8192,11180,10836,11402,14188,16384],dimension:[4096,8192,16384,32767,65535]},Le=Me.squareSide[Me.squareSide.length-1];function Ne(e){return function(){for(var t=arguments.length,r=new Array(t),i=0;i<t;i++)r[i]=arguments[i];var o=n.default.Deferred();return Y((function(){var t=e.apply(void 0,r);o.resolve(t)})),o.promise()}}function je(e,t){for(var n=y(e,1)[0],r=Object.keys(t).map((function(e){return parseInt(e,10)})).sort((function(e,t){return e-t})),i=0;i<r.length;i++){var o=r[i],a=!!t[o];if(o>n&&a)return o;if(o<n&&!a)return o}return n}var Fe=Ne(Ie(Re,je)),Be=Ne(Ie(Re,je));function ze(e,t){var r=n.default.Deferred(),i=Me.squareSide.find((function(n){return n*n>=e*t})),o=Me.dimension.find((function(n){return n>=e&&n>=t}));if(!i||!o)return r.reject();var a=[Fe(i,i),Be(o,1)];return n.default.when.apply(n.default,a).done((function(e,t){e&&t?r.resolve():r.reject()})),r.promise()}var Ue,qe,$e,We,He,Ve,Ge,Ke,Ye,Ze,Xe,Qe,Je,et,tt,nt,rt,it,ot,at,st,lt,ut,ct=[].indexOf;He={live:!0,manualStart:!1,locale:null,localePluralize:null,localeTranslations:null,systemDialog:!1,crop:!1,previewStep:!1,imagesOnly:!1,clearable:!1,multiple:!1,multipleMax:1e3,multipleMin:1,multipleMaxStrict:!1,imageShrink:!1,pathValue:!0,tabs:"file camera url facebook gdrive gphotos dropbox instagram evernote flickr onedrive",preferredTypes:"",inputAcceptTypes:"",doNotStore:!1,publicKey:null,secureSignature:"",secureExpire:"",pusherKey:"79ae88bd931ea68464d9",cdnBase:"https://ucarecdn.com",urlBase:"https://upload.uploadcare.com",socialBase:"https://social.uploadcare.com",previewProxy:null,previewUrlCallback:null,remoteTabSessionKey:null,metadata:null,metadataCallback:null,imagePreviewMaxSize:26214400,multipartMinSize:10485760,multipartPartSize:5242880,multipartMinLastPartSize:1048576,multipartConcurrency:4,multipartMaxAttempts:null,retryAttempts:3,retryThrottledAttempts:10,retryBaseTimeout:1e3,retryFactor:2,parallelDirectUploads:10,passWindowOpen:!1,cameraMirrorDefault:!0,enableAudioRecording:!0,enableVideoRecording:!0,videoPreferredMimeTypes:null,audioBitsPerSecond:null,videoBitsPerSecond:null,scriptBase:"//ucarecdn.com/widget/".concat(I,"/uploadcare/"),debugUploads:!1,integration:""},Ve=o({},He),ot={multipleMax:{from:0,to:1e3}},$e={multipleMax:{min:1,max:1e3}},tt={tabs:{all:"file camera url facebook gdrive gphotos dropbox instagram evernote flickr onedrive box vk huddle",default:He.tabs}},nt=A()&&(document.currentScript||(ut=document.getElementsByTagName("script"))[ut.length-1]),(Ye=A()&&n.default(nt).data("integration"))&&null!=Ye&&(He=n.default.extend(He,{integration:Ye})),rt=function(e){return n.default.isArray(e)||(e=(e=n.default.trim(e))?e.split(" "):[]),e},Ue=function(e,t){var n,r,i,o,a,s,l,u,c=Object.prototype.hasOwnProperty;for(n=0,a=t.length;n<a;n++){if(o=t[n],u=l=rt(e[o]),c.apply(tt,[o]))for(u=[],i=0,s=l.length;i<s;i++)r=l[i],c.apply(tt[o],[r])?u=u.concat(rt(tt[o][r])):u.push(r);e[o]=K(u)}return e},at=function(e,t){var n,r,i;for(n=0,i=t.length;n<i;n++)null!=e[r=t[n]]&&(e[r]=de(e[r]));return e},Ge=function(e,t){var r,i,o,a;for(r=0,o=t.length;r<o;r++)null!=e[i=t[r]]&&("string"==typeof(a=e[i])?(a=n.default.trim(a).toLowerCase(),e[i]=!("false"===a||"disabled"===a)):e[i]=!!a);return e},Ke=function(e,t){var n,r,i;for(n=0,i=t.length;n<i;n++)null!=e[r=t[n]]&&(e[r]=parseInt(e[r]));return e},Ze=function(e){return e._userAgent="UploadcareWidget/".concat(I,"/").concat(e.publicKey," (JavaScript").concat(e.integration?"; ".concat(e.integration):"",")"),e},Xe=function(e){e.retryAttempts===Ve.retryAttempts&&null!==e.multipartMaxAttempts&&(e.retryAttempts=e.multipartMaxAttempts),e.retryConfig={baseTimeout:e.retryBaseTimeout,factor:e.retryFactor,attempts:e.retryAttempts,debugUploads:e.debugUploads,throttledAttempts:e.retryThrottledAttempts}},it=function(e,t){var n,r;for(n in t)r=t[n],null!=e[n]&&e[n]===r.from&&(e[n]=r.to);return e},qe=function(e,t){var n,r,i;for(n in t){var o=t[n];i=o.min,r=o.max,null!=e[n]&&(e[n]=Math.min(Math.max(e[n],i),r))}return e},st=function(e,t){for(var n=0,r=t.length;n<r;n++){var i=t[n];e[i]&&"function"!=typeof e[i]&&S('Option "'.concat(i,'" is expected to be a function. Instead got: ').concat(a(e[i])))}},lt=function(e,t){for(var n=0,r=t.length;n<r;n++){var i=t[n];e[i]&&(o=e[i],"[object Object]"!==Object.prototype.toString.call(o))&&S('Option "'.concat(i,'" is expected to be an object. Instead got: ').concat(a(e[i])))}var o},Je=function(e){var t;return{downscale:"x"===(t=/^([0-9]+)([x:])([0-9]+)\s*(|upscale|minimum)$/i.exec(n.default.trim(e.toLowerCase()))||[])[2],upscale:!!t[4],notLess:"minimum"===t[4],preferedSize:t.length?[+t[1],+t[3]]:void 0}},et=function(e){var t=/^([0-9]+)x([0-9]+)(?:\s+(\d{1,2}|100)%)?$/i.exec(n.default.trim(e.toLowerCase()))||[];if(!t.length)return!1;var r=t[1]*t[2],i=Le*Le;return r>i?(S("Shrinked size can not be larger than ".concat(Math.floor(i/1e3/1e3),"MP. ")+"You have set ".concat(t[1],"x").concat(t[2]," (")+"".concat(Math.ceil(r/1e3/100)/10,"MP).")),!1):{quality:t[3]?t[3]/100:void 0,size:r}},We=function(e,t){var n,r,i,o;return this.previewProxy?(r=(i=!/\?/.test(this.previewProxy))||!/=$/.test(this.previewProxy),n=!i&&!/[&?=]$/.test(this.previewProxy),o=encodeURIComponent(e),r&&(o="url="+o),n&&(o="&"+o),i&&(o="?"+o),this.previewProxy+o):e},Qe=function(e){Ue(e,["tabs","preferredTypes","videoPreferredMimeTypes"]),at(e,["cdnBase","socialBase","urlBase","scriptBase"]),Ge(e,["doNotStore","imagesOnly","multiple","clearable","pathValue","previewStep","systemDialog","debugUploads","multipleMaxStrict","cameraMirrorDefault"]),Ke(e,["multipleMax","multipleMin","multipartMinSize","multipartPartSize","multipartMinLastPartSize","multipartConcurrency","multipartMaxAttempts","retryAttempts","retryThrottledAttempts","retryBaseTimeout","retryFactor","parallelDirectUploads"]),st(e,["previewUrlCallback","metadataCallback"]),lt(e,["metadata"]),it(e,ot),qe(e,$e),Ze(e),Xe(e),!1===e.crop||n.default.isArray(e.crop)||(/^(disabled?|false|null)$/i.test(e.crop)?e.crop=!1:n.default.isPlainObject(e.crop)?e.crop=[e.crop]:e.crop=n.default.map((""+e.crop).split(","),Je)),e.imageShrink&&!n.default.isPlainObject(e.imageShrink)&&(e.imageShrink=et(e.imageShrink)),(e.crop||e.multiple)&&(e.previewStep=!0),L||(e.systemDialog=!1),e.validators&&(e.validators=e.validators.slice()),e.previewProxy&&!e.previewUrlCallback&&(e.previewUrlCallback=We);var t=e.tabs.indexOf("skydrive");return-1!==t&&(e.tabs[t]="onedrive"),e};var dt,pt=function(){var e,t,n;for(e in t={},He)null!=(n=window["UPLOADCARE_".concat(te(e))])&&(t[e]=n);return t},ft=X((function(e,t){var r;return t||(He=n.default.extend(He,pt())),r=Qe(n.default.extend(He,e||{})),mt.fire(r),r})),ht=function(e){var t;return t=n.default.extend({},ft()),n.default.isEmptyObject(e)||(t=Qe(n.default.extend(t,e))),t},mt=A()&&n.default.Callbacks("once memory"),gt=function(){function e(){s(this,e),this.urls=[],this.styles=[]}return c(e,[{key:"addUrl",value:function(e){if(!/^https?:\/\//i.test(e))throw new Error("Embedded urls should be absolute. "+e);if(!(ct.call(this.urls,e)>=0))return this.urls.push(e)}},{key:"addStyle",value:function(e){return this.styles.push(e)}}]),e}(),vt=function(e,t){var r;return r=n.default.Deferred(),t&&(e.src=t),e.complete?r.resolve(e):(n.default(e).one("load",(function(){return r.resolve(e)})),n.default(e).one("error",(function(){return r.reject(e)}))),r.promise()},yt=function e(t){return n.default.isArray(t)?n.default.when.apply(null,n.default.map(t,e)):t.src?vt(t):vt(new window.Image,t)},bt=function(e){var t=n.default.Deferred();return n.default("<video></video>").on("loadeddata",t.resolve).on("error",t.reject).attr("src",e).get(0).load(),t.promise()},wt=function(){var e=n.default.Deferred();if(void 0!==dt)e.resolve(dt);else{var t=new window.Image;t.onload=function(){dt=t.naturalWidth<t.naturalHeight,t.src="//:0",e.resolve(dt)},t.src="data:image/jpg;base64,/9j/4AAQSkZJRgABAQEASABIAAD/4QA6RXhpZgAATU0AKgAAAAgAAwESAAMAAAABAAYAAAEoAAMAAAABAAIAAAITAAMAAAABAAEAAAAAAAD/2wBDAP//////////////////////////////////////////////////////////////////////////////////////wAALCAABAAIBASIA/8QAJgABAAAAAAAAAAAAAAAAAAAAAxABAAAAAAAAAAAAAAAAAAAAAP/aAAgBAQAAPwBH/9k="}return e.promise()},_t=function(e,t,r){var i=n.default.Deferred();return Y((function(){try{var n=document.createElement("canvas"),o=n.getContext("2d");n.width=t,n.height=r,o.imageSmoothingQuality="high",o.drawImage(e,0,0,t,r),e.src="//:0",e.width=e.height=1,i.resolve(n)}catch(e){x("Failed to shrink image to size ".concat(t,"x").concat(r,"."),e),i.reject(e)}})),i.promise()},xt=function(e,t,r,i,o){var a=function(e,t,n,r){var i=[],o=t,a=n;do{i.push([o,a]),o=Math.round(o/r),a=Math.round(a/r)}while(o<e*r);return i.reverse()}(t,r,i,o),s=n.default.Deferred(),l=n.default.Deferred();l.resolve(e);var u,c=function(e,t){var n="undefined"!=typeof Symbol&&e[Symbol.iterator]||e["@@iterator"];if(!n){if(Array.isArray(e)||(n=w(e))||t&&e&&"number"==typeof e.length){n&&(e=n);var r=0,i=function(){};return{s:i,n:function(){return r>=e.length?{done:!0}:{done:!1,value:e[r++]}},e:function(e){throw e},f:i}}throw new TypeError("Invalid attempt to iterate non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}var o,a=!0,s=!1;return{s:function(){n=n.call(e)},n:function(){var e=n.next();return a=e.done,e},e:function(e){s=!0,o=e},f:function(){try{a||null==n.return||n.return()}finally{if(s)throw o}}}}(a);try{var d=function(){var e=y(u.value,2),i=e[0],o=e[1];l=l.then((function(e){var t=n.default.Deferred();return ze(i,o).then((function(){return t.resolve(e,!1)})).fail((function(){return t.resolve(e,!0)})),t.promise()})).then((function(e,t){return t?e:_t(e,i,o)})).then((function(e){return s.notify((t-i)/(t-r)),e}))};for(c.s();!(u=c.n()).done;)d()}catch(e){c.e(e)}finally{c.f()}return l.done((function(e){s.resolve(e)})),l.fail((function(e){s.reject(e)})),s.promise()},kt=function(e,t){var r=n.default.Deferred(),i=.71;if(e.width*i*e.height*i<t.size)return r.reject("not required");var o=e.width,a=e.height,s=o/a,l=Math.floor(Math.sqrt(t.size*s)),u=Math.floor(t.size/Math.sqrt(t.size*s));return ze(l,u).fail((function(){r.reject("not supported")})).then((function(){(!("imageSmoothingQuality"in document.createElement("canvas").getContext("2d"))||B||q?xt(e,o,l,u,i):function(e,t,n){return _t(e,t,n)}(e,l,u)).done((function(e){return r.resolve(e)})).progress((function(e){return r.notify(e)})).fail((function(){return r.reject("not supported")}))})),r.promise()},Et=A()&&window.DataView,Ct=ke(1),St=function(e,t){var r=n.default.Deferred();return H&&Et&&$?(Ct((function(i){r.always(i),Lt(e).then((function(e){if(e)return r.reject("skipped"),n.default.Deferred().reject()})).then((function(){return Mt(e).fail((function(){r.reject("not image")}))})).done((function(i){r.notify(.1);var o=n.default.when(Pt(e),wt(),Dt(e)).always((function(e,a,s){r.notify(.2);var l="resolved"===o.state(),u=kt(i,t);u.progress((function(e){return r.notify(.2+.6*e)})),u.fail(r.reject),u.done((function(i){var o="image/jpeg",u=t.quality||.8;!l&&Bt(i)&&(o="image/png",u=void 0),xe(i,o,u,(function(t){i.width=i.height=1,r.notify(.9);var o=n.default.Deferred().resolve(t);e&&(o=o.then((function(t){return Rt(t,e,a)})).catch((function(){return t}))),(null==s?void 0:s.length)>0&&(o=o.then((function(e){return It(e,s)})).catch((function(){return t}))),o.done(r.resolve),o.fail((function(){return r.resolve(t)}))}))}))}))}))})),r.promise()):r.reject("support")},At=function(e,t,r,i,o){var a,s;return a=n.default.Deferred(),H?((s=yt(H.createObjectURL(e))).always((function(e){return H.revokeObjectURL(e.src)})),s.fail((function(){return a.reject("not image")})),s.done((function(s){return a.always((function(){s.src="//:0"})),o&&s.width*s.height>o?a.reject("max source"):n.default.when(Pt(e),wt()).always((function(e,n){var o=n?1:jt(e)||1,l=o>4,u=l?[s.height,s.width]:[s.width,s.height],c=y(fe(u,[t,r]),2),d=c[0],p=c[1],f=[[1,0,0,1,0,0],[-1,0,0,1,d,0],[-1,0,0,-1,d,p],[1,0,0,-1,0,p],[0,1,1,0,0,0],[0,1,-1,0,d,0],[0,-1,-1,0,d,p],[0,-1,1,0,0,p]][o-1];if(!f)return a.reject("bad image");var h=document.createElement("canvas");h.width=d,h.height=p;var m=h.getContext("2d");if(m.transform.apply(m,f),l){var g=[p,d];d=g[0],p=g[1]}return i&&(m.fillStyle=i,m.fillRect(0,0,d,p)),m.drawImage(s,0,0,d,p),a.resolve(h,u)}))})),a.promise()):a.reject("support")},Tt=function(e){var t,r,i,o,a;return a=function(e,n){var r;return(r=new V).onload=function(){return n(new Et(r.result))},r.onerror=function(e){return t.reject("reader",e)},r.readAsArrayBuffer(e)},i=function(){return a(e.slice(r,r+128),(function(e){var t,n,i;for(t=n=0,i=e.byteLength;i>=0?n<i:n>i;t=i>=0?++n:--n)if(255===e.getUint8(t)){r+=t;break}return o()}))},o=function(){var n;return n=r,a(e.slice(r,r+=4),(function(o){var s,l;return 4!==o.byteLength||255!==o.getUint8(0)?t.reject("corrupted"):218===(l=o.getUint8(1))?t.resolve():(s=o.getUint16(2)-2,a(e.slice(r,r+=s),(function(e){return e.byteLength!==s?t.reject("corrupted"):(t.notify(n,s,l,e),i())})))}))},t=n.default.Deferred(),V&&Et?(r=2,a(e.slice(0,2),(function(e){return 65496!==e.getUint16(0)?t.reject("not jpeg"):i()})),t.promise()):t.reject("support")},Ot=function(e,t,r){var i,o,a,s;return i=n.default.Deferred(),a=[],o=[],(s=Tt(e)).fail(i.reject),s.progress((function(e,n,r){if(r===t)return a.push(e),o.push(n)})),s.done((function(){var n,s,l,u,c,d,p,f,h;for(p=[e.slice(0,2)],u=0,d=r.length;u<d;u++)n=r[u],(l=new Et(new ArrayBuffer(4))).setUint16(0,65280+t),l.setUint16(2,n.byteLength+2),p.push(l.buffer),p.push(n);for(f=2,s=c=0,h=a.length;h>=0?c<h:c>h;s=h>=0?++c:--c)a[s]>f&&p.push(e.slice(f,a[s])),f=a[s]+o[s]+4;return p.push(e.slice(f,e.size)),i.resolve(new $(p,{type:e.type}))})),i.promise()},Pt=function(e){var t=null,r=Tt(e);return r.progress((function(e,n,r,i){if(!t&&225===r&&i.byteLength>=14&&1165519206===i.getUint32(0)&&0===i.getUint16(4))return t=i})),r.then((function(){return t}),(function(){return n.default.Deferred().reject(t)}))},Dt=function(e){var t=[],r=Tt(e);return r.progress((function(e,n,r,i){226===r&&1229144927===i.getUint32(0)&&1347571526===i.getUint32(4)&&1229735168===i.getUint32(8)&&t.push(i)})),r.then((function(){return t}),(function(){return n.default.Deferred().reject(t)}))},Rt=function(e,t,n){return n&&Nt(t,1),Ot(e,225,[t.buffer])},It=function(e,t){return Ot(e,226,t.map((function(e){return e.buffer})))},Mt=function(e){var t=n.default.Deferred();return It(e,[]).catch((function(){return e})).then((function(e){var n=yt(H.createObjectURL(e));n.always((function(e){H.revokeObjectURL(e.src)})),n.fail((function(){t.reject()})),n.done((function(e){t.resolve(e)}))})).fail((function(){t.reject()})),t.promise()},Lt=function(e){var t=[1,3],n=[192,193,194,195,197,198,199,201,202,203,205,206,207],r=!1,i=Tt(e);return i.progress((function(e,i,o,a){if(!r&&n.indexOf(o)>=0){var s=a.getUint8(5);t.indexOf(s)<0&&(r=!0)}})),i.then((function(){return r})).catch((function(){return r}))},Nt=function(e,t){Ft(e,(function(n,r){return e.setUint16(n,t,r)}))},jt=function(e){return Ft(e,(function(t,n){return e.getUint16(t,n)}))},Ft=function(e,t){var n,r,i,o;if(!e||e.byteLength<14||1165519206!==e.getUint32(0)||0!==e.getUint16(4))return null;if(18761===e.getUint16(6))r=!0;else{if(19789!==e.getUint16(6))return null;r=!1}if(42!==e.getUint16(8,r))return null;for(i=8+e.getUint32(10,r),n=0,o=e.getUint16(i-2,r);o>=0?n<o:n>o;o>=0?++n:--n){if(e.byteLength<i+10)return null;if(274===e.getUint16(i,r))return t(i+8,r);i+=12}return null},Bt=function(e){var t,n,r,i,o,a;for(50,(t=document.createElement("canvas")).width=t.height=50,(n=t.getContext("2d")).drawImage(e,0,0,50,50),r=n.getImageData(0,0,50,50).data,t.width=t.height=1,i=o=3,a=r.length;o<a;i=o+=4)if(r[i]<254)return!0;return!1},zt=function(){function e(t,n){var r,i=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};s(this,e),this.settings=n,this.sourceInfo=i,this.fileId=null,this.fileName=null,this.sanitizedName=null,this.fileSize=null,this.isStored=null,this.cdnUrlModifiers=null,this.isImage=null,this.imageInfo=null,this.videoInfo=null,this.contentInfo=null,this.mimeType=null,this.s3Bucket=null,this.metadata=null,(r=this.sourceInfo).source||(r.source=this.sourceName),this.__setupValidation(),this.__initApi()}return c(e,[{key:"__startUpload",value:function(){return n.default.Deferred().resolve()}},{key:"__completeUpload",value:function(){var e,t,n,r,i=this;return n=0,this.settings.debugUploads&&(k("Load file info.",this.fileId,this.settings.publicKey),t=setInterval((function(){return k("Still waiting for file ready.",n,i.fileId,i.settings.publicKey)}),5e3),this.apiDeferred.done((function(){return k("File uploaded.",n,i.fileId,i.settings.publicKey)})).always((function(){return clearInterval(t)}))),r=100,(e=function(){if("pending"===i.apiDeferred.state())return n+=1,i.__updateInfo().done((function(){return setTimeout(e,r),r+=50}))})()}},{key:"__updateInfo",value:function(){var e=this;return _e("".concat(this.settings.urlBase,"/info/"),"GET",{jsonerrors:1,file_id:this.fileId,pub_key:this.settings.publicKey,wait_is_ready:+(null===this.isImage)},{headers:{"X-UC-User-Agent":this.settings._userAgent},retryConfig:this.settings.retryConfig}).fail((function(t){return e.settings.debugUploads&&x("Can't load file info. Probably removed.",e.fileId,e.settings.publicKey,t.message),e.__rejectApi("info",t)})).done(this.__handleFileData.bind(this))}},{key:"__handleFileData",value:function(e){if(this.fileName=e.original_filename,this.sanitizedName=e.filename,this.fileSize=e.size,this.isImage=e.is_image,this.imageInfo=e.image_info,this.videoInfo=e.video_info,this.contentInfo=e.content_info,this.mimeType=e.mime_type,this.isStored=e.is_stored,this.s3Bucket=e.s3_bucket,this.metadata=e.metadata,e.default_effects&&(this.cdnUrlModifiers="-/"+e.default_effects),this.s3Bucket&&this.cdnUrlModifiers&&this.__rejectApi("baddata"),this.__runValidators(),e.is_ready)return this.__resolveApi()}},{key:"__progressInfo",value:function(){var e;return{state:this.__progressState,uploadProgress:this.__progress,progress:"ready"===(e=this.__progressState)||"error"===e?1:.9*this.__progress,incompleteFileInfo:this.__fileInfo()}}},{key:"__fileInfo",value:function(){var e;return e=this.s3Bucket?"https://".concat(this.s3Bucket,".s3.amazonaws.com/").concat(this.fileId,"/").concat(this.sanitizedName):"".concat(this.settings.cdnBase,"/").concat(this.fileId,"/"),{uuid:this.fileId,name:this.fileName,size:this.fileSize,isStored:this.isStored,isImage:!this.s3Bucket&&this.isImage,originalImageInfo:this.imageInfo,originalVideoInfo:this.videoInfo,originalContentInfo:this.contentInfo,mimeType:this.mimeType,originalUrl:this.fileId?e:null,cdnUrl:this.fileId?"".concat(e).concat(this.cdnUrlModifiers||""):null,cdnUrlModifiers:this.cdnUrlModifiers,sourceInfo:this.sourceInfo,metadata:this.metadata}}},{key:"__setupValidation",value:function(){if(this.validators=this.settings.validators||this.settings.__validators||[],this.settings.imagesOnly)return this.validators.push((function(e){if(!1===e.isImage)throw new Error("image")}))}},{key:"__runValidators",value:function(){var e,t,n,r,i,o,a;n=this.__fileInfo();try{for(i=this.validators,o=[],t=0,r=i.length;t<r;t++)a=i[t],o.push(a(n));return o}catch(t){return e=t,this.__rejectApi(e.message)}}},{key:"__initApi",value:function(){return this.apiDeferred=n.default.Deferred(),this.__progressState="uploading",this.__progress=0,this.__notifyApi()}},{key:"__notifyApi",value:function(){return this.apiDeferred.notify(this.__progressInfo())}},{key:"__rejectApi",value:function(e,t){return this.__progressState="error",this.__notifyApi(),this.apiDeferred.reject(e,this.__fileInfo(),t)}},{key:"__resolveApi",value:function(){return this.__progressState="ready",this.__notifyApi(),this.apiDeferred.resolve(this.__fileInfo())}},{key:"__cancel",value:function(){return this.__rejectApi("user")}},{key:"__extendApi",value:function(e){var t=this;return e.cancel=this.__cancel.bind(this),e.pipe=e.then=function(){for(var n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];return t.__extendApi(Ce.apply(void 0,[e].concat(r)))},e}},{key:"promise",value:function(){var e,t=this;return this.__apiPromise||(this.__apiPromise=this.__extendApi(this.apiDeferred.promise()),this.__runValidators(),"pending"===this.apiDeferred.state()&&((e=this.__startUpload()).done((function(){return t.__progressState="uploaded",t.__progress=1,t.__notifyApi(),t.__completeUpload()})),e.progress((function(e){if(e>t.__progress)return t.__progress=e,t.__notifyApi()})),e.fail((function(e){return t.__rejectApi("upload",e)})),this.apiDeferred.always(e.reject))),this.__apiPromise}}]),e}(),Ut=null,qt=function(e){p(r,e);var t=g(r);function r(e){var n;return s(this,r),(n=t.apply(this,arguments)).__file=e,n.fileName=n.__file.name||"original",n.__notifyApi(),n}return c(r,[{key:"setFile",value:function(e){if(e&&(this.__file=e),this.sourceInfo.file=this.__file,this.__file)return this.fileSize=this.__file.size,this.fileType=this.__file.type||"application/octet-stream",this.settings.debugUploads&&k("Use local file.",this.fileName,this.fileType,this.fileSize),this.__runValidators(),this.__notifyApi()}},{key:"__startUpload",value:function(){var e,t,r,i=this;return this.apiDeferred.always((function(){return i.__file=null,i.__file})),this.__file.size>=this.settings.multipartMinSize&&$?(this.setFile(),this.multipartUpload()):(t=B,!this.settings.imageShrink||t&&t<8?(this.setFile(),this.directUpload()):(e=n.default.Deferred(),r=.4,St(this.__file,this.settings.imageShrink).progress((function(t){return e.notify(t*r)})).done(this.setFile.bind(this)).fail((function(){return i.setFile(),r*=.1})).always((function(){return e.notify(r),i.directUpload().done(e.resolve).fail(e.reject).progress((function(t){return e.notify(r+t*(1-r))}))})),e))}},{key:"__autoAbort",value:function(e){return this.apiDeferred.fail((function(){return e.abort()})),e}},{key:"directRunner",value:function(e){return Ut||(Ut=ke(this.settings.parallelDirectUploads)),Ut(e)}},{key:"directUpload",value:function(){var e,t=this;return e=n.default.Deferred(),this.__file?this.fileSize>104857600?(this.__rejectApi("size"),e):(this.directRunner((function(r){if(e.always(r),"pending"===t.apiDeferred.state()){var i=new window.FormData;return i.append("UPLOADCARE_PUB_KEY",t.settings.publicKey),i.append("signature",t.settings.secureSignature),i.append("expire",t.settings.secureExpire),i.append("UPLOADCARE_STORE",t.settings.doNotStore?"":"auto"),i.append("file",t.__file,t.fileName),i.append("file_name",t.fileName),i.append("source",t.sourceInfo.source),n.default.each(Se(t.settings),(function(e,t){i.append("metadata[".concat(e,"]"),t)})),t.__autoAbort(n.default.ajax({xhr:function(){var t;return(t=n.default.ajaxSettings.xhr()).upload&&t.upload.addEventListener("progress",(function(t){return e.notify(t.loaded/t.total)}),!1),t},crossDomain:!0,type:"POST",url:"".concat(t.settings.urlBase,"/base/?jsonerrors=1"),headers:{"X-UC-User-Agent":t.settings._userAgent},contentType:!1,processData:!1,data:i,dataType:"json"}).retry(t.settings.retryConfig).done((function(n){if(null!=n?n.file:void 0)return t.fileId=n.file,e.resolve();if(n.error){var r=n.error,i=r.content,o=r.error_code;return e.reject({message:i,code:o})}return e.reject()})).fail(e.reject))}})),e):(this.__rejectApi("baddata"),e)}},{key:"multipartUpload",value:function(){var e,t=this;return e=n.default.Deferred(),this.__file?(this.multipartStart().done((function(n){return t.uploadParts(n.parts,n.uuid).done((function(){return t.multipartComplete(n.uuid).done((function(n){return t.fileId=n.uuid,t.__handleFileData(n),e.resolve()})).fail(e.reject)})).progress(e.notify).fail(e.reject)})).fail(e.reject),e):e}},{key:"multipartStart",value:function(){var e=this,t={UPLOADCARE_PUB_KEY:this.settings.publicKey,signature:this.settings.secureSignature,expire:this.settings.secureExpire,filename:this.fileName,source:this.sourceInfo.source,size:this.fileSize,content_type:this.fileType,part_size:this.settings.multipartPartSize,UPLOADCARE_STORE:this.settings.doNotStore?"":"auto"};return n.default.each(Se(this.settings),(function(e,n){t["metadata[".concat(e,"]")]=n})),this.__autoAbort(_e("".concat(this.settings.urlBase,"/multipart/start/?jsonerrors=1"),"POST",t,{headers:{"X-UC-User-Agent":this.settings._userAgent},retryConfig:this.settings.retryConfig})).fail((function(n){if(e.settings.debugUploads)return x("Can't start multipart upload.",n.message,t)}))}},{key:"uploadParts",value:function(e,t){var r,i,a,s,l,u,c,d,p,f,h=this;for(l=[],s=Date.now(),f=function(e,t){var n,i,o;if(l[e]=t,!(Date.now()-s<250)){for(s=Date.now(),o=0,n=0,i=l.length;n<i;n++)o+=t=l[n];return r.notify(o/h.fileSize)}},r=n.default.Deferred(),i=0,p=0,d=0,c=function(){if(!(d>=h.fileSize)){var a=d+h.settings.multipartPartSize;h.fileSize<a+h.settings.multipartMinLastPartSize&&(a=h.fileSize);var s=h.__file.slice(d,a);d=a;var u=p;return i+=1,p+=1,function(){if("pending"===h.apiDeferred.state())return l[u]=0,h.__autoAbort(n.default.ajax({xhr:function(){var e;return(e=n.default.ajaxSettings.xhr()).responseType="text",e.upload&&e.upload.addEventListener("progress",(function(e){return f(u,e.loaded)}),!1),e},url:e[u],crossDomain:!0,type:"PUT",processData:!1,contentType:h.fileType,data:s}).retry(o(o({},h.settings.retryConfig),{},{onAttemptFail:function(e){var n=e.attempt;h.settings.debugUploads&&k("Part #".concat(u,"(").concat(n,") upload is failed."),t)}})).done((function(){if(i-=1,c(),!i)return r.resolve()})).fail((function(){return h.settings.debugUploads&&x("Part #".concat(u," and file upload is failed."),t),r.reject()})))}()}},a=0,u=this.settings.multipartConcurrency;u>=0?a<u:a>u;u>=0?++a:--a)c();return r}},{key:"multipartComplete",value:function(e){var t,n=this;return t={UPLOADCARE_PUB_KEY:this.settings.publicKey,uuid:e},this.__autoAbort(_e("".concat(this.settings.urlBase,"/multipart/complete/?jsonerrors=1"),"POST",t,{headers:{"X-UC-User-Agent":this.settings._userAgent},retryConfig:this.settings.retryConfig})).fail((function(t){if(n.settings.debugUploads)return x("Can't complete multipart upload.",e,n.settings.publicKey,t.message)}))}}]),r}(zt);qt.prototype.sourceName="local";var $t=function(e){p(r,e);var t=g(r);function r(e){var i;return s(this,r),(i=t.apply(this,arguments)).__input=e,i.fileId=re(),i.fileName=n.default(i.__input).val().split("\\").pop(),i.__notifyApi(),i}return c(r,[{key:"__startUpload",value:function(){var e,t,r,i;return e=n.default.Deferred(),i="".concat(this.settings.urlBase,"/iframe/"),r="uploadcare--iframe-".concat(this.fileId),this.__iframe=n.default("<iframe>").attr({id:r,name:r}).css("display","none").appendTo("body").on("load",e.resolve).on("error",e.reject),t=function(e,t){return n.default("<input/>",{type:"hidden",name:e,value:t})},n.default(this.__input).attr("name","file"),this.__iframeForm=n.default("<form>").attr({method:"POST",action:i,enctype:"multipart/form-data",target:r}).append(t("UPLOADCARE_PUB_KEY",this.settings.publicKey)).append(t("UPLOADCARE_SIGNATURE",this.settings.secureSignature)).append(t("UPLOADCARE_EXPIRE",this.settings.secureExpire)).append(t("UPLOADCARE_FILE_ID",this.fileId)).append(t("UPLOADCARE_STORE",this.settings.doNotStore?"":"auto")).append(t("UPLOADCARE_SOURCE",this.sourceInfo.source)).append(this.__input).css("display","none").appendTo("body").submit(),e.always(this.__cleanUp.bind(this))}},{key:"__cleanUp",value:function(){var e,t;return null!=(e=this.__iframe)&&e.off("load error").remove(),null!=(t=this.__iframeForm)&&t.remove(),this.__iframe=null,this.__iframeForm=null,this.__iframeForm}}]),r}(zt);$t.prototype.sourceName="local-compat";var Wt=function(e,t){return e(t={exports:{}},t.exports),t.exports}((function(e,t){var n=T.isWindowDefined;(function(){var e,t;(e=function(t,n){this.options=n||{},this.key=t,this.channels=new e.Channels,this.global_emitter=new e.EventsDispatcher;var r=this;this.checkAppKey(),this.connection=new e.Connection(this.key,this.options),this.connection.bind("connected",(function(){r.subscribeAll()})).bind("message",(function(e){var t,n=0===e.event.indexOf("pusher_internal:");e.channel&&(t=r.channel(e.channel))&&t.emit(e.event,e.data),n||r.global_emitter.emit(e.event,e.data)})).bind("disconnected",(function(){r.channels.disconnect()})).bind("error",(function(t){e.warn("Error",t)})),e.instances.push(this),e.isReady&&r.connect()}).instances=[],e.prototype={channel:function(e){return this.channels.find(e)},connect:function(){this.connection.connect()},disconnect:function(){this.connection.disconnect()},bind:function(e,t){return this.global_emitter.bind(e,t),this},bind_all:function(e){return this.global_emitter.bind_all(e),this},subscribeAll:function(){var e;for(e in this.channels.channels)this.channels.channels.hasOwnProperty(e)&&this.subscribe(e)},subscribe:function(e){var t=this,n=this.channels.add(e,this);return"connected"===this.connection.state&&n.authorize(this.connection.socket_id,this.options,(function(r,i){r?n.emit("pusher:subscription_error",i):t.send_event("pusher:subscribe",{channel:e,auth:i.auth,channel_data:i.channel_data})})),n},unsubscribe:function(e){this.channels.remove(e),"connected"===this.connection.state&&this.send_event("pusher:unsubscribe",{channel:e})},send_event:function(e,t,n){return this.connection.send_event(e,t,n)},checkAppKey:function(){null!==this.key&&void 0!==this.key||e.warn("Warning","You must pass your app key when you instantiate Pusher.")}},e.Util={extend:function e(t,n){for(var r in n)n[r]&&n[r].constructor&&n[r].constructor===Object?t[r]=e(t[r]||{},n[r]):t[r]=n[r];return t},stringify:function(){for(var e=["Pusher"],t=0;t<arguments.length;t++)"string"==typeof arguments[t]?e.push(arguments[t]):null==window.JSON?e.push(arguments[t].toString()):e.push(JSON.stringify(arguments[t]));return e.join(" : ")},arrayIndexOf:function(e,t){var n=Array.prototype.indexOf;if(null==e)return-1;if(n&&e.indexOf===n)return e.indexOf(t);for(i=0,l=e.length;i<l;i++)if(e[i]===t)return i;return-1}},e.debug=function(){e.log&&e.log(e.Util.stringify.apply(this,arguments))},e.warn=function(){if(window.console&&window.console.warn)window.console.warn(e.Util.stringify.apply(this,arguments));else{if(!e.log)return;e.log(e.Util.stringify.apply(this,arguments))}},e.VERSION="1.12.2",e.host="ws.pusherapp.com",e.ws_port=80,e.wss_port=443,e.channel_auth_endpoint="/pusher/auth",e.cdn_http="http://js.pusher.com/",e.cdn_https="https://d3dy5gmtp8yhk7.cloudfront.net/",e.dependency_suffix=".min",e.channel_auth_transport="ajax",e.activity_timeout=12e4,e.pong_timeout=3e4,e.isReady=!1,e.ready=function(){e.isReady=!0;for(var t=0,n=e.instances.length;t<n;t++)e.instances[t].connect()},function(){function t(){this._callbacks={}}function n(e){this.callbacks=new t,this.global_callbacks=[],this.failThrough=e}t.prototype.get=function(e){return this._callbacks[this._prefix(e)]},t.prototype.add=function(e,t){var n=this._prefix(e);this._callbacks[n]=this._callbacks[n]||[],this._callbacks[n].push(t)},t.prototype.remove=function(t,n){if(this.get(t)){var r=e.Util.arrayIndexOf(this.get(t),n);this._callbacks[this._prefix(t)].splice(r,1)}},t.prototype._prefix=function(e){return"_"+e},n.prototype.bind=function(e,t){return this.callbacks.add(e,t),this},n.prototype.unbind=function(e,t){return this.callbacks.remove(e,t),this},n.prototype.emit=function(e,t){for(var n=0;n<this.global_callbacks.length;n++)this.global_callbacks[n](e,t);var r=this.callbacks.get(e);if(r)for(n=0;n<r.length;n++)r[n](t);else this.failThrough&&this.failThrough(e,t);return this},n.prototype.bind_all=function(e){return this.global_callbacks.push(e),this},e.EventsDispatcher=n}(),function(){function t(e,t,n){void 0!==t[e]&&t[e](n)}function n(t,n,r){e.EventsDispatcher.call(this),this.state=void 0,this.errors=[],this.stateActions=r,this.transitions=n,this.transition(t)}n.prototype.transition=function(n,r){var i,o=this.state,a=this.stateActions;if(o&&-1==e.Util.arrayIndexOf(this.transitions[o],n))throw this.emit("invalid_transition_attempt",{oldState:o,newState:n}),new Error("Invalid transition ["+o+" to "+n+"]");t(o+"Exit",a,r),t(o+"To"+((i=n).substr(0,1).toUpperCase()+i.substr(1)),a,r),t(n+"Pre",a,r),this.state=n,this.emit("state_change",{oldState:o,newState:n}),t(n+"Post",a,r)},n.prototype.is=function(e){return this.state===e},n.prototype.isNot=function(e){return this.state!==e},e.Util.extend(n.prototype,e.EventsDispatcher.prototype),e.Machine=n}(),(t=function(){var t=this;e.EventsDispatcher.call(this),void 0!==window.addEventListener&&(window.addEventListener("online",(function(){t.emit("online",null)}),!1),window.addEventListener("offline",(function(){t.emit("offline",null)}),!1))}).prototype.isOnLine=function(){return void 0===window.navigator.onLine||window.navigator.onLine},e.Util.extend(t.prototype,e.EventsDispatcher.prototype),e.NetInfo=t,function(){var t={initialized:["waiting","failed"],waiting:["connecting","permanentlyClosed"],connecting:["open","permanentlyClosing","impermanentlyClosing","waiting"],open:["connected","permanentlyClosing","impermanentlyClosing","waiting"],connected:["permanentlyClosing","waiting"],impermanentlyClosing:["waiting","permanentlyClosing"],permanentlyClosing:["permanentlyClosed"],permanentlyClosed:["waiting","failed"],failed:["permanentlyClosed"]};function n(t){t.connectionWait=0,"flash"===e.TransportType?t.openTimeout=5e3:t.openTimeout=2e3,t.connectedTimeout=2e3,t.connectionSecure=t.compulsorySecure,t.connectionAttempts=0}function r(r,i){var o=this;function a(){o.connectionWait<1e4&&(o.connectionWait+=2e3),o.openTimeout<1e4&&(o.openTimeout+=2e3),o.connectedTimeout<1e4&&(o.connectedTimeout+=2e3),!0!==o.compulsorySecure&&(o.connectionSecure=!o.connectionSecure),o.connectionAttempts++}function s(){o._machine.transition("impermanentlyClosing")}function l(){o._activityTimer&&clearTimeout(o._activityTimer),o._activityTimer=setTimeout((function(){o.send_event("pusher:ping",{}),o._activityTimer=setTimeout((function(){o.socket.close()}),o.options.pong_timeout||e.pong_timeout)}),o.options.activity_timeout||e.activity_timeout)}function u(){o._machine.transition("open")}function c(e){var t,n,r=p(e);void 0!==r&&("pusher:connection_established"===r.event?o._machine.transition("connected",r.data.socket_id):"pusher:error"===r.event&&(t=r.data.code,n=r.data.message,o.emit("error",{type:"PusherError",data:{code:t,message:n}}),4e3===t?(o.compulsorySecure=!0,o.connectionSecure=!0,o.options.encrypted=!0,s()):t<4100?o._machine.transition("permanentlyClosing"):t<4200?(o.connectionWait=1e3,o._machine.transition("waiting")):t<4300?s():o._machine.transition("permanentlyClosing")))}function d(t){l();var n=p(t);if(void 0!==n){switch(e.debug("Event recd",n),n.event){case"pusher:error":o.emit("error",{type:"PusherError",data:n.data});break;case"pusher:ping":o.send_event("pusher:pong",{})}o.emit("message",n)}}function p(e){try{var t=JSON.parse(e.data);if("string"==typeof t.data)try{t.data=JSON.parse(t.data)}catch(e){if(!(e instanceof SyntaxError))throw e}return t}catch(t){o.emit("error",{type:"MessageParseError",error:t,data:e.data})}}function f(){o._machine.transition("waiting")}function h(e){o.emit("error",{type:"WebSocketError",error:e})}function m(t,n){var r=o.state;o.state=t,r!==t&&(e.debug("State changed",r+" -> "+t),o.emit("state_change",{previous:r,current:t}),o.emit(t,n))}e.EventsDispatcher.call(this),this.options=e.Util.extend({encrypted:!1},i),this.netInfo=new e.NetInfo,this.netInfo.bind("online",(function(){o._machine.is("waiting")&&(o._machine.transition("connecting"),m("connecting"))})),this.netInfo.bind("offline",(function(){o._machine.is("connected")&&(o.socket.onclose=void 0,o.socket.onmessage=void 0,o.socket.onerror=void 0,o.socket.onopen=void 0,o.socket.close(),o.socket=void 0,o._machine.transition("waiting"))})),this._machine=new e.Machine("initialized",t,{initializedPre:function(){o.compulsorySecure=o.options.encrypted,o.key=r,o.socket=null,o.socket_id=null,o.state="initialized"},waitingPre:function(){o.connectionWait>0&&o.emit("connecting_in",o.connectionWait),o.netInfo.isOnLine()&&o.connectionAttempts<=4?m("connecting"):m("unavailable"),o.netInfo.isOnLine()&&(o._waitingTimer=setTimeout((function(){o._machine.transition("connecting")}),function(){var e=o.connectionWait;if(0===e&&o.connectedAt){var t=(new Date).getTime()-o.connectedAt;t<1e3&&(e=1e3-t)}return e}()))},waitingExit:function(){clearTimeout(o._waitingTimer)},connectingPre:function(){if(!1===o.netInfo.isOnLine())return o._machine.transition("waiting"),void m("unavailable");var t=function(t,n){var r=e.ws_port,i="ws://";(n||"https:"===document.location.protocol)&&(r=e.wss_port,i="wss://");var o="flash"===e.TransportType?"true":"false";return i+e.host+":"+r+"/app/"+t+"?protocol=5&client=js&version="+e.VERSION+"&flash="+o}(o.key,o.connectionSecure);e.debug("Connecting",t),o.socket=new e.Transport(t),o.socket.onopen=u,o.socket.onclose=f,o.socket.onerror=h,o._connectingTimer=setTimeout(s,o.openTimeout)},connectingExit:function(){clearTimeout(o._connectingTimer),o.socket.onopen=void 0},connectingToWaiting:function(){a()},connectingToImpermanentlyClosing:function(){a()},openPre:function(){o.socket.onmessage=c,o.socket.onerror=h,o.socket.onclose=f,o._openTimer=setTimeout(s,o.connectedTimeout)},openExit:function(){clearTimeout(o._openTimer),o.socket.onmessage=void 0},openToWaiting:function(){a()},openToImpermanentlyClosing:function(){a()},connectedPre:function(e){o.socket_id=e,o.socket.onmessage=d,o.socket.onerror=h,o.socket.onclose=f,n(o),o.connectedAt=(new Date).getTime(),l()},connectedPost:function(){m("connected")},connectedExit:function(){o._activityTimer&&clearTimeout(o._activityTimer),m("disconnected")},impermanentlyClosingPost:function(){o.socket&&(o.socket.onclose=f,o.socket.close())},permanentlyClosingPost:function(){o.socket?(o.socket.onclose=function(){n(o),o._machine.transition("permanentlyClosed")},o.socket.close()):(n(o),o._machine.transition("permanentlyClosed"))},failedPre:function(){m("failed"),e.debug("WebSockets are not available in this browser.")},permanentlyClosedPost:function(){m("disconnected")}})}r.prototype.connect=function(){this._machine.is("failed")||e.Transport?this._machine.is("initialized")?(n(this),this._machine.transition("waiting")):this._machine.is("waiting")&&!0===this.netInfo.isOnLine()?this._machine.transition("connecting"):this._machine.is("permanentlyClosed")&&(n(this),this._machine.transition("waiting")):this._machine.transition("failed")},r.prototype.send=function(e){if(this._machine.is("connected")){var t=this;return setTimeout((function(){t.socket.send(e)}),0),!0}return!1},r.prototype.send_event=function(t,n,r){var i={event:t,data:n};return r&&(i.channel=r),e.debug("Event sent",i),this.send(JSON.stringify(i))},r.prototype.disconnect=function(){this._machine.is("permanentlyClosed")||(this._machine.is("waiting")||this._machine.is("failed")?this._machine.transition("permanentlyClosed"):this._machine.transition("permanentlyClosing"))},e.Util.extend(r.prototype,e.EventsDispatcher.prototype),e.Connection=r}(),function(){e.Channels=function(){this.channels={}},e.Channels.prototype={add:function(t,n){var r=this.find(t);if(r)return r;var i=e.Channel.factory(t,n);return this.channels[t]=i,i},find:function(e){return this.channels[e]},remove:function(e){delete this.channels[e]},disconnect:function(){for(var e in this.channels)this.channels[e].disconnect()}},e.Channel=function(t,n){var r=this;e.EventsDispatcher.call(this,(function(n,r){e.debug("No callbacks on "+t+" for "+n)})),this.pusher=n,this.name=t,this.subscribed=!1,this.bind("pusher_internal:subscription_succeeded",(function(e){r.onSubscriptionSucceeded(e)}))},e.Channel.prototype={init:function(){},disconnect:function(){this.subscribed=!1,this.emit("pusher_internal:disconnected")},onSubscriptionSucceeded:function(e){this.subscribed=!0,this.emit("pusher:subscription_succeeded")},authorize:function(e,t,n){return n(!1,{})},trigger:function(e,t){return this.pusher.send_event(e,t,this.name)}},e.Util.extend(e.Channel.prototype,e.EventsDispatcher.prototype),e.Channel.PrivateChannel={authorize:function(t,n,r){var i=this;return new e.Channel.Authorizer(this,e.channel_auth_transport,n).authorize(t,(function(e,t){e||i.emit("pusher_internal:authorized",t),r(e,t)}))}},e.Channel.PresenceChannel={init:function(){this.members=new t(this)},onSubscriptionSucceeded:function(e){this.subscribed=!0}};var t=function(e){var t=this,n=function(){this._members_map={},this.count=0,this.me=null};n.call(this),e.bind("pusher_internal:authorized",(function(n){var r=JSON.parse(n.channel_data);e.bind("pusher_internal:subscription_succeeded",(function(n){t._members_map=n.presence.hash,t.count=n.presence.count,t.me=t.get(r.user_id),e.emit("pusher:subscription_succeeded",t)}))})),e.bind("pusher_internal:member_added",(function(n){null===t.get(n.user_id)&&t.count++,t._members_map[n.user_id]=n.user_info,e.emit("pusher:member_added",t.get(n.user_id))})),e.bind("pusher_internal:member_removed",(function(n){var r=t.get(n.user_id);r&&(delete t._members_map[n.user_id],t.count--,e.emit("pusher:member_removed",r))})),e.bind("pusher_internal:disconnected",(function(){n.call(t)}))};t.prototype={each:function(e){for(var t in this._members_map)e(this.get(t))},get:function(e){return this._members_map.hasOwnProperty(e)?{id:e,info:this._members_map[e]}:null}},e.Channel.factory=function(t,n){var r=new e.Channel(t,n);return 0===t.indexOf("private-")?e.Util.extend(r,e.Channel.PrivateChannel):0===t.indexOf("presence-")&&(e.Util.extend(r,e.Channel.PrivateChannel),e.Util.extend(r,e.Channel.PresenceChannel)),r.init(),r}}(),e.Channel.Authorizer=function(e,t,n){this.channel=e,this.type=t,this.authOptions=(n||{}).auth||{}},e.Channel.Authorizer.prototype={composeQuery:function(e){var t="&socket_id="+encodeURIComponent(e)+"&channel_name="+encodeURIComponent(this.channel.name);for(var n in this.authOptions.params)t+="&"+encodeURIComponent(n)+"="+encodeURIComponent(this.authOptions.params[n]);return t},authorize:function(t,n){return e.authorizers[this.type].call(this,t,n)}},e.auth_callbacks={},e.authorizers={ajax:function(t,n){var r;for(var i in(r=e.XHR?new e.XHR:window.XMLHttpRequest?new window.XMLHttpRequest:new ActiveXObject("Microsoft.XMLHTTP")).open("POST",e.channel_auth_endpoint,!0),r.setRequestHeader("Content-Type","application/x-www-form-urlencoded"),this.authOptions.headers)r.setRequestHeader(i,this.authOptions.headers[i]);return r.onreadystatechange=function(){if(4==r.readyState)if(200==r.status){var t,i=!1;try{t=JSON.parse(r.responseText),i=!0}catch(e){n(!0,"JSON returned from webapp was invalid, yet status code was 200. Data was: "+r.responseText)}i&&n(!1,t)}else e.warn("Couldn't get auth info from your webapp",r.status),n(!0,r.status)},r.send(this.composeQuery(t)),r},jsonp:function(t,n){void 0!==this.authOptions.headers&&e.warn("Warn","To send headers with the auth request, you must use AJAX, rather than JSONP.");var r=document.createElement("script");e.auth_callbacks[this.channel.name]=function(e){n(!1,e)};var i="Pusher.auth_callbacks['"+this.channel.name+"']";r.src=e.channel_auth_endpoint+"?callback="+encodeURIComponent(i)+this.composeQuery(t);var o=document.getElementsByTagName("head")[0]||document.documentElement;o.insertBefore(r,o.firstChild)}};var r=function(){function e(e,t){var n=document.getElementsByTagName("head")[0],r=document.createElement("script");r.setAttribute("src",e),r.setAttribute("type","text/javascript"),r.setAttribute("async",!0),function(e,t){document.addEventListener?e.addEventListener("load",t,!1):e.attachEvent("onreadystatechange",(function(){"loaded"!=e.readyState&&"complete"!=e.readyState||t()}))}(r,(function(){t()})),n.appendChild(r)}return function(t,n){for(var r=0,i=0;i<t.length;i++)e(t[i],(function(){t.length==++r&&setTimeout(n,0)}))}}();!function(){n()&&!window.WebSocket&&window.MozWebSocket&&(window.WebSocket=window.MozWebSocket),n()&&window.WebSocket&&(e.Transport=window.WebSocket,e.TransportType="native");var t=(n()&&("http:"==document.location.protocol?e.cdn_http:e.cdn_https))+e.VERSION,i=[];n()&&!window.JSON&&i.push(t+"/json2"+e.dependency_suffix+".js"),n()&&!window.WebSocket&&(window.WEB_SOCKET_DISABLE_AUTO_INITIALIZATION=!0,i.push(t+"/flashfallback"+e.dependency_suffix+".js"));var o=n()&&window.WebSocket?function(){e.ready()}:function(){window.WebSocket?(e.Transport=window.WebSocket,e.TransportType="flash",window.WEB_SOCKET_SWF_LOCATION="https://s3.amazonaws.com/uploadcare-static/WebSocketMainInsecure.swf",WebSocket.__addTask((function(){e.ready()})),WebSocket.__initialize()):(e.Transport=null,e.TransportType="none",e.ready())},a=function(){var e;e=o,function t(){n()&&(document.body?e():setTimeout(t,0))}()};i.length>0?r(i,a):a()}(),this.Pusher=e}).call(t)})),Ht=Wt.Pusher,Vt={};Ht.prototype.constructor=Ht;var Gt=function(e){p(r,e);var t=g(r);function r(){return s(this,r),t.apply(this,arguments)}return c(r,[{key:"subscribe",value:function(e){return this.disconnectTimeout&&(clearTimeout(this.disconnectTimeout),this.disconnectTimeout=null),this.connect(),v(f(r.prototype),"subscribe",this).apply(this,arguments)}},{key:"unsubscribe",value:function(e){var t=this;v(f(r.prototype),"unsubscribe",this).apply(this,arguments),n.default.isEmptyObject(this.channels.channels)&&(this.disconnectTimeout=setTimeout((function(){return t.disconnectTimeout=null,t.disconnect()}),5e3))}}]),r}(Ht),Kt=function(e){return null==Vt[e]&&(Vt[e]=new Gt(e)),Vt[e].connect(),Vt[e]},Yt=function(e){p(r,e);var t=g(r);function r(e){var n,i;if(s(this,r),(n=t.apply(this,arguments)).__url=e,i=ie.exec(n.__url)[3].split("/").pop())try{n.fileName=decodeURIComponent(i)}catch(e){n.fileName=i}return n.__notifyApi(),n}return c(r,[{key:"setName",value:function(e){return this.fileName=e,this.__realFileName=e,this.__notifyApi()}},{key:"setIsImage",value:function(e){return this.isImage=e,this.__notifyApi()}},{key:"__startUpload",value:function(){var e=this,t=n.default.Deferred(),r=new Zt(this.settings),i=new Xt(this.settings),o={pub_key:this.settings.publicKey,signature:this.settings.secureSignature,expire:this.settings.secureExpire,source_url:this.__url,filename:this.__realFileName||"",source:this.sourceInfo.source,store:this.settings.doNotStore?"":"auto",jsonerrors:1};return n.default.each(Se(this.settings),(function(e,t){o["metadata[".concat(e,"]")]=t})),Y((function(){if("pending"===e.apiDeferred.state())return _e("".concat(e.settings.urlBase,"/from_url/"),"GET",o,{headers:{"X-UC-User-Agent":e.settings._userAgent},retryConfig:e.settings.retryConfig}).fail((function(n){return e.settings.debugUploads&&k("Can't start upload from URL.",n.message,o),t.reject(n)})).done((function(o){var a;if("pending"===e.apiDeferred.state())return e.settings.debugUploads&&(k("Start watchers.",o.token),a=setInterval((function(){return k("Still watching.",o.token)}),5e3),t.done((function(){return k("Stop watchers.",o.token)})).always((function(){return clearInterval(a)}))),e.__listenWatcher(t,n.default([r,i])),t.always((function(){return n.default([r,i]).off(e.allEvents),r.stopWatching(),i.stopWatching()})),n.default(r).one(e.allEvents,(function(){if(i.interval)return e.settings.debugUploads&&k("Start using pusher.",o.token),i.stopWatching()})),r.watch(o.token),i.watch(o.token)}))})),t}},{key:"__listenWatcher",value:function(e,t){var r=this;return t.on("progress",(function(t,n){return r.fileSize=n.total,e.notify(n.done/n.total)})).on("success",(function(t,i){return n.default(t.target).trigger("progress",i),r.fileId=i.uuid,r.__handleFileData(i),e.resolve()})).on("error fail",(function(t,n){if(n.error_code){var r=n.error_code,i=n.msg;e.reject({code:r,message:i})}else e.reject(n)}))}}]),r}(zt);Yt.prototype.sourceName="url",Yt.prototype.allEvents="progress success error fail";var Zt=function(){function e(t){s(this,e),this.settings=t;try{this.pusher=Kt(this.settings.pusherKey)}catch(e){this.pusher=null}}return c(e,[{key:"watch",value:function(e){var t=this;if(this.token=e,this.pusher)return this.pusher.subscribe("task-status-".concat(this.token)).bind_all((function(e,r){return n.default(t).trigger(e,r)}))}},{key:"stopWatching",value:function(){if(this.pusher)return this.pusher.unsubscribe("task-status-".concat(this.token))}}]),e}(),Xt=function(){function e(t){s(this,e),this.settings=t,this.poolUrl="".concat(this.settings.urlBase,"/from_url/status/")}return c(e,[{key:"watch",value:function(e){var t=this;return this.token=e,function e(){return t.interval=setTimeout((function(){t.__updateStatus().done((function(){t.interval&&e()}))}),333),t.interval}()}},{key:"stopWatching",value:function(){return this.interval&&clearTimeout(this.interval),this.interval=null,this.interval}},{key:"__updateStatus",value:function(){var e=this;return _e(this.poolUrl,"GET",{token:this.token},{headers:{"X-UC-User-Agent":this.settings._userAgent},retryConfig:this.settings.retryConfig}).fail((function(t){return n.default(e).trigger("error",t)})).done((function(t){return n.default(e).trigger(t.status,t)}))}}]),e}(),Qt=function(e){p(n,e);var t=g(n);function n(e){var r,i;return s(this,n),r=t.apply(this,arguments),(i=le(e))?(r.fileId=i[1],i[2]&&(r.cdnUrlModifiers=i[2])):r.__rejectApi("baddata"),r}return c(n)}(zt);Qt.prototype.sourceName="uploaded";var Jt=function(e){p(n,e);var t=g(n);function n(e){var r;return s(this,n),r=t.apply(this,arguments),e?(r.fileId=e.uuid,r.__handleFileData(e)):r.__rejectApi("deleted"),r}return c(n)}(zt);Jt.prototype.sourceName="uploaded";var en={object:qt,input:$t,url:Yt,uploaded:Qt,ready:Jt},tn=function(e,t,n){return nn(e,[t],n)[0]},nn=function(e,t,r){var i,o,a,s,l;for(r=ht(r||{}),l=[],i=0,a=t.length;i<a;i++)s=t[i],o=void 0,n.default.isArray(s)&&(o=s[1],s=s[0]),l.push(new en[e](s,r,o).promise());return l},rn=function(e){return e&&e.done&&e.fail&&e.cancel},on=function(e,t){return e&&!rn(e)&&(e=tn("uploaded",e,t)),e||null},an=function(e){return e&&e.files&&e.promise},sn=function(e,t){var r,i;if(e)if(n.default.isArray(e))r=function(){var n,r,o;for(o=[],n=0,r=e.length;n<r;n++)i=e[n],o.push(on(i,t));return o}(),e=xn(r,t);else if(!an(e))return kn(e,t);return Q(e||null)},ln=function(e,t){var n,r,i,o,a;if(e===t)return!0;if(!an(e)||!an(t))return!1;if(n=e.files(),r=t.files(),n.length!==r.length)return!1;for(i=o=0,a=n.length;o<a;i=++o)if(n[i]!==r[i])return!1;return!0},un=[].indexOf,cn=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:[],r=arguments.length>1&&void 0!==arguments[1]&&arguments[1];s(this,e),this.onAdd=n.default.Callbacks(),this.onRemove=n.default.Callbacks(),this.onSort=n.default.Callbacks(),this.onReplace=n.default.Callbacks(),this.__items=[],r||this.init(t)}return c(e,[{key:"init",value:function(e){var t,n,r;for(n=0,r=e.length;n<r;n++)t=e[n],this.add(t)}},{key:"add",value:function(e){return this.__add(e,this.__items.length)}},{key:"__add",value:function(e,t){return this.__items.splice(t,0,e),this.onAdd.fire(e,t)}},{key:"remove",value:function(e){var t;if(-1!==(t=n.default.inArray(e,this.__items)))return this.__remove(e,t)}},{key:"__remove",value:function(e,t){return this.__items.splice(t,1),this.onRemove.fire(e,t)}},{key:"clear",value:function(){var e,t,n,r,i,o;for(n=this.get(),this.__items.length=0,o=[],e=r=0,i=n.length;r<i;e=++r)t=n[e],o.push(this.onRemove.fire(t,e));return o}},{key:"replace",value:function(e,t){var r;if(e!==t&&-1!==(r=n.default.inArray(e,this.__items)))return this.__replace(e,t,r)}},{key:"__replace",value:function(e,t,n){return this.__items[n]=t,this.onReplace.fire(e,t,n)}},{key:"sort",value:function(e){return this.__items.sort(e),this.onSort.fire()}},{key:"get",value:function(e){return null!=e?this.__items[e]:this.__items.slice(0)}},{key:"length",value:function(){return this.__items.length}}]),e}(),dn=function(e){p(n,e);var t=g(n);function n(){return s(this,n),t.apply(this,arguments)}return c(n,[{key:"add",value:function(e){if(!(un.call(this.__items,e)>=0))return v(f(n.prototype),"add",this).apply(this,arguments)}},{key:"__replace",value:function(e,t,r){return un.call(this.__items,t)>=0?this.remove(e):v(f(n.prototype),"__replace",this).apply(this,arguments)}}]),n}(cn),pn=function(e){p(r,e);var t=g(r);function r(){var e,i;return s(this,r),(i=t.call.apply(t,[this].concat(Array.prototype.slice.call(arguments),[!0]))).anyDoneList=n.default.Callbacks(),i.anyFailList=n.default.Callbacks(),i.anyProgressList=n.default.Callbacks(),i._thenArgs=null,i.anyProgressList.add((function(e,t){return n.default(e).data("lastProgress",t)})),v((e=m(i),f(r.prototype)),"init",e).call(e,arguments[0]),i}return c(r,[{key:"onAnyDone",value:function(e){var t,n,r,i,o;for(this.anyDoneList.add(e),o=[],n=0,r=(i=this.__items).length;n<r;n++)"resolved"===(t=i[n]).state()?o.push(t.done((function(){for(var n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];return e.apply(void 0,[t].concat(r))}))):o.push(void 0);return o}},{key:"onAnyFail",value:function(e){var t,n,r,i,o;for(this.anyFailList.add(e),o=[],n=0,r=(i=this.__items).length;n<r;n++)"rejected"===(t=i[n]).state()?o.push(t.fail((function(){for(var n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];return e.apply(void 0,[t].concat(r))}))):o.push(void 0);return o}},{key:"onAnyProgress",value:function(e){var t,r,i,o,a;for(this.anyProgressList.add(e),a=[],r=0,i=(o=this.__items).length;r<i;r++)t=o[r],a.push(e(t,n.default(t).data("lastProgress")));return a}},{key:"lastProgresses",value:function(){var e,t,r,i,o;for(o=[],t=0,r=(i=this.__items).length;t<r;t++)e=i[t],o.push(n.default(e).data("lastProgress"));return o}},{key:"add",value:function(e){var t;if(e&&e.then)return this._thenArgs&&(e=(t=e).then.apply(t,b(this._thenArgs))),v(f(r.prototype),"add",this).call(this,e),this.__watchItem(e)}},{key:"__replace",value:function(e,t,n){return t&&t.then?(v(f(r.prototype),"__replace",this).apply(this,arguments),this.__watchItem(t)):this.remove(e)}},{key:"__watchItem",value:function(e){var t=this,n=function(n){return function(){if(un.call(t.__items,e)>=0){for(var r=arguments.length,i=new Array(r),o=0;o<r;o++)i[o]=arguments[o];return n.fire.apply(n,[e].concat(i))}}};return e.then(n(this.anyDoneList),n(this.anyFailList),n(this.anyProgressList))}},{key:"autoThen",value:function(){var e,t,n,r,i,o;if(this._thenArgs)throw new Error("CollectionOfPromises.then() could be used only once");for(var a=arguments.length,s=new Array(a),l=0;l<a;l++)s[l]=arguments[l];for(this._thenArgs=s,o=[],e=n=0,r=(i=this.__items).length;n<r;e=++n){var u;t=i[e],o.push(this.__replace(t,(u=t).then.apply(u,b(this._thenArgs)),e))}return o}}]),r}(dn),fn={ar:{translations:{uploading:"جاري الرفع... الرجاء الانتظار",loadingInfo:"جار تحميل المعلومات ...",errors:{default:"خطأ",baddata:"قيمة غير صحيحة",size:"ملف كبير جداً",upload:"يتعذر الرفع",user:"تم إلغاء الرفع",info:"يتعذر تحميل المعلومات",image:"يسمح بالصور فقط",createGroup:"لا يمكن إنشاء مجموعة ملفات",deleted:"تم حذف الملف"},draghere:"أسقط ملف هنا",file:{one:"%1 ملف",other:"%1 ملفات"},buttons:{cancel:"إلغاء",remove:"إزالة",choose:{files:{one:"اختر ملف",other:"اختر ملفات"},images:{one:"اختر صورة",other:"اختر صور"}}},dialog:{close:"أغلق",openMenu:"افتح القائمة",done:"موافق",showFiles:"اظهار الملفات",tabs:{names:{"empty-pubkey":"مرحبا!",preview:"معاينة",file:"ملفات محلية",url:"رابط مباشر",camera:"كاميرا",facebook:"فيس بوك",dropbox:"دروب بوكس",gdrive:"جوجل دريف",gphotos:"صور غوغل",instagram:"إينستجرام",vk:"في كي",evernote:"إيفرنوت",box:"بوكس",onedrive:"ون درايف",flickr:"فليكر",huddle:"هادل"},file:{drag:"سحب وإفلات<br>أي ملف",nodrop:"رفع ملفات من&nbsp;الحاسوب",cloudsTip:"مخازن على السحابة<br>والشبكات الاجتماعية",or:"أو",button:"اختر ملف محلي",also:"أو اختر من"},url:{title:"ملفات من شبكة الإنترنت",line1:"التقاط أي ملف من على شبكة الإنترنت",line2:"فقط قم بتوفير الرابط",input:"الصق الرابط هنا...",button:"رفع"},camera:{title:"ملف من كاميرا الويب",capture:"التقاط صورة",mirror:"عكس الصورة",startRecord:"سجل فيديو",stopRecord:"توقف",cancelRecord:"إلغاء",retry:"طلب الإذن مرة أخرى",pleaseAllow:{title:"يرجى السماح بالوصول إلى الكاميرا",text:"تمت مطالبتك بالسماح بالدخول إلى الكاميرا من هذا الموقع<br>من أجل التقاط الصور من الكاميرا يجب عليك الموافقة على هذا الطلب"},notFound:{title:"لم يتم اكتشاف أي كاميرا",text:"يبدو أنه ليس لديك كاميرا متصلة بهذا الجهاز"}},preview:{unknownName:"غير معروف",change:"إلغاء",back:"الرجوع",done:"إضافة",unknown:{title:"جار الرفع ... يرجى الانتظار للحصول على معاينة",done:"تخطي المعاينة والقبول"},regular:{title:"إضافة هذا الملف؟",line1:"أنت على وشك إضافة الملف أعلاه",line2:"يرجى التأكيد"},image:{title:"إضافة هذة الصورة",change:"إلغاء"},crop:{title:"قص وإضافة هذه الصورة",done:"موافق",free:"حر"},video:{title:"إضافة هذا الفيديو",change:"إلغاء"},error:{default:{title:"عفوا آسف",text:"حدث خطأ أثناء الرفع",back:"حاول مرة اخرى"},image:{title:"يتم قبول ملفات الصور فقط",text:"الرجاء إعادة المحاولة باستخدام ملف آخر",back:"اختر صورة"},size:{title:"الملف الذي حددتة يتجاوز الحد المسموح بة",text:"الرجاء إعادة المحاولة باستخدام ملف آخر"},loadImage:{title:"خطأ",text:"لا يمكن تحميل الصورة"}},multiple:{title:"لقد اخترت %files%",question:"إضافة %files%?",tooManyFiles:"لقد اخترت عددا كبيرا جدا من الملفات %max% هو الحد الأقصى",tooFewFiles:"لقد اخترت %files%. على الأقل %min% مطلوب",clear:"حذف الكل",done:"إضافة",file:{preview:"معاينة %file%",remove:"حذف %file%"}}}},footer:{text:"مدعوم بواسطة",link:"ابلود كير"}}},pluralize:function(e){var t;return 0===e?"zero":1===e?"one":2===e?"two":(t=e%100)>=3&&t<=10?"few":t>=11&&t<=99?"many":"other"}},az:{translations:{uploading:"Yüklənilir... Lütfən, gözləyin.",loadingInfo:"İnfo yüklənilir...",errors:{default:"Xəta",baddata:"Yanlış dəyər",size:"Fayl çox böyükdür",upload:"Yüklənilə bilmədi",user:"Yükləmə ləğv edildi",info:"İnfo yüklənə bilmədi",image:"Yalnız təsvirlərə icazə verilir",createGroup:"Fayl qrupu yaradıla bilmir",deleted:"Fayl silindi"},draghere:"Faylı bura atın",file:{one:"%1 fayl",other:"%1 fayl"},buttons:{cancel:"Ləğv edin",remove:"Silin",choose:{files:{one:"Fayl seçin",other:"Fayllar seçin"},images:{one:"Təsvir seçin",other:"Təsvirlər seçin"}}},dialog:{done:"Hazırdır",showFiles:"Faylları göstərin",tabs:{names:{"empty-pubkey":"Xoş gəlmisiniz",preview:"Önbaxış",file:"Lokal Fayllar",url:"İxtiyari linklər",camera:"Kamera",gdrive:"Google Disk"},file:{drag:"Faylı bura atın",nodrop:"Kompüterinizdən faylları yükləyin",cloudsTip:"Bulud yaddaşlar <br>və sosial xidmətlər",or:"or",button:"Lokal fayl seçin",also:"Həmçinin, buradan seçə bilərsiniz"},url:{title:"Vebdən fayllar",line1:"Vebdən istənilən faylı götürün.",line2:"Sadəcə, link verin.",input:"Linkinizi bura yerləşdirin...",button:"Yükləyin"},camera:{capture:"Şəkil çəkin",mirror:"Güzgü",retry:"Yenidən icazə sorğusu göndərin.",pleaseAllow:{title:"Lütfən, kameranıza giriş hüququ verin",text:"Bu saytdan kameranıza daxil olmaq icazəsi verildi. Kameranız ilə şəkil çəkmək üçün bu sorğunu təsdiq etməlisiniz."},notFound:{title:"Kamera aşkar edilmədi",text:"Görünür, bu cihaza kamera qoşulmayıb."}},preview:{unknownName:"naməlum",change:"Ləğv edin",back:"Geri",done:"Əlavə edin",unknown:{title:"Yüklənilir... Lütfən, önbaxış üçün gözləyin.",done:"Önbaxışı ötürün və qəbul edin"},regular:{title:"Bu fayl əlavə edilsin?",line1:"Yuxarıdakı faylı əlavə etmək üzrəsiniz.",line2:"Lütfən, təsdiq edin."},image:{title:"Bu təsvir əlavə edilsin?",change:"Ləğv edin"},crop:{title:"Bu təsviri kəsin və əlavə edin",done:"Hazırdır",free:"pulsuz"},error:{default:{title:"Ups!",text:"Yükləmə zamanı nəsə xəta baş verdi.",back:"Lütfən, y enidən cəhd edin."},image:{title:"Yaınız təsvir faylları qəbul olunur.",text:"Lütfən, başqa fayl ilə cəhd edin.",back:"Təsvir seçin"},size:{title:"Seçdiyiniz fayl limiti keçir.",text:"Lütfən, başqa fayl ilə cəhd edin."},loadImage:{title:"Xəta",text:"Təsvir yüklənilə bilmir"}},multiple:{title:"%files% fayl seçdiniz.",question:"Bütün bu faylları əlavə etmək istəyirsiniz?",tooManyFiles:"Həddindən çox fayl seçdiniz. %max% maksimumdur.",tooFewFiles:"%files% fayl seçdiniz. Ən azı %min% tələb olunur.",clear:"Hamısını silin",done:"Hazırdır"}}}}},pluralize:function(e){return"other"}},ca:{translations:{uploading:"Carregant... Si us plau esperi.",loadingInfo:"Carregant informació...",errors:{default:"Error",baddata:"Valor incorrecte",size:"Massa gran",upload:"No s'ha pogut carregar",user:"Carrega cancel·lada",info:"No s'ha pogut carregar la informació",image:"Només es permeten imatges",createGroup:"No es pot crear el grup d'arxius",deleted:"Fitxer eliminat"},draghere:"Arrossega els fitxers fins aquí",file:{one:"%1 fitxer",other:"%1 fitxers"},buttons:{cancel:"Cancel·lar",remove:"Eliminar",choose:{files:{one:"Escull un fitxer",other:"Escull fitxers"},images:{one:"Escull una imatge",other:"Escull imatges"}}},dialog:{done:"Fet",showFiles:"Mostra fitxers",tabs:{names:{"empty-pubkey":"Benvingut",preview:"Avanci",file:"Ordinador",url:"Enllaços arbitraris",camera:"Càmera"},file:{drag:"Arrossega un fitxer aquí",nodrop:"Carrega fitxers des del teu ordinador",cloudsTip:"Emmagatzematge al núvol<br>i xarxes socials",or:"o",button:"Escull un fitxer des del teu ordinador",also:"També pots seleccionar-lo de"},url:{title:"Fitxers de la web",line1:"Selecciona qualsevol fitxer de la web.",line2:"Només proporcioni el link.",input:"Copiï el link aquí...",button:"Pujar"},camera:{capture:"Realitza una foto",mirror:"Mirall",retry:"Demanar permisos una altra vegada",pleaseAllow:{title:"Si us plau, permet accés a la teva càmera",text:"Aquest lloc t'ha demanat de permetre accés a la càmera. Per tal de realitzar imatges amb la teva càmera has d'acceptar aquesta petició."},notFound:{title:"No s'ha detectat cap càmera",text:"Sembla que no tens cap càmera connectada a aquest dispositiu."}},preview:{unknownName:"desconegut",change:"Cancel·lar",back:"Endarrere",done:"Pujar",unknown:{title:"Carregant. Si us plau esperi per la visualització prèvia.",done:"Saltar visualització prèvia i acceptar"},regular:{title:"Vols pujar aquest fitxer?",line1:"Estàs a punt de pujar el fitxer superior.",line2:"Confirmi, si us plau."},image:{title:"Vols pujar aquesta imatge?",change:"Cancel·lar"},crop:{title:"Tallar i pujar aquesta imatge",done:"Fet",free:"lliure"},error:{default:{title:"La pujada ha fallat!",text:"S'ha produït un error durant la pujada.",back:"Si us plau, provi-ho de nou."},image:{title:"Només s'accepten fitxers d'imatges.",text:"Si us plau, provi-ho de nou amb un altre fitxer.",back:"Escull imatge"},size:{title:"La mida del fitxer que has seleccionat sobrepassa el límit.",text:"Si us plau, provi-ho de nou amb un altre fitxer."},loadImage:{title:"Error",text:"No s'ha pogut carregar la imatge"}},multiple:{title:"N'has escollit %files%",question:"Vols afegir tots aquests fitxers?",tooManyFiles:"Has escollit massa fitxers. %max% és el màxim.",tooFewFiles:"Has escollit %files%. Com a mínim en calen %min%.",clear:"Eliminar-los tots",done:"Fet"}}}}},pluralize:function(e){return 1===e?"one":"other"}},cs:{translations:{uploading:"Nahrávám... Malý moment.",loadingInfo:"Nahrávám informace...",errors:{default:"Chyba",baddata:"Neplatná hodnota",size:"Soubor je příliš velký",upload:"Nelze nahrát",user:"Nahrávání zrušeno",info:"Nelze nahrát informace",image:"Lze nahrát pouze obrázky",createGroup:"Nelze vytvořit adresář",deleted:"Soubor byl smazán"},draghere:"Přetáhněte soubor sem",file:{one:"%1 soubor",few:"%1 soubory",many:"%1 souborů"},buttons:{cancel:"Zrušit",remove:"Odstranit",choose:{files:{one:"Vyberte soubor",other:"Vyberte soubory"},images:{one:"Vyberte obrázek",other:"Vyberte obrázky"}}},dialog:{done:"Hotovo",showFiles:"Zobrazit soubory",tabs:{names:{"empty-pubkey":"Vítejte",preview:"Náhled",file:"Soubor z počítače",url:"Soubor z internetu",camera:"Webkamera",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",instagram:"Instagram",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"Přetáhněte soubor sem",nodrop:"Nahrajte soubory z vašeho počítače",cloudsTip:"Cloudové úložiště<br>a sociální sítě",or:"nebo",button:"Vyberte soubor z počítače",also:"Můžete také nahrát soubor z"},url:{title:"Soubory z internetu",line1:"Nahrajte jakýkoliv soubor z internetu.",line2:"Stačí vložit odkaz.",input:"Odkaz vložte zde...",button:"Nahrát"},camera:{capture:"Pořídit fotografii",mirror:"Zrcadlo",retry:"Znovu požádat o povolení",pleaseAllow:{title:"Prosím povolte přístup k webkameře",text:"Byl(a) jste požádán(a) o přístup k webkameře. Abyste mohl(a) pořídit fotografii, musíte přístup povolit."},notFound:{title:"Nebyla nalezena webkamera",text:"Zdá se, že k tomuto zařízení není připojena žádná webkamera."}},preview:{unknownName:"neznámý",change:"Zrušit",back:"Zpět",done:"Přidat",unknown:{title:"Nahrávám... Prosím vyčkejte na náhled.",done:"Přeskočit náhled a odeslat"},regular:{title:"Přidat tento soubor?",line1:"Tímto přidáte výše vybraný soubor.",line2:"Prosím potvrďte."},image:{title:"Přidat tento obrázek?",change:"Zrušit"},crop:{title:"Oříznout a přidat tento obrázek",done:"Hotovo",free:"zdarma"},error:{default:{title:"Jejda!",text:"Něco se v průběhu nahrávání nepodařilo.",back:"Zkuste to prosím znovu."},image:{title:"Lze nahrávat pouze obrázky.",text:"Zkuste to prosím s jiným souborem.",back:"Vyberte obrázek"},size:{title:"Soubor přesahuje povolenou velikost.",text:"Prosím zkuste to s jiným souborem."},loadImage:{title:"Chyba",text:"Nelze nahrát obrázek"}},multiple:{title:"Bylo vybráno %files% souborů",question:"Chcete přidat všechny tyto soubory?",tooManyFiles:"Bylo vybráno moc souborů. Maximum je %max%",tooFewFiles:"Bylo vybráno %files% souborů. Musíte vybrat minimálně %min%",clear:"Odstranit vše",done:"Hotovo"}}}}},pluralize:function(e){return 1===e?"one":e>=2&&e<=4?"few":"many"}},da:{translations:{uploading:"Uploader... Vent venligst.",loadingInfo:"Henter information...",errors:{default:"Fejl",baddata:"Forkert værdi",size:"Filen er for stor",upload:"Kan ikke uploade / sende fil",user:"Upload fortrudt",info:"Kan ikke hente information",image:"Kun billeder er tilladt",createGroup:"Kan ikke oprette fil gruppe",deleted:"Filen blev slettet"},draghere:"Drop en fil her",file:{one:"%1 fil",other:"%1 filer"},buttons:{cancel:"Annuller",remove:"Fjern",choose:{files:{one:"Vælg en fil",other:"Vælg filer"},images:{one:"Vælg et billede",other:"Vælg billeder"}}},dialog:{done:"Færdig",showFiles:"Vis filer",tabs:{names:{preview:"Vis",file:"Computer",gdrive:"Google Drev",url:"Direkte link"},file:{drag:"Drop en fil her",nodrop:"Hent filer fra din computer",or:"eller",button:"Hent fil fra din computer",also:"Du kan også hente fra"},url:{title:"Filer fra en Web adresse",line1:"Vælg en fil fra en web adresse.",line2:"Skriv bare linket til filen.",input:"Indsæt link her...",button:"Upload / Send"},preview:{unknownName:"ukendt",change:"Annuller",back:"Tilbage",done:"Fortsæt",unknown:{title:"Uploader / sender... Vent for at se mere.",done:"Fortsæt uden at vente på resultat"},regular:{title:"Tilføje fil?",line1:"Du er ved at tilføje filen ovenfor.",line2:"Venligst accepter."},image:{title:"Tilføj billede?",change:"Annuller"},crop:{title:"Beskær og tilføj dette billede",done:"Udfør"},error:{default:{title:"Hov!",text:"Noget gik galt under upload.",back:"Venligst prøv igen"},image:{title:"Du kan kun vælge billeder.",text:"Prøv igen med en billedfil.",back:"Vælg billede"},size:{title:"Den fil du valgte, er desværre større end tilladt.",text:"Venligst prøv med en mindre fil."},loadImage:{title:"Fejl",text:"Kan ikke åbne billede"}},multiple:{title:"Du har valgt %files% filer",question:"Vil du tilføje alle disse filer?",tooManyFiles:"Du har valgt for mange filer. %max% er maximum.",tooFewFiles:"Du har valgt %files% filer. Men du skal vælge mindst %min%.",clear:"Fjern alle",done:"Fortsæt"}}}}},pluralize:function(e){return 1===e?"one":"other"}},de:{translations:{uploading:"Upload läuft… Bitte warten…",loadingInfo:"Informationen werden geladen…",errors:{default:"Fehler",baddata:"Falscher Wert",size:"Datei zu groß",upload:"Kann nicht hochgeladen werden",user:"Hochladen abgebrochen",info:"Informationen können nicht geladen werden",image:"Nur Bilder sind erlaubt",createGroup:"Datei-Gruppe kann nicht erstellt werden",deleted:"Datei wurde gelöscht"},draghere:"Ziehen Sie eine Datei hierhin",file:{one:"%1 Datei",other:"%1 Dateien"},buttons:{cancel:"Abbrechen",remove:"Löschen",choose:{files:{one:"Datei auswählen",other:"Dateien auswählen"},images:{one:"Bild auswählen",other:"Bilder auswählen"}}},dialog:{close:"Schließen",openMenu:"Menü öffnen",done:"Fertig",showFiles:"Dateien anzeigen",tabs:{names:{"empty-pubkey":"Willkommen",preview:"Vorschau",file:"Lokale Dateien",url:"Web-Links",camera:"Kamera"},file:{drag:"Ziehen Sie eine Datei hierhin",nodrop:"Laden Sie Dateien von Ihrem PC hoch",cloudsTip:"Cloud-Speicher<br>und soziale Dienste",or:"oder",button:"Wählen Sie eine Datei",also:"Sie können sie auch Dateien wählen aus"},url:{title:"Eine Datei aus dem Web hochladen",line1:"Sie können eine Datei aus dem Internet hochladen.",line2:"Geben Sie hier einfach den Link ein.",input:"Bitte geben Sie hier den Link ein…",button:"Hochladen"},camera:{title:"Foto mit Webcam aufnehmen",capture:"Machen Sie ein Foto",mirror:"Andere Kamera",retry:"Berechtigungen erneut anfordern",pleaseAllow:{title:"Bitte erlauben Sie den Zugriff auf Ihre Kamera",text:"Sie wurden gebeten, dieser Website den Zugriff auf Ihre Kamera zu erlauben. Um mit Ihrer Kamera Fotos machen zu können, müssen Sie diese Erlaubnis erteilen."},notFound:{title:"Keine Kamera gefunden",text:"Es sieht so aus, als hätten Sie keine Kamera an dieses Gerät angeschlossen."}},preview:{unknownName:"nicht bekannt",change:"Abbrechen",back:"Zurück",done:"Hinzufügen",unknown:{title:"Upload läuft… Bitte warten Sie auf die Vorschau.",done:"Vorschau überspringen und Datei annehmen"},regular:{title:"Diese Datei hinzufügen?",line1:"Diese Datei wird nun hinzugefügt.",line2:"Bitte bestätigen Sie."},image:{title:"Nur Bilder sind akzeptiert.",text:"Bitte veruschen Sie es erneut mit einer anderen Datei.",back:"Bild wählen"},error:{default:{title:"Oops!",text:"Etwas ist während dem Hochladen schief gelaufen.",back:"Bitte versuchen Sie es erneut"},image:{title:"Nur Bilder sind akzeptiert.",text:"Bitte veruschen Sie es erneut mit einer anderen Datei.",back:"Bild wählen"},size:{title:"Die gewählte Datei ist zu groß.",text:"Bitte versuchen Sie es erneut mit einer anderen Datei."},loadImage:{title:"Fehler",text:"Das Bild kann nicht geladen werden"}},multiple:{title:"Sie haben %files% Dateien gewählt",question:"Möchten Sie all diese Dateien hinzufügen?",tooManyFiles:"Sie haben zu viele Dateien gewählt. %max% ist das Maximum.",tooFewFiles:"Sie haben %files% Dateien gewählt. Es sind mindestens %min% nötig.",clear:"Alle löschen",done:"Fertig",file:{preview:"Vorschau: %file%",remove:"Datei löschen: %file%"}}}}}},pluralize:function(e){return 1===e?"one":"other"}},el:{translations:{loadingInfo:"Φόρτωση πληροφοριών...",errors:{default:"Σφάλμα",baddata:"Λανθασμένη αξία",size:"Πολύ μεγάλο αρχείο",upload:"Δεν μπορεί να γίνει φόρτωση",user:"Η φόρτωση ακυρώθηκε",info:"Δεν μπορούν να φορτωθούν πληροφορίες",image:"Μόνο εικόνες επιτρέπονται",createGroup:"Δεν μπορεί να δημιουργηθεί ομάδα αρχείων",deleted:"Το αρχείο διαγράφηκε"},uploading:"Φόρτωση... Παρακαλούμε περιμένετε.",draghere:"Αποθέστε ένα αρχείο εδώ",file:{one:"%1 αρχείο",other:"%1 αρχεία"},buttons:{cancel:"Ακύρωση",remove:"Κατάργηση",choose:{files:{one:"Επιλέξτε ένα αρχείο",other:"Επιλέξτε αρχεία"},images:{one:"Επιλέξτε μία εικόνα",other:"Επιλέξτε εικόνες"}}},dialog:{close:"Κλείσιμο",openMenu:"Άνοιγμα μενού",done:"Εντάξει",showFiles:"Προβολή αρχείων",tabs:{names:{"empty-pubkey":"Καλώς ήρθατε",preview:"Προεπισκόπηση",file:"Τοπικά αρχεία",url:"Απευθείας σύνδεσμος",camera:"Κάμερα",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",instagram:"Instagram",gphotos:"Google Photos",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"σύρετε & αποθέστε<br>οποιαδήποτε αρχεία",nodrop:"Φορτώστε αρχεία από τον&nbsp;υπολογιστή σας",cloudsTip:"Αποθήκευση νέφους<br>και κοινωνικά δίκτυα",or:"ή",button:"Επιλέξτε ένα τοπικό αρχείο",also:"ή επιλέξτε από"},url:{title:"Αρχεία από τον Ιστό",line1:"Πάρτε οποιοδήποτε αρχείο από το διαδίκτυο.",line2:"Γράψτε απλώς τον σύνδεσμο.",input:"Επικολλήστε τον σύνδεσμό σας εδώ...",button:"Φόρτωση"},camera:{title:"Αρχείο από κάμερα web",capture:"Τραβήξτε μια φωτογραφία",mirror:"Καθρέφτης",startRecord:"Εγγραφή βίντεο",cancelRecord:"Ακύρωση",stopRecord:"Διακοπή",retry:"Νέο αίτημα για άδεια",pleaseAllow:{text:"Έχετε δεχτεί υπόδειξη να επιτρέψετε την πρόσβαση στην κάμερα από αυτόν τον ιστότοπο.<br>Για να τραβήξετε φωτογραφίες με την κάμερά σας πρέπει να εγκρίνετε αυτό το αίτημα.",title:"Παρακαλούμε επιτρέψτε την πρόσβαση στην κάμερά σας"},notFound:{title:"Δεν εντοπίστηκε κάμερα",text:"Φαίνεται ότι δεν έχετε κάμερα συνδεδεμένη με αυτή τη συσκευή."}},preview:{unknownName:"άγνωστο",change:"Ακύρωση",back:"Πίσω",done:"Προσθήκη",unknown:{title:"Φόρτωση... Παρακαλούμε περιμένετε για προεπισκόπηση.",done:"Παράλειψη επισκόπησης και αποδοχή"},regular:{title:"Να προστεθεί αυτό το αρχείο;",line1:"Πρόκειται να προσθέσετε το παραπάνω αρχείο.",line2:"Παρακαλούμε επιβεβαιώστε."},image:{title:"Να προστεθεί αυτή η εικόνα;",change:"Ακύρωση"},crop:{title:"Περικοπή και προσθήκη αυτής της εικόνας",done:"Εντάξει",free:"δωρεάν"},video:{title:"Να προστεθεί αυτό το βίντεο;",change:"Ακύρωση"},error:{default:{title:"Ουπς!",back:"Παρακαλούμε προσπαθήστε ξανά",text:"Κάτι πήγε στραβά κατά τη φόρτωση."},image:{title:"Μόνο αρχεία εικόνων γίνονται δεκτά.",text:"Δοκιμάστε ξανά με άλλο αρχείο.",back:"Επιλέξτε εικόνα"},size:{title:"Το αρχείο που επιλέξατε υπερβαίνει το όριο.",text:"Δοκιμάστε ξανά με άλλο αρχείο."},loadImage:{title:"Σφάλμα",text:"Δεν μπορεί να φορτωθεί η εικόνα"}},multiple:{title:"Έχετε επιλέξει %files%",question:"Προσθήκη %files%;",tooManyFiles:"Έχετε επιλέξει πάρα πολλά αρχεία. Το μέγιστο είναι %max%.",tooFewFiles:"Έχετε επιλέξει %files%. Απαιτούνται τουλάχιστον %min%.",clear:"Κατάργηση όλων",file:{preview:"Προεπισκόπηση %file%",remove:"Αφαίρεση %file%"},done:"Προσθήκη"}}},footer:{text:"παρέχεται από",link:"uploadcare"}}},pluralize:function(e){return 1===e?"one":"other"}},en:{pluralize:function(e){return 1===e?"one":"other"},translations:{uploading:"Uploading... Please wait.",loadingInfo:"Loading info...",errors:{default:"Error",baddata:"Incorrect value",size:"File too big",upload:"Cant upload",user:"Upload canceled",info:"Cant load info",image:"Only images allowed",createGroup:"Cant create file group",deleted:"File was deleted"},draghere:"Drop a file here",file:{one:"%1 file",other:"%1 files"},buttons:{cancel:"Cancel",remove:"Remove",choose:{files:{one:"Choose a file",other:"Choose files"},images:{one:"Choose an image",other:"Choose images"}}},dialog:{close:"Close",openMenu:"Open menu",done:"Done",showFiles:"Show files",tabs:{names:{"empty-pubkey":"Welcome",preview:"Preview",file:"Local Files",url:"Direct Link",camera:"Camera",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",gphotos:"Google Photos",instagram:"Instagram",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle",nft:"NFT"},file:{drag:"drag & drop<br>any files",nodrop:"Upload files from your&nbsp;computer",cloudsTip:"Cloud storages<br>and social networks",or:"or",button:"Choose a local file",also:"or choose from"},url:{title:"Files from the Web",line1:"Grab any file off the web.",line2:"Just provide the link.",input:"Paste your link here...",button:"Upload"},camera:{camera:"Camera",title:"File from web camera",capture:"Take a photo",mirror:"Mirror",startRecord:"Record a video",stopRecord:"Stop",cancelRecord:"Cancel",retry:"Request permissions again",pleaseAllow:{title:"Please allow access to your camera",text:"You have been prompted to allow camera access from this site.<br>In order to take pictures with your camera you must approve this request."},notFound:{title:"No camera detected",text:"Looks like you have no camera connected to this device."}},preview:{unknownName:"unknown",change:"Cancel",back:"Back",done:"Add",unknown:{title:"Uploading... Please wait for a preview.",done:"Skip preview and accept"},regular:{title:"Add this file?",line1:"You are about to add the file above.",line2:"Please confirm."},image:{title:"Add this image?",change:"Cancel"},crop:{title:"Crop and add this image",done:"Done",free:"free"},video:{title:"Add this video?",change:"Cancel"},error:{default:{title:"Oops!",text:"Something went wrong during the upload.",back:"Please try again"},image:{title:"Only image files are accepted.",text:"Please try again with another file.",back:"Choose image"},size:{title:"The file you selected exceeds the limit.",text:"Please try again with another file."},loadImage:{title:"Error",text:"Cant load image"}},multiple:{title:"Youve chosen %files%.",question:"Add %files%?",tooManyFiles:"Youve chosen too many files. %max% is maximum.",tooFewFiles:"Youve chosen %files%. At least %min% required.",clear:"Remove all",done:"Add",file:{preview:"Preview %file%",remove:"Remove %file%"}}}},footer:{text:"powered by",link:"uploadcare"}},serverErrors:{AccountBlockedError:"Administrator's account has been blocked. Please, contact support.",AccountUnpaidError:"Administrator's account has been blocked. Please, contact support.",AccountLimitsExceededError:"Administrator's account has reached its limits. Please, contact support.",FileSizeLimitExceededError:"File is too large.",MultipartFileSizeLimitExceededError:"File is too large.",FileTypeForbiddenOnCurrentPlanError:"Uploading of these files types is not allowed.",DownloadFileSizeLimitExceededError:"Downloaded file is too big."}}},es:{translations:{uploading:"Subiendo... Por favor espere.",loadingInfo:"Cargando información...",errors:{default:"Error",baddata:"Valor incorrecto",size:"Archivo demasiado grande",upload:"No se puede subir",user:"Subida cancelada",info:"No se puede cargar la información",image:"Solo se permiten imágenes",createGroup:"No se puede crear el grupo de archivos",deleted:"El archivo fue eliminado"},draghere:"Arrastra un archivo aquí",file:{one:"%1 archivo",other:"%1 archivos"},buttons:{cancel:"Cancelar",remove:"Eliminar",choose:{files:{one:"Escoge un archivo",other:"Escoge archivos"},images:{one:"Escoge una imagen",other:"Escoge imágenes"}}},dialog:{close:"Cerrar",openMenu:"Menú abierto",done:"Hecho",showFiles:"Mostrar archivos",tabs:{names:{"empty-pubkey":"Bienvenido",preview:"Previsualización",file:"Archivos locales",url:"Enlaces arbitrarios",camera:"Cámara"},file:{drag:"Arrastra un archivo aquí",nodrop:"Sube fotos desde tu dispositivo",cloudsTip:"Almacenamiento en la nube<br>y redes sociales",or:"o",button:"Elige un archivo de tu dispositivo",also:"Tambien puedes seleccionarlo de"},url:{title:"Archivos de la Web",line1:"Coge cualquier archivo de la web.",line2:"Solo danos el link.",input:"Pega tu link aquí...",button:"Subir"},camera:{title:"Archivo desde la cámara web",capture:"Hacer una foto",mirror:"Espejo",startRecord:"Grabar un video",stopRecord:"Detener",cancelRecord:"Cancelar",retry:"Solicitar permisos de nuevo",pleaseAllow:{title:"Por favor, permite el acceso a tu cámara",text:"Este sitio ha pedido permiso para acceder a la cámara. Para tomar imágenes con tu cámara debes aceptar esta petición."},notFound:{title:"No se ha detectado ninguna cámara",text:"Parece que no tienes ninguna cámara conectada a este dispositivo."}},preview:{unknownName:"desconocido",change:"Cancelar",back:"Atrás",done:"Añadir",unknown:{title:"Subiendo. Por favor espera para una vista previa.",done:"Saltar vista previa y aceptar"},regular:{title:"¿Quieres subir este archivo?",line1:"Estás a punto de subir el archivo de arriba.",line2:"Confírmalo por favor."},image:{title:"¿Quieres subir esta imagen?",change:"Cancelar"},crop:{title:"Cortar y añadir esta imagen",done:"Listo",free:"libre"},video:{title:"¿Añadir este video?",change:"Cancelar"},error:{default:{title:"Ups!",text:"Algo salió mal durante la subida.",back:"Por favor, inténtalo de nuevo."},image:{title:"Solo se aceptan archivos de imagen.",text:"Por favor, inténtalo de nuevo con otro archivo.",back:"Escoger imagen"},size:{title:"El archivo que has seleccinado excede el límite.",text:"Por favor, inténtalo de nuevo con otro archivo."},loadImage:{title:"Error",text:"No puede cargar la imagen"}},multiple:{title:"Has escogido %files%",question:"¿Quieres añadir todos estos archivos?",tooManyFiles:"Has escogido demasiados archivos. %max% es el máximo.",tooFewFiles:"Has escogido %files%. Hacen falta al menos %min%.",clear:"Eliminar todo",done:"Hecho",file:{preview:"Vista previa %file%",remove:"Quitar %file%"}}}},footer:{text:"alimentado por"}}},pluralize:function(e){return 1===e?"one":"other"}},et:{translations:{uploading:"Üleslaadimine… Palun oota.",loadingInfo:"Info laadimine...",errors:{default:"Viga",baddata:"Incorrect value",size:"Fail on liiga suur",upload:"Ei saa üles laadida",user:"Üleslaadimine tühistatud",info:"Ei saa infot laadida",image:"Ainult pildid lubatud",createGroup:"Ei saa luua failigruppi",deleted:"Fail on kustutatud"},draghere:"Tiri fail siia",file:{one:"%1 fail",other:"%1 failid"},buttons:{cancel:"Tühista",remove:"Kustuta",choose:{files:{one:"Vali fail",other:"Vali failid"},images:{one:"Vali pilt",other:"Vali pildid"}}},dialog:{done:"Valmis",showFiles:"Näita faile",tabs:{names:{"empty-pubkey":"Tere",preview:"Eelvaade",file:"Failid Kõvakettalt",url:"Veebilink",camera:"Kaamera"},file:{drag:"Tiri failid siia",nodrop:"Lae failid arvutist",cloudsTip:"Pilv<br>ja sotsiaalmeedia",or:"või",button:"Vali fail kõvakettalt",also:"Saad samuti valida"},url:{title:"Failid veebist",line1:"Ükskõik mis fail otse veebist.",line2:"Lihtsalt sisesta URL.",input:"Kleebi link siia...",button:"Lae üles"},camera:{capture:"Take a photo",mirror:"Mirror",startRecord:"Record a video",stopRecord:"Stop",cancelRecord:"Cancel",retry:"Request permissions again",pleaseAllow:{title:"Please allow access to your camera",text:"You have been prompted to allow camera access from this site. In order to take pictures with your camera you must approve this request."},notFound:{title:"No camera detected",text:"Looks like you have no camera connected to this device."}},preview:{unknownName:"teadmata",change:"Tühista",back:"Tagasi",done:"Lisa",unknown:{title:"Üleslaadimine... Palun oota eelvaadet.",done:"Jäta eelvaade vahele ja nõustu"},regular:{title:"Lisa see fail?",line1:"Oled lisamas ülaltoodud faili.",line2:"Palun kinnita."},image:{title:"Lisa pilt?",change:"Tühista"},crop:{title:"Lõika ja lisa pilt",done:"Valmis",free:"vaba"},video:{title:"Lisa video?",change:"Tühista"},error:{default:{title:"Oihh!",text:"Midagi läks üleslaadimisel valesti.",back:"Palun proovi uuesti"},image:{title:"Ainult pildifailid on lubatud.",text:"Palun proovi uuesti teise failiga.",back:"Vali pilt"},size:{title:"Valitud fail ületab maksimaalse suuruse.",text:"Palun proovi uuesti teise failiga."},loadImage:{title:"Viga",text:"Ei saa pilti laadida"}},multiple:{title:"Oled valinud %files%",question:"Kas sa soovid lisada kõik failid?",tooManyFiles:"Oled valinud liiga suure hulga faile. %max% on maksimaalne.",tooFewFiles:"Oled valinud %files%. Vähemalt %min% nõutud.",clear:"Eemalda kõik",done:"Valmis"}}}}},pluralize:function(e){return 1===e?"one":"other"}},fr:{translations:{uploading:"Envoi en cours... Merci de patienter.",loadingInfo:"Chargement des informations...",errors:{default:"Erreur",baddata:"Valeur incorrecte",size:"Fichier trop volumineux",upload:"Envoi impossible",user:"Envoi annulé",info:"Impossible de charger les informations",image:"Seules les images sont autorisées",createGroup:"Création d'1 groupe impossible",deleted:"Le fichier a été supprimé"},draghere:"Glissez-déposez un fichier ici",file:{one:"%1 fichier",other:"%1 fichiers"},buttons:{cancel:"Annuler",remove:"Supprimer",choose:{files:{one:"Sélectionner un fichier",other:"Sélectionner des fichiers"},images:{one:"Sélectionner une image",other:"Sélectionner des images"}}},dialog:{close:"Fermer",openMenu:"Ouvrir le menu",done:"Terminer",showFiles:"Voir les fichiers",tabs:{names:{"empty-pubkey":"Bienvenue",preview:"Avant-première",file:"Fichier en local",url:"Une adresse web",camera:"Caméra",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",gphotos:"Google Photos",instagram:"Instagram",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"Glissez-déposez un fichier ici",nodrop:"Envoyez des fichiers depuis votre ordinateur",cloudsTip:"Stockage sur le cloud<br>et réseaux sociaux",or:"ou",button:"Choisir un fichier local",also:"Vous pouvez également le sélectionner depuis"},url:{title:"Fichiers depuis le Web",line1:"Prenez n'importe quel fichier depuis un site web.",line2:"Saisissez simplement son adresse.",input:"Collez le lien ici...",button:"Envoi"},camera:{title:"Fichier depuis la caméra",capture:"Prendre une photo",mirror:"Miroir",startRecord:"Enregistrer une vidéo",stopRecord:"Arrêter",cancelRecord:"Annuler",retry:"Envoyer une nouvelle demande de permission",pleaseAllow:{title:"Autorisez l'accès à votre appareil photo",text:"Vous avez été invité à autoriser l'accès à votre appareil photo. Pour prendre des photos avec votre caméra vous devez approuver cette demande."},notFound:{title:"Aucun appareil photo détecté",text:"Il semblerait que vous n'ayez pas d'appareil photo connecté à cet appareil."}},preview:{unknownName:"inconnu",change:"Annuler",back:"Retour",done:"Ajouter",unknown:{title:"Envoi en cours... Merci de patienter pour prévisualiser.",done:"Passer la prévisualisation et accepter"},regular:{title:"Ajouter ce fichier ?",line1:"Vous êtes sur le point d'ajouter le fichier ci-dessus.",line2:"Merci de confirmer."},image:{title:"Ajouter cette image ?",change:"Annuler"},crop:{title:"Recadrer et ajouter cette image",done:"Terminer",free:"libre"},video:{title:"Ajouter cette vidéo ?",change:"Annuler"},error:{default:{title:"Oups!",text:"Quelque chose n'a pas fonctionné pendant l'envoi.",back:"Merci de bien vouloir recommencer"},image:{title:"Seules les images sont acceptées.",text:"Merci de bien vouloir recommencer avec un autre fichier.",back:"Choisir une image"},size:{title:"Le fichier sélectionné est trop volumineux.",text:"Merci de bien vouloir recommencer avec un autre fichier."},loadImage:{title:"Erreur",text:"Impossible de charger l'image"}},multiple:{title:"Vous avez choisi %files%",question:"Voulez vous ajouter tous ces fichiers ?",tooManyFiles:"Vous avez choisi trop de fichiers. %max% est le maximum.",tooFewFiles:"Vous avez choisi %fichiers%. %min% est le minimum.",clear:"Tout retirer",done:"Terminer",file:{preview:"Prévisualiser %file%",remove:"Supprimer %file%"}}}}}},pluralize:function(e){return 1===e?"one":"other"}},he:{translations:{uploading:"טוען... אנא המתן.",loadingInfo:"טוען מידע...",errors:{default:"שגיאה",baddata:"ערך שגוי",size:"קובץ גדול מידי",upload:"לא ניתן להעלות",user:"העלאה בוטלה",info:"לא ניתן לטעון מידע",image:"ניתן להעלות רק תמונות",createGroup:"לא ניתן ליצור קבוצה",deleted:"הקובץ נמחק"},draghere:"שחרר כאן קובץ",file:{one:"קובץ %1",other:"%1 קבצים"},buttons:{cancel:"ביטול",remove:"הסר",choose:{files:{one:"בחר קובץ",other:"בחר קבצים"},images:{one:"בחר תמונה",other:"בחר תמונות"}}},dialog:{done:"סיום",showFiles:"הצג קבצים",tabs:{names:{facebook:"פייסבוק",dropbox:"דרופבוקס",gdrive:"כונן גוגל",instagram:"אינסטגרם",url:"לינק מהאינטרנט"},file:{drag:"שחרר כאן קובץ",nodrop:"העלה קבצים מהמחשב",or:"או",button:"בחר קובץ מהמחשב",also:"ניתן לבחור גם מ"},url:{title:"קובץ מהאינטרנט",line1:"גרור קובץ מהאינטרנט",line2:"ספק את כתובת הקובץ",input:"הדבק את כתובת הקובץ...",button:"העלה"},preview:{unknownName:"לא ידוע",change:"ביטול",back:"חזרה",done:"הוסף",unknown:{title:"מעלה... נא המתן לתצוגה מקדימה.",done:"דלג על תצוגה מקדימה"},regular:{title:"להוסיף קובץ זה?",line1:"קובץ זה יועלה",line2:"נא אשר."},image:{title:"להוסיף תמונה זו?",change:"ביטול"},crop:{title:"חתוך והוסף תמונה זו",done:"סיום"},error:{default:{title:"אופס!",text:"משהו השתבש בזמן ההעלאה.",back:"נא נסה שוב"},image:{title:"ניתן לקבל רק קבצי תמונות.",text:"נא נסה שוב עם קובץ אחר.",back:"בחר תמונה"},size:{title:"הקובץ שבחרת חורג מהגבול.",text:"נא נסה שוב עם קובץ אחר."},loadImage:{title:"שגיאה",text:"טעינת התמונה נכשלה"}},multiple:{title:"בחרת %files%",question:"אתה מעוניין להוסיף את כל הקבצים האלו?",tooManyFiles:"בחרת יותר מידי קבצים. יש לבחור מקסימום %max% קבצים.",tooFewFiles:"בחרת %files%. יש לבחור לפחות %min%.",clear:"הסר הכל",done:"סיום"}}}}},pluralize:function(e){return 1===e?"one":"other"}},is:{pluralize:function(e){return 1===e?"one":"other"},translations:{uploading:"Hleð upp... Vinsamlegast bíðið.",loadingInfo:"Hleð upp upplýsingum...",errors:{default:"Villa",baddata:"Rangt gildi",size:"Skráin er of stór",upload:"Ekki tókst að hlaða upp skrá",user:"Hætt var við",info:"Ekki tókst að sækja upplýsingar",image:"Myndir eru einungis leyfðar",createGroup:"Ekki tókst að búa til hóp",deleted:"Skrá hefur verið eytt"},draghere:"Dragðu skrá hingað",file:{one:"%1 skrá",other:"%1 skráa"},buttons:{cancel:"Hætta við",remove:"Fjarlægja",choose:{files:{one:"Veldu skrá",other:"Veldu skrár"},images:{one:"Veldu mynd",other:"Veldu myndir"}}},dialog:{close:"Loka",openMenu:"Opna valmynd",done:"Búið",showFiles:"Sjá skrár",tabs:{names:{"empty-pubkey":"Velkomin/n/ð",preview:"Forskoðun",file:"Staðbundnar skrár",url:"Beinn hlekkur",camera:"Myndavél",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",gphotos:"Google Photos",instagram:"Instagram",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"dragðu & slepptu<br>skrám",nodrop:"Hlaða upp þínum skrám",cloudsTip:"Skýjaþjónustur<br>og samfélagsmiðlar",or:"eða",button:"Veldu staðbundna skrá",also:"eða veldu"},url:{title:"Skrár af netinu",line1:"Sæktu hvaða skrá sem er frá netinu",line2:"Settu bara inn hlekk",input:"Límdu hlekkinn hér...",button:"Hlaða upp"},camera:{title:"Skrá frá myndavél",capture:"Taktu mynd",mirror:"Spegill",startRecord:"Taktu upp myndband",stopRecord:"Stopp",cancelRecord:"Hætta við",retry:"Biðja aftur um heimild",pleaseAllow:{title:"Vinsamlegast gefðu heimild til þess að nota myndavélina",text:"Þú hefur verið beðin/n/ð um að gefa heimild til myndavélanotkunar frá þessari síðu<br>Til þess að geta tekið myndir er nauðsynlegt að gefa heimild."},notFound:{title:"Engin myndavél fannst.",text:"Það lítur út fyrir að það sé engin myndavél tengd."}},preview:{unknownName:"óþekkt",change:"Hætta við",back:"Bakka",done:"Bæta við",unknown:{title:"Hleð upp ... vinsamlegast bíðið eftir forskoðun. ",done:"Sleppa forskoðun og samþykkja"},regular:{title:"Bæta þessari skrá við?",line1:"Þú ert að fara bæta þessari skrá við.",line2:"Vinsamlegast staðfestið."},image:{title:"Bæta þessari mynd við?",change:"Hætta við"},crop:{title:"Kroppa og bæta þessari mynd við?",done:"Búið",free:"frítt"},video:{title:"Bæta þessu myndbandi við?",change:"Hætta við"},error:{default:{title:"Úps!",text:"Eitthvað fór úrskeiðis.",back:"Vinsamlegast reyndu aftur"},image:{title:"Myndir eru einungis leyfðar.",text:"Vinsamlegast reyndu aftur.",back:"Velja mynd"},size:{title:"Skráin er of stór.",text:"Vinsamlegast reyndu aftur."},loadImage:{title:"Villa",text:"Gat ekki hlaðið upp mynd."}},multiple:{title:"Þú hefur valið %files%.",question:"Bæta við %files%?",tooManyFiles:"Þú hefur valið of margar skrár. %max% er hámarkið.",tooFewFiles:"Þú hefur valið %files%. Að minnsta kosti %min% er lágmarkið.",clear:"Fjarlægja allar skrár",done:"Bæta við",file:{preview:"Forskoða %file%",remove:"Fjarlægja %file%"}}}}}}},it:{translations:{uploading:"Caricamento in corso... Si prega di attendere.",loadingInfo:"Caricamento informazioni in corso...",errors:{default:"Errore",baddata:"Valore errato",size:"Il file è troppo grande",upload:"Impossibile fare lupload",user:"Upload cancellato",info:"Impossibile caricare le informazioni",image:"Sono ammesse solo immagini",createGroup:"Impossibile creare gruppo di file",deleted:"Il file è stato eliminato"},draghere:"Trascina un file qui",file:{one:"file %1",other:"file %1"},buttons:{cancel:"Cancella",remove:"Rimuovi",choose:{files:{one:"Seleziona un file",other:"Seleziona file"},images:{one:"Seleziona unimmagine",other:"Seleziona immagini"}}},dialog:{done:"Fatto",showFiles:"Mostra file",tabs:{names:{"empty-pubkey":"Benvenuto",preview:"Anteprima",file:"File locali",url:"Link arbitrari",camera:"Fotocamera"},file:{drag:"Trascina un file qui",nodrop:"Carica file dal tuo computer",cloudsTip:"Salvataggi nel cloud<br>e servizi sociali",or:"o",button:"Seleziona un file locale",also:"Puoi anche scegliere da"},url:{title:"File dal web",line1:"Preleva un file dal web.",line2:"È sufficiente fornire il link.",input:"Incolla il tuo link qui...",button:"Carica"},camera:{capture:"Scatta una foto",mirror:"Specchio",retry:"Richiedi di nuovo le autorizzazioni",pleaseAllow:{title:"Consenti laccesso alla tua fotocamera",text:"Ti è stato richiesto di consentire laccesso alla fotocamera da questo sito. Per scattare le foto con la tua fotocamera devi accettare questa richiesta."},notFound:{title:"Nessuna fotocamera rilevata",text:"Non risulta che tu non abbia una fotocamera collegata a questo dispositivo."}},preview:{unknownName:"sconosciuto",change:"Cancella",back:"Indietro",done:"Aggiungi",unknown:{title:"Caricamento in corso... Attendi lanteprima.",done:"Salta lanteprima e accetta"},regular:{title:"Vuoi aggiungere questo file?",line1:"Stai per aggiungere il file sopra.",line2:"Conferma."},image:{title:"Vuoi aggiungere questa immagine?",change:"Cancella"},crop:{title:"Ritaglia e aggiungi questa immagine",done:"Fatto",free:"gratis"},error:{default:{title:"Ops!",text:"Si è verificato un problema durante lupload.",back:"Si prega di riprovare"},image:{title:"Sono accettati solo file immagine.",text:"Riprova con un altro file.",back:"Scegli immagine"},size:{title:"Il file selezionato supera il limite.",text:"Riprova con un altro file."},loadImage:{title:"Errore",text:"Impossibile caricare limmagine"}},multiple:{title:"Hai selezionato %files%",question:"Vuoi aggiungere tutti questi file?",tooManyFiles:"Hai selezionato troppi file. %max% è il massimo.",tooFewFiles:"Hai selezionato %files%. È richiesto almeno %min%.",clear:"Rimuovi tutto",done:"Fatto"}}}}},pluralize:function(e){return 1===e?"one":"other"}},ja:{translations:{uploading:"アップロードしています… 完了までお待ち下さい。",loadingInfo:"読み込み中…",errors:{default:"エラー",baddata:"間違った値",size:"ファイルが大きすぎます",upload:"アップロードできませんでした",user:"アップロードがキャンセルされました",info:"読み込みに失敗しました",image:"アップロードできるのは画像ファイルのみです",createGroup:"グループの作成に失敗しました",deleted:"削除されたファイルです"},draghere:"ここにファイルをドロップ",file:{other:"%1ファイル"},buttons:{cancel:"キャンセル",remove:"削除",choose:{files:{one:"ファイルを選択",other:"ファイルを選択"},images:{one:"画像を選択",other:"画像を選択"}}},dialog:{done:"完了",showFiles:"ファイルを表示",tabs:{names:{preview:"プレビュー",file:"ローカルファイル",url:"URLを直接入力"},file:{drag:"ここにファイルをドロップ",nodrop:"ファイルを選択してアップロード",cloudsTip:"クラウドストレージ<br>およびソーシャルサービス",or:"もしくは",button:"ローカルのファイルを選択",also:"次からも選択可能です:"},url:{title:"ウェブ上のファイル",line1:"ウェブ上からファイルを取得します。",line2:"URLを入力してください。",input:"ここにURLを貼り付けしてください…",button:"アップロード"},preview:{unknownName:"不明なファイル",change:"キャンセル",back:"戻る",done:"追加",unknown:{title:"アップロードしています… プレビューの表示をお待ちください。",done:"プレビューの確認をスキップして完了"},regular:{title:"このファイルを追加しますか?",line1:"こちらのファイルを追加しようとしています。",line2:"確認してください。"},image:{title:"この画像を追加しますか?",change:"キャンセル"},crop:{title:"画像の切り取りと追加",done:"完了",free:"リセット"},error:{default:{title:"失敗しました",text:"アップロード中に不明なエラーが発生しました。",back:"もう一度お試し下さい"},image:{title:"画像ファイルのみ許可されています",text:"他のファイルで再度お試し下さい。",back:"画像を選択"},size:{title:"ファイルサイズが大きすぎます。",text:"他のファイルで再度お試し下さい。"},loadImage:{title:"エラー",text:"画像のロードに失敗しました。"}},multiple:{title:"%files%つのファイルを選択中",question:"これら全てのファイルを追加しますか?",tooManyFiles:"選択ファイルが多すぎます。%max%つ以下にしてください。",tooFewFiles:"選択ファイルが少なすぎます。%files%つ選択中です。少なくとも%min%つ選択してください。",clear:"全て削除",done:"完了"}}}}},pluralize:function(e){return"other"}},ko:{translations:{uploading:"업로드중 기다려주세요",loadingInfo:"정보 로드중...",errors:{default:"오류",baddata:"잘못된 값",size:"파일용량 초과",upload:"업로드 실패",user:"업로드 취소됨",info:"정보를 불러올 수 없습니다",image:"허용된 이미지만 가능",createGroup:"파일 그룹 만들기 실패",deleted:"파일이 삭제되었습니다"},draghere:"여기에 끌어다 놓기",file:{one:"%1 파일",other:"%1 파일"},buttons:{cancel:"취소",remove:"삭제",choose:{files:{one:"파일 첨부",other:"파일 첨부"},images:{one:"이미지 첨부",other:"이미지 첨부"}}},dialog:{close:"닫기",openMenu:"메뉴 열기",done:"완료",showFiles:"파일 표시",tabs:{names:{"empty-pubkey":"반갑습니다",preview:"미리보기",file:"파일 첨부",url:"링크 연결",camera:"카메라",facebook:"페이스북",dropbox:"드롭박스",gdrive:"구글 드라이브",gphotos:"구글 포토",instagram:"인스타그램",evernote:"에버노트",box:"박스",onedrive:"스카이드라이브",flickr:"플리커"},file:{drag:"모든 파일을<br>드래그 & 드롭",nodrop:"파일 업로드",cloudsTip:"클라우드 스토리지 및 소셜",or:"또는",button:"파일 선택",also:"또는 선택하십시오"},url:{title:"웹에서 파일 링크 연결",line1:"웹에서 모든파일을 가져옵니다",line2:"링크만 연결합니다.",input:"링크 붙여 넣기...",button:"업로드"},camera:{title:"카메라 연결",capture:"사진 찍기",mirror:"거울",startRecord:"비디오 녹화",stopRecord:"정지",cancelRecord:"취소",retry:"재 시도",pleaseAllow:{title:"카메라 접근 허용",text:"카메라 접근을 허용하시겠습니까?<br>승인 요청을 해주셔야 합니다"},notFound:{title:"카메라가 없습니다",text:"이 기기에 연결된 카메라가 없습니다"}},preview:{unknownName:"알수없음",change:"취소",back:"뒤로",done:"추가",unknown:{title:"업로드중, 기다려주세요",done:"미리보기 건너뛰기"},regular:{title:"이 파일을 추가하시겠습니까?",line1:"위 파일을 추가하려고 합니다",line2:"확인 하십시오"},image:{title:"이미지를 추가하시겠습니까?",change:"취소"},crop:{title:"이미지 자르기 및 추가",done:"완료",free:"무료"},video:{title:"비디오를 추가하시겠습니까?",change:"취소"},error:{default:{title:"죄송합니다",text:"업로드에 문제가 있습니다",back:"다시 시도해 주세요"},image:{title:"이미지 파일만 허용됩니다",text:"다른 파일로 다시 시도하세요",back:"이미지 선택"},size:{title:"선택한 파일이 한도 초과하였습니다",text:"다른 파일로 다시 시도하세요"},loadImage:{title:"오류",text:"이미지를 불러올 수 없습니다"}},multiple:{title:"%files%을(를) 선택하였습니다",question:"%files%을 추가하시겠습니까?",tooManyFiles:"너무 많은 파일을 추가하셨습니다. %max%가 최대 한도입니다",tooFewFiles:"%files%을(를) 선택하였습니다 최소 %min%이상 필요합니다",clear:"모두 삭제",done:"추가",file:{preview:"%file% 미리보기",remove:"%file% 삭제"}}}},footer:{text:"powered by",link:"uploadcare"}}},pluralize:function(e){return 1===e?"one":"other"}},lv:{translations:{uploading:"Augšupielādē... Lūdzu, gaidiet.",errors:{default:"Kļūda",image:"Atļauti tikai attēli"},draghere:"Velciet failus šeit",file:{zero:"%1 failu",one:"%1 fails",other:"%1 faili"},buttons:{cancel:"Atcelt",remove:"Dzēst"},dialog:{title:"Ielādēt jebko no jebkurienes",poweredby:"Darbināts ar",support:{images:"Attēli",audio:"Audio",video:"Video",documents:"Dokumenti"},tabs:{file:{title:"Mans dators",line1:"Paņemiet jebkuru failu no jūsu datora.",line2:"Izvēlēties ar dialogu vai ievelciet iekšā.",button:"Meklēt failus"},url:{title:"Faili no tīmekļa",line1:"Paņemiet jebkuru failu no tīmekļa.",line2:"Tikai uzrādiet linku.",input:"Ielīmējiet linku šeit...",button:"Ielādēt"}}}},pluralize:function(e){return 0===e?"zero":e%10==1&&e%100!=11?"one":"other"}},nb:{translations:{uploading:"Laster opp... Vennligst vent.",loadingInfo:"Laster inn info...",errors:{default:"Feil",baddata:"Ugyldig verdi",size:"Filen er for stor",upload:"Kan ikke laste opp",user:"Opplasting avbrutt",info:"Kan ikke laste inn info",image:"Kun bilder er tillatt",createGroup:"Kan ikke opprette filgruppe",deleted:"Filen er slettet"},draghere:"Dra en fil hit",file:{one:"%1 fil",other:"%1 filer"},buttons:{cancel:"Avbryt",remove:"Fjern",choose:{files:{one:"Velg en fil",other:"Velg filer"},images:{one:"Velg et bilde",other:"Velg bilder"}}},dialog:{done:"Ferdig",showFiles:"Vis filer",tabs:{names:{preview:"Forhåndsvising",file:"Lokale filer",url:"Direktelink"},file:{drag:"Dra og slipp en fil her",nodrop:"Last opp filer fra datamaskinen",cloudsTip:"Skylagring<br>og sosiale tjenester",or:"eller",button:"Velg en lokal fil",also:"Du kan også velge det fra"},url:{title:"Filer fra internett",line1:"Velg hvilken som helst fil fra internett.",line2:"Bare gi oss linken.",input:"Lim inn linken her...",button:"Last opp"},preview:{unknownName:"ukjent",change:"Avbryt",back:"Tilbake",done:"Legg til",unknown:{title:"Laster opp... Vennligst vent på forhåndsvisning.",done:"Hopp over forhåndsvisning og godkjenn"},regular:{title:"Legge til denne filen?",line1:"Filen legges nå til.",line2:"Vennligst bekreft."},image:{title:"Legge til dette bildet?",change:"Avbryt"},crop:{title:"Kutt og legg til dette bildet",done:"Ferdig",free:"frigjør"},error:{default:{title:"Ops!",text:"Noe gikk galt under opplastingen.",back:"Vennligst prøv igjen"},image:{title:"Kun bilder er akseptert.",text:"Prøv igjen med en annen fil.",back:"Velg bilde"},size:{title:"Den valgte filen overskrider tilatt størrelse.",text:"Vennligst prøv igjen med en annen fil."},loadImage:{title:"Feil",text:"Kan ikke laste bildet"}},multiple:{title:"Du har valgt %files%",question:"Ønsker du å legge til alle filene?",tooManyFiles:"Du har valgt for mange filer. %max% er maksimum.",tooFewFiles:"Du har valgt %files%. Minimum %min% er påkrevd.",clear:"Fjern alle",done:"Ferdig"}}}}},pluralize:function(e){return 1===e?"one":"other"}},nl:{translations:{uploading:"Uploaden... Even geduld.",loadingInfo:"Laden informatie...",errors:{default:"Fout",baddata:"Ongeldige waarde",size:"Bestand is te groot",upload:"Kan niet uploaden",user:"Upload geannuleerd",info:"Kan informatie niet laden",image:"Alleen afbeeldingen toegestaan",createGroup:"Kan bestandsgroep niet maken",deleted:"Bestand is verwijderd"},draghere:"Drop hier een bestand",file:{one:"%1 bestand",other:"%1 bestanden"},buttons:{cancel:"Annuleren",remove:"Verwijderen",choose:{files:{one:"Kies een bestand",other:"Kies bestanden"},images:{one:"Kies een afbeelding",other:"Kies afbeeldingen"}}},dialog:{done:"Klaar",showFiles:"Toon bestanden",tabs:{names:{preview:"Voorvertoning",file:"Computer",url:"Directe links"},file:{drag:"Drop hier een bestand",nodrop:"Upload bestanden van je computer",or:"of",button:"Selecteer een bestand op je computer",also:"Je kan ook selecteren van"},camera:{title:"Bestand van webcamera",retry:"Opnieuw toegang aanvragen"},url:{title:"Bestanden op het web",line1:"Selecteer een bestand op het web.",line2:"Voer de link in.",input:"Plak de link hier...",button:"Upload"},preview:{unknownName:"onbekend",change:"Annuleren",back:"Terug",done:"Toevoegen",unknown:{title:"Uploaden... Wacht op de voorvertoning.",done:"Voorvertoning overslaan an accepteren"},regular:{title:"Dit bestand toevoegen?",line1:"Je staat op het punt bovenstaand bestand toe te voegen.",line2:"Bevestig"},image:{title:"Voeg deze afbeelding toe?",change:"Annuleren"},crop:{title:"Afbeelding bijknippen en toevoegen",done:"Klaar"},error:{default:{title:"Oeps!",text:"Er is een fout opgetreden tijdens het uploaden.",back:"Probeer opnieuw"},image:{title:"Alleen afbeeldingen worden geaccepteerd.",text:"Probeer opnieuw met een andere bestand.",back:"Selecteer afbeelding"},size:{title:"Het geselecteerd bestand is groter dan de limiet.",text:"Probeer opnieuw met een andere bestand."},loadImage:{title:"Fout",text:"Kan afbeelding niet laden"}},multiple:{title:"Je hebt de volgende bestanden geselecteerd %files%",question:"Wil je al deze bestanden toevoegen?",tooManyFiles:"Je hebt teveel bestanden geselecteerd. %max% is het maximum.",tooFewFiles:"Je hebt de volgende bestanden geselecteerd %files%. Minimaal %min% is verplicht.",clear:"Verwijder alle bestanden",done:"Klaar"}}}}},pluralize:function(e){return 1===e?"one":"other"}},pl:{translations:{uploading:"Przesyłanie... Proszę czekać.",loadingInfo:"Ładowanie...",errors:{default:"Błąd",baddata:"Niepoprawna wartość",size:"Plik zbyt duży",upload:"Nie udało się przesłać",user:"Przesyłanie anulowane",info:"Nie udało się załadować informacji",image:"Dozwolone są tylko obrazy",createGroup:"Nie udało się utworzyć grupy plików",deleted:"Plik został usunięty"},draghere:"Upuść plik tutaj",file:{one:"%1 plik",few:"%1 pliki",many:"%1 plików"},buttons:{cancel:"Anuluj",remove:"Usuń",choose:{files:{one:"Wybierz plik",other:"Wybierz pliki"},images:{one:"Wybierz obraz",other:"Wybierz obrazy"}}},dialog:{close:"Zamknij",openMenu:"Otwórz menu",done:"Wykonano",showFiles:"Pokaż pliki",tabs:{names:{"empty-pubkey":"Witaj",preview:"Podgląd",file:"Pliki lokalne",url:"Plik z Sieci",camera:"Aparat"},file:{drag:"Upuść plik tutaj",nodrop:"Prześlij pliki z Twojego komputera",cloudsTip:"Dane w chmurze<br>i sieci społecznościowe",or:"lub",button:"Wybierz plik lokalny",also:"Możesz również wybrać z"},url:{title:"Pliki z Sieci",line1:"Złap jakikolwiej plik z sieci.",line2:"Podaj adres.",input:"Wklej link...",button:"Prześlij"},camera:{title:"Plik z kamery internetowej",capture:"Zrób zdjęcie",mirror:"Odbicie lustrzane",startRecord:"Nagraj film",stopRecord:"Zakończ",cancelRecord:"Anuluj",retry:"Poproś ponownie o dostęp",pleaseAllow:{title:"Prośba o udostępnienie aparatu",text:"Zostałeś poproszony przez tę stronę o dostęp do aparatu. Aby robić zdjecia, musisz zaakceptować tę prośbę."},notFound:{title:"Nie wykryto aparatu.",text:"Wygląda na to, że nie masz podłączonego aparatu do tego urządzenia."}},preview:{unknownName:"nieznany",change:"Anuluj",back:"Wstecz",done:"Dodaj",unknown:{title:"Przesyłanie... Proszę czekać na podgląd.",done:"Omiń podgląd i zaakceptuj."},regular:{title:"Dodać ten plik?",line1:"Zamierzasz dodać nowy plik.",line2:"Potwierdź, proszę."},image:{title:"Dodać ten obraz?",change:"Anuluj"},crop:{title:"Przytnij i dodaj ten obraz",done:"Wykonano",free:"wolny"},video:{title:"Dodać ten film?",change:"Anuluj"},error:{default:{title:"Oops!",text:"Coś poszło nie tak podczas przesyłania.",back:"Spróbuj ponownie"},image:{title:"Akceptowane są tylko obrazy.",text:"Spróbuj ponownie z innym plikiem.",back:"Wybierz obraz"},size:{title:"Plik, który wybrałeś, przekracza dopuszczalny rozmiar",text:"Spróbuj ponownie z innym plikiem."},loadImage:{title:"Błąd",text:"Nie udało się załadować obrazu"}},multiple:{title:"Wybrałeś %files%",question:"Czy chcesz dodać wszystkie te pliki?",tooManyFiles:"Wybrałeś zbyt wiele plików. Maksimum to %max%.",tooFewFiles:"Wybrałeś %files%. Wymagane jest co najmniej %min%.",clear:"Usuń wszystkie",done:"Wykonano",file:{preview:"Zobacz %file%",remove:"Usuń %file%"}}}}}},pluralize:function(e){var t;return 1===e?"one":(t=e%10)>=2&&t<=4&&1!=(e/10%10|0)?"few":"many"}},pt:{translations:{uploading:"Fazendo upload... Aguarde.",loadingInfo:"Carregando informações...",errors:{default:"Erro",baddata:"Valor incorreto",size:"Arquivo muito grande",upload:"Não foi possível fazer o upload",user:"Upload cancelado",info:"Não foi possível carregar as informações",image:"Apenas imagens são permitidas",createGroup:"Não foi possível criar o grupo de arquivos",deleted:"O arquivo foi excluído"},draghere:"Arraste um arquivo aqui",file:{one:"%1 arquivo",other:"%1 arquivos"},buttons:{cancel:"Cancelar",remove:"Excluir",choose:{files:{one:"Escolha um arquivo",other:"Escolha arquivos"},images:{one:"Escolha uma imagem",other:"Escolha imagens"}}},dialog:{done:"OK",showFiles:"Mostrar arquivos",tabs:{names:{preview:"Visualizar",file:"Computador",url:"Link da web"},file:{drag:"Arraste um arquivo aqui",nodrop:"Faça upload de arquivos do seu computador",or:"ou",button:"Escolha um arquivo do computador",also:"Você também pode escolher arquivos de"},url:{title:"Arquivos da web",line1:"Faça upload de qualquer arquivo da web.",line2:"Apenas informe o link.",input:"Cole seu link aqui...",button:"Upload"},camera:{capture:"Tirar uma foto",mirror:"Espelhar",startRecord:"Gravar um vídeo",stopRecord:"Parar",cancelRecord:"Cancelar",retry:"Requisitar permissão novamente",pleaseAllow:{title:"Por favor permita o acesso a sua câmera",text:"Você foi solicitado a permitir o acesso à câmera a partir deste site. Para tirar fotos com sua câmera, você deve aprovar este pedido."},notFoud:{title:"Câmera não detectada",text:"Parece que você não tem uma câmera conectada a este dispositivo"}},preview:{unknownName:"desconhecido",change:"Cancelar",back:"Voltar",done:"Adicionar",unknown:{title:"Fazendo upload... Aguarde a visualização.",done:"Pular visualização e aceitar"},regular:{title:"Adicionar esse arquivo?",line1:"Você está prestes a adicionar o arquivo acima.",line2:"Por favor, confirme."},image:{title:"Adicionar essa imagem?",change:"Cancelar"},crop:{title:"Cortar e adicionar essa imagem",done:"OK",free:"livre"},error:{default:{title:"Oops!",text:"Alguma coisa deu errado durante o upload.",back:"Por favor, tente novamente"},image:{title:"Apenas arquivos de imagem são aceitos.",text:"Por favor, tente novamente com outro arquivo.",back:"Escolher a imagem"},size:{title:"O arquivo que você escolheu excede o limite.",text:"Por favor, tente novamente com outro arquivo."},loadImage:{title:"Erro",text:"Não foi possível carregar a imagem"}},multiple:{title:"Você escolheu",question:"Você quer adicionar todos esses arquivos?",clear:"Excluir todos",done:"OK"}}}}},pluralize:function(e){return 1===e?"one":"other"}},ro:{translations:{uploading:"Se încarcă... Răbdare.",loadingInfo:"Info încărcare...",errors:{default:"Eroare",baddata:"Valoare incorectă",size:"Fișier prea mare",upload:"Nu pot încărca",user:"Încărcare anulată",info:"Nu pot încărca info",image:"Doar imagini, vă rog",createGroup:"Nu pot crea grup de fișiere",deleted:"Fișierul a fost șters"},draghere:"Trage un fișier aici",file:{one:"%1 fișier",other:"%1 fișiere"},buttons:{cancel:"Anulare",remove:"Șterge",choose:{files:{one:"Alege un fișier",other:"Alege fișiere"},images:{one:"Alege o imagine",other:"Alege imagini"}}},dialog:{close:"Închide",openMenu:"Deschide meniu",done:"Gata",showFiles:"Arată fișiere",tabs:{names:{"empty-pubkey":"Bine ai venit",preview:"Previzualizare",file:"Fișiere locale",url:"Link direct",camera:"Camera",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",gphotos:"Google Photos",instagram:"Instagram",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"trage aici<br>fișierele",nodrop:"Încarcă fișiere din computer",cloudsTip:"Cloud <br>și rețle sociale",or:"sau",button:"Alege un fișier local",also:"sau alege din"},url:{title:"Fișiere din Web",line1:"Ia orice fișier din Web.",line2:"Trebuie să ai doar linkul.",input:"Lipește linkul aici...",button:"Încarcă"},camera:{title:"Fișier din camera web",capture:"Fă o fotografie",mirror:"Mirror",startRecord:"Înregistrează un video",stopRecord:"Stop",cancelRecord:"Anulează",retry:"Cere permisiune din nou",pleaseAllow:{title:"Te rog sa-mi dai acces la cameră",text:"Ai fost rugat să dai acces la cameră de acest site.<br>Pentru a putea face fotografii cu camera, trebuie să aprobi această cerere."},notFound:{title:"Nicio cameră detectată",text:"Se pare că nu ai nicio cameră atașată acestui device."}},preview:{unknownName:"necunoscut",change:"Anulează",back:"Înapoi",done:"Adaugă",unknown:{title:"Se încarcă... Te rog așteaptă previzualizarea.",done:"Sari peste previzualizare și acceptă"},regular:{title:"Adaug acest fișier?",line1:"Ești pe punctul de a adăuga fișierul de mai sus.",line2:"Te rog confirmă."},image:{title:"Adaug această imagine?",change:"Anulează"},crop:{title:"Decupează și adaugă aceasta imagine",done:"Gata",free:"gratis"},video:{title:"Adaug acest video?",change:"anulează"},error:{default:{title:"Oops!",text:"A intervenit o problemă la încărcare.",back:"te rog încearcă din nou"},image:{title:"Sunt acceptate doar imagini.",text:"Te rog încearcă din nou cu un alt fișier.",back:"Alege imagine"},size:{title:"Fișierul selectat de tine este prea mare.",text:"Te rog să încerci cu alt fișier."},loadImage:{title:"Eroare",text:"Nu pot încărca imaginea"}},multiple:{title:"Ai ales %files%.",question:"Adaug %files%?",tooManyFiles:"Ai ales prea multe fișiere. %max% is maximum.",tooFewFiles:"Ai ales %files%. Minimul este %min% .",clear:"Șterge toate",done:"Adaugă",file:{preview:"Previzualizare %file%",remove:"Șterge %file%"}}}},footer:{text:"powered by",link:"uploadcare"}}},pluralize:function(e){return 1===e?"one":"other"}},ru:{translations:{uploading:"Идет загрузка",loadingInfo:"Загрузка информации...",errors:{default:"Ошибка",baddata:"Некорректные данные",size:"Слишком большой файл",upload:"Ошибка при загрузке",user:"Загрузка прервана",info:"Ошибка при загрузке информации",image:"Разрешены только изображения",createGroup:"Не удалось создать группу файлов",deleted:"Файл удалён"},draghere:"Перетащите файл сюда",file:{one:"%1 файл",few:"%1 файла",many:"%1 файлов"},buttons:{cancel:"Отмена",remove:"Удалить",choose:{files:{one:"Выбрать файл",other:"Выбрать файлы"},images:{one:"Выбрать изображение",other:"Выбрать изображения"}}},dialog:{done:"Готово",showFiles:"Показать файлы",tabs:{names:{preview:"Предпросмотр","empty-pubkey":"Приветствие",file:"Локальные файлы",vk:"ВКонтакте",url:"Ссылка",camera:"Камера"},file:{drag:"Перетащите файл сюда",nodrop:"Загрузка файлов с вашего компьютера",cloudsTip:"Облачные хранилища<br>и социальные сети",or:"или",button:"Выберите локальный файл",also:"Вы также можете загрузить файлы, используя:"},url:{title:"Файлы с других сайтов",line1:"Загрузите любой файл из сети.",line2:"",input:"Укажите здесь ссылку...",button:"Загрузить"},camera:{title:"Файл из видеокамеры",capture:"Сделать снимок",mirror:"Отразить",retry:"Повторно запросить разрешение",pleaseAllow:{title:"Пожалуйста, разрешите доступ к камере",text:"Для того, чтобы сделать снимок, мы запросили разрешение на доступ к камере с этого сайта."},notFound:{title:"Камера не найдена",text:"Скорее всего камера не подключена или не настроена."}},preview:{unknownName:"неизвестно",change:"Отмена",back:"Назад",done:"Добавить",unknown:{title:"Загрузка... Пожалуйста подождите.",done:"Пропустить предварительный просмотр"},regular:{title:"Загрузить этот файл?",line1:"Вы собираетесь добавить этот файл:",line2:"Пожалуйста, подтвердите."},image:{title:"Добавить это изображение?",change:"Отмена"},video:{title:"Добавить это видео?",change:"Отмена"},crop:{title:"Обрезать и добавить это изображение",done:"Готово",free:"произв."},error:{default:{title:"Ой!",text:"Что-то пошло не так во время загрузки.",back:"Пожалуйста, попробуйте ещё раз"},image:{title:"Можно загружать только изображения.",text:"Попробуйте загрузить другой файл.",back:"Выберите изображение"},size:{title:"Размер выбранного файла превышает лимит.",text:"Попробуйте загрузить другой файл."},loadImage:{title:"Ошибка",text:"Изображение не удалось загрузить"}},multiple:{title:"Вы выбрали %files%",question:"Добавить все эти файлы?",tooManyFiles:"Вы выбрали слишком много файлов. %max% максимум.",tooFewFiles:"Вы выбрали %files%. Нужно не меньше %min%.",clear:"Удалить все",done:"Добавить",file:{preview:"Предпросмотр %file%",remove:"Удалить %file%"}}}}}},pluralize:function(e){return 1==(e/10%10|0)||e%10==0||e%10>4?"many":e%10==1?"one":"few"}},sk:{translations:{uploading:"Nahrávam... Prosím počkajte.",loadingInfo:"Nahrávam informácie...",errors:{default:"Chyba",baddata:"Nesprávna hodnota",size:"Súbor je príliš veľký",upload:"Nedá sa nahrať",user:"Nahrávanie bolo zrušené",info:"Informácie sa nedajú nahrať",image:"Povolené sú len obrázky",createGroup:"Nie je možné vytvoriť priečinok",deleted:"Súbor bol odstránený"},draghere:"Sem presuňte súbor",file:{one:"%1 súbor",few:"%1 súbory",other:"%1 súborov"},buttons:{cancel:"Zrušiť",remove:"Odstrániť",choose:{files:{one:"Vyberte súbor",other:"Vyberte súbory"},images:{one:"Vyberte obrázok",other:"Vyberte obrázky"}}},dialog:{close:"Zavrieť",openMenu:"Otvoriť menu",done:"Hotovo",showFiles:"Ukázať súbory",tabs:{names:{"empty-pubkey":"Vitajte",preview:"Náhľad",file:"Z počítača",url:"Z internetu",camera:"Kamera",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Disk Google",gphotos:"Google Obrázky",instagram:"Instagram",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"presuňte a vložte<br>akékoľvek súbory",nodrop:"Nahrajte súbory z vášho&nbsp;počítača",cloudsTip:"Cloud úložiská<br>a sociálne siete",or:"alebo",button:"Vyberte súbor z počítača",also:"alebo vyberte z"},url:{title:"Súbory z internetu",line1:"Uložte akýkoľvek súbor z internetu.",line2:"Stačí pridať odkaz na neho.",input:"Vložte svoj odkaz sem...",button:"Nahrať"},camera:{title:"Súbor z webkamery",capture:"Odfotiť",mirror:"Zrkadliť",startRecord:"Natočte video",stopRecord:"Prestať natáčať",cancelRecord:"Zrušiť",retry:"Znovu požiadať o prístup",pleaseAllow:{title:"Prosím povoľte prístup k vašej kamere",text:"Boli ste vyzvaní aby ste umožnili tejto stránke prístup ku kamere.<br>Prístup musíte povolit aby ste mohli fotiť s vašou kamerou."},notFound:{title:"Kamera nebola nájdená",text:"Zdá sa, že k tomuto zariadeniu nemáte pripojenú kameru."}},preview:{unknownName:"neznámy",change:"Zrušiť",back:"Späť",done:"Pridať",unknown:{title:"Nahráva sa... Prosím počkajte na náhľad.",done:"Preskočiť náhľad a nahrať"},regular:{title:"Pridať tento súbor?",line1:"Chystáte sa pridať vyššie uvedený súbor.",line2:"Prosím potvrďte váš výber."},image:{title:"Pridať tento obrázok?",change:"Zrušiť"},crop:{title:"Orezať a pridať túto fotku",done:"Hotovo",free:"obnoviť"},video:{title:"Pridať toto video?",change:"Zrušiť"},error:{default:{title:"Ejha!",text:"Pri nahrávaní sa vyskytla chyba.",back:"Skúste to znovu"},image:{title:"Je možné nahrávať len obrázky",text:"Skúste to znovu s iným súborom.",back:"Vybrať obrázok"},size:{title:"Súbor, ktorý ste vybrali presahuje povolenú veľkosť.",text:"Skúste to znovu s iným súborom."},loadImage:{title:"Chyba",text:"Obrázok nie je možné vyhľadať"}},multiple:{title:"Vybrali ste %files%.",question:"Pridať %files%?",tooManyFiles:"Vybrali ste príliš veľa súborov. Maximum je %max%.",tooFewFiles:"Vybrali ste %files%. Potrebných je aspoň %min%.",clear:"Odstrániť všetky",done:"Pridať",file:{preview:"Nahliadnuť na %file%",remove:"Odstrániť %file%"}}}}}},pluralize:function(e){return 1===e?"one":e>=2&&e<=4?"few":"many"}},sr:{translations:{uploading:"Шаљем... Молимо сачекајте.",loadingInfo:"Учитавам информације...",errors:{default:"Грешка",baddata:"Погрешна вредност",size:"Фајл је сувише велик",upload:"Не могу да пошаљем",user:"Слање прекинуто",info:"Не могу да учитам информације",image:"Дозвољене су само слике",createGroup:"Не могу да направим групу фајлова",deleted:"Фајл је обрисан"},draghere:"Убаците фајл овде",file:{one:"%1 фајл",other:"%1 фајлова"},buttons:{cancel:"Поништи",remove:"Избаци",choose:{files:{one:"Изабери фајл",other:"Изабери фајлове"},images:{one:"Изабери слику",other:"Изабери слике"}}},dialog:{close:"Затвори",openMenu:"Отвори мени",done:"Готово",showFiles:"Покажи фајлове",tabs:{names:{"empty-pubkey":"Добродошли",preview:"Погледај",file:"Локални фајлови",url:"Директан линк",camera:"Камера",facebook:"Фејсбук",dropbox:"Dropbox",gdrive:"Google Drive",gphotos:"Google Photos",instagram:"Инстаграм",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"превуци<br>било које фајлове",nodrop:"Пошаљи фајлове са твог&nbsp;компјутера",cloudsTip:"Клауд<br>и социјалне мреже",or:"или",button:"Изабери локални фајл",also:"или изабери"},url:{title:"Фајлове са Интернета",line1:"Изабери било који фајл са Интернета.",line2:"Само убаци линк.",input:"Убаци линк овде...",button:"Пошаљи"},camera:{title:"Фајл са камере",capture:"Усликај",mirror:"Огледало",startRecord:"Сними видео",stopRecord:"Заустави",cancelRecord:"Поништи",retry:"Тражи дозволу поново",pleaseAllow:{title:"Молимо вас да дозволите приступ вашој камери",text:"Упитани сте да дозволите приступ вашој камери са овог сајта.<br>Уколико желите да сликате, морате одобрити овај захтев."},notFound:{title:"Камера није препозната",text:"Изгледа да немате камеру на овом уређају."}},preview:{unknownName:"непознато",change:"Поништи",back:"Назад",done:"Додај",unknown:{title:"Шаљем... Сачекајте за приказ.",done:"Прескочи приказ и прихвати"},regular:{title:"Додај овај фајл?",line1:"Управо ћете додати овај фајл изнад.",line2:"Молимо потврдите."},image:{title:"Додај ову слику?",change:"Поништи"},crop:{title:"Кропуј и додај ову слику",done:"Урађено",free:"слободно"},video:{title:"Додај овај видео?",change:"Поништи"},error:{default:{title:"Ооопс!",text:"Нешто је искрсло у току слања.",back:"Молимо покушајте поново"},image:{title:"Дозвљене су само слике.",text:"Молимо покушајте са другим фајлом.",back:"Изабери слику"},size:{title:"Фајл који сте изабрали премашује лимит.",text:"Молимо покушајте са другим фајлом."},loadImage:{title:"Грешка",text:"Не могу да учитам слику"}},multiple:{title:"Изабрали сте %files%.",question:"Додај %files%?",tooManyFiles:"Изабрали сте превише фајлова. %max% је максимално.",tooFewFiles:"Изабрали сте %files%. Морате најмање %min% фајла.",clear:"Избаци све",done:"Додај",file:{preview:"Прегледај %file%",remove:"Избаци %file%"}}}},footer:{text:"направио",link:"uploadcare"}}},pluralize:function(e){return 1===e?"one":"other"}},sv:{translations:{uploading:"Laddar... Var god vänta.",loadingInfo:"Laddar info...",errors:{default:"Fel",baddata:"Felaktigt värde",size:"Filen är för stor",upload:"Kan inte ladda upp",user:"Uppladdning avbruten",info:"Kan inte ladda informationen",image:"Endast bilder tillåtna",createGroup:"Kan inte skapa filgrupp",deleted:"Fil raderad"},draghere:"Dra filen hit",file:{one:"%1 fil",other:"%1 filer"},buttons:{cancel:"Avbryt",remove:"Ta bort",choose:{files:{one:"Välj fil",other:"Välj filer"},images:{one:"Välj en bild",other:"Välj bilder"}}},dialog:{done:"Klar",showFiles:"Visa filer",tabs:{names:{"empty-pubkey":"Välkommen",preview:"Förhandsgranskning",file:"Lokala filer",url:"Direkta länkar",camera:"Kamera"},file:{drag:"Släpp filen här",nodrop:"Ladda upp filer från din dator",cloudsTip:"Molnlagring<br>och sociala nätverk",or:"eller",button:"Välj en lokal fil",also:"Du kan också välja den från"},url:{title:"Filer från webben",line1:"Välj en fil från en webbadress.",line2:"Ange bara länken till filen.",input:"Klistra in din länk här...",button:"Ladda upp"},camera:{capture:"Ta ett foto",mirror:"Spegel",retry:"Begär tillstånd igen",pleaseAllow:{title:"Vänligen ge tillgång till din kamera",text:"Du har uppmanats att tillåta att denna webbplats får tillgång till din kamera.För att ta bilder med din kamera måste du godkänna denna begäran."},notFound:{title:"Ingen kamera hittades",text:"Det verkar som att du inte har någon kamera ansluten till denna enheten."}},preview:{unknownName:"okänd",change:"Avbryt",back:"Tillbaka",done:"Lägg till",unknown:{title:"Laddar... Vänligen vänta på förhandsgranskning.",done:"Skippa förhandsgranskning och acceptera"},regular:{title:"Lägg till denna filen?",line1:"Du håller på att lägga till filen ovan.",line2:"Vänligen bekräfta."},image:{title:"Lägg till denna bilden?",change:"Avbryt"},crop:{title:"Beskär och lägg till denna bild",done:"Klar",free:"fri"},error:{default:{title:"Oops!",text:"Någonting gick fel under uppladdningen.",back:"Vänligen försök igen"},image:{title:"Endast bildfiler accepteras.",text:"Vänligen försök igen med en annan fil.",back:"Välj bild"},size:{title:"Filen du har valt är för stor.",text:"Vänligen försök igen med en annan fil."},loadImage:{title:"Fel",text:"Kan inte ladda bild"}},multiple:{title:"Du har valt %files%",question:"Vill du lägga till alla dessa filer?",tooManyFiles:"Du har valt för många filer. %max% är max.",tooFewFiles:"Du har valt %files%. Minst %min% krävs.",clear:"Ta bort alla",done:"Klar"}}}}},pluralize:function(e){return 1===e?"one":"other"}},tr:{translations:{uploading:"Yükleniyor... Lütfen bekleyin.",loadingInfo:"Bilgiler yükleniyor...",errors:{default:"Hata",baddata:"Geçersiz değer",size:"Dosya çok büyük",upload:"Yüklenemedi",user:"Yükleme iptal edildi",info:"Bilgiler getirilemedi",image:"Sadece resim dosyası yüklenebilir",createGroup:"Dosya grubu yaratılamıyor",deleted:"Dosya silinmiş"},draghere:"Buraya bir dosya bırakın",file:{other:"%1 dosya"},buttons:{cancel:"İptal",remove:"Kaldır",choose:{files:{one:"Dosya Seçin",other:"Dosya Seçin"},images:{one:"Resim Dosyası Seçin",other:"Resim Dosyası Seçin"}}},dialog:{done:"Bitti",showFiles:"Dosyaları Göster",tabs:{names:{"empty-pubkey":"Hoş geldiniz",preview:"Önizleme",file:"Bilgisayar",url:"Dış Bağlantılar",camera:"Kamera"},file:{drag:"Buraya bir dosya bırakın",nodrop:"Bilgisayarınızdan dosya yükleyin",or:"ya da",button:"Bilgisayardan bir dosya seç",also:"Diğer yükleme seçenekleri",cloudsTip:"Bulut depolamalar<br>ve sosyal hizmetler"},url:{title:"Webden dosyalar",line1:"Webden herhangi bir dosya seçin.",line2:"Dosya bağlantısını sağlayın.",input:"Bağlantınızı buraya yapıştırın...",button:"Yükle"},camera:{capture:"Fotoğraf çek",mirror:"Ayna",retry:"Tekrar izin iste",pleaseAllow:{title:"Lütfen kameranıza erişilmesine izin verin",text:"Bu siteden kamera erişimine izin vermeniz talep ediliyor. Kameranızla fotoğraf çekmek için bu isteği onaylamanız gerekmektedir."},notFound:{title:"Kamera algılanamadı",text:"Bu cihaza kamera bağlantısının olmadığı görünüyor."}},preview:{unknownName:"bilinmeyen",change:"İptal",back:"Geri",done:"Ekle",unknown:{title:"Yükleniyor... Önizleme için lütfen bekleyin.",done:"Önizlemeyi geç ve kabul et"},regular:{title:"Bu dosya eklensin mi?",line1:"Yukarıdaki dosyayı eklemek üzeresiniz.",line2:"Lütfen onaylayın."},image:{title:"Bu görsel eklensin mi?",change:"İptal"},crop:{title:"Bu görseli kes ve ekle",done:"Bitti"},error:{default:{title:"Aman!",text:"Yükleme sırasında bir hata oluştu.",back:"Lütfen tekrar deneyin."},image:{title:"Sadece resim dosyaları kabul edilmektedir.",text:"Lütfen başka bir dosya ile tekrar deneyin.",back:"Resim dosyası seç"},size:{title:"Seçtiğiniz dosya limitleri aşıyor.",text:"Lütfen başka bir dosya ile tekrar deneyin."},loadImage:{title:"Hata",text:"Resim dosyası yüklenemedi"}},multiple:{title:"%files% dosya seçtiniz",question:"Bu dosyaların hepsini eklemek istiyor musunuz?",tooManyFiles:"Fazla sayıda dosya seçtiniz, en fazla %max% dosya olabilir.",tooFewFiles:"%files% dosya seçtiniz, en az %min% dosya olmalıdır.",clear:"Hepsini kaldır",done:"Bitti"}}}}},pluralize:function(e){return"other"}},uk:{translations:{uploading:"Завантаження... Зачекайте.",loadingInfo:"Завантаження інформації...",errors:{default:"Помилка",baddata:"Неправильне значення",size:"Завеликий файл",upload:"Помилка завантаження",user:"Завантаження скасовано",info:"Помилка завантаження інформації",image:"Дозволені лише зображення",createGroup:"Неможливо створити групу файлів",deleted:"Файл видалено"},draghere:"Перетягніть файл сюди",file:{one:"%1 файл",few:"%1 файли",many:"%1 файлів"},buttons:{cancel:"Скасувати",remove:"Видалити",choose:{files:{one:"Вибрати файл",other:"Вибрати файли"},images:{one:"Вибрати зображення",other:"Вибрати зображення"}}},dialog:{close:"Закрити",openMenu:"Відкрити меню",done:"Готово",showFiles:"Показати файли",tabs:{names:{"empty-pubkey":"Вітання",preview:"Попередній перегляд",file:"Локальні файли",url:"Пряме посилання",camera:"Камера"},file:{drag:"Перетягніть<br>будь-які файли",nodrop:"Завантаження файлів з вашого комп'ютера",cloudsTip:"Хмарні сховища<br>та соціальні мережі",or:"або",button:"Обрати локальний файл",also:"або обрати з"},url:{title:"Файли з інших сайтів",line1:"Візьміть будь-який файл з Інтернету..",line2:"Вкажіть тут посилання.",input:"Вставте ваше посилання тут...",button:"Завантажити"},camera:{title:"Файл із відеокамери",capture:"Зробити знімок",mirror:"Віддзеркалити",startRecord:"Записати відео",stopRecord:"Стоп",cancelRecord:"Скасувати",retry:"Повторний запит дозволу",pleaseAllow:{title:"Будь ласка, надайте доступ до вашої камери",text:"Вам буде запропоновано дозволити доступ до камери з цього сайту.<br>Для того, щоб фотографувати за допомогою камери, ви повинні схвалити цей запит."},notFound:{title:"Камера не виявлена",text:"Схоже, у вас немає камери, підключеної до цього пристрою."}},preview:{unknownName:"невідомо",change:"Скасувати",back:"Назад",done:"Додати",unknown:{title:"Завантаження... Зачекайте на попередній перегляд.",done:"Пропустити перегляд і прийняти"},regular:{title:"Додати цей файл?",line1:"Ви збираєтеся додати файл вище.",line2:"Будь ласка, підтвердіть."},image:{title:"Додати це зображення?",change:"Скасувати"},crop:{title:"Обрізати та додати це зображення",done:"Готово",free:"довільно"},video:{title:"Додати це відео?",change:"Скасувати"},error:{default:{title:"Ой!",text:"Під час завантаження сталася помилка.",back:"Будь ласка, спробуйте ще раз"},image:{title:"Приймаються лише файли зображень.",text:"Повторіть спробу з іншим файлом.",back:"Виберіть зображення"},size:{title:"Розмір вибраного файлу перевищує ліміт.",text:"Повторіть спробу з іншим файлом."},loadImage:{title:"Помилка",text:"Помилка завантаження зображення"}},multiple:{title:"Ви вибрали %files%.",question:"Додати %files%?",tooManyFiles:"Ви вибрали забагато файлів. Максимальна кількість %max%.",tooFewFiles:"Ви вибрали %files%. Мінімальна кількість %min%.",clear:"Видалити все",done:"Додати",file:{preview:"Попередній перегляд %file%",remove:"Видалити %file%"}}}},footer:{text:"працює на",link:"uploadcare"}}},pluralize:function(e){return 1==(e/10%10|0)||e%10==0||e%10>4?"many":e%10==1?"one":"few"}},vi:{translations:{loadingInfo:"Đang tải thông tin...",errors:{default:"Lỗi",baddata:"Giá trị không đúng",size:"Tệp quá lớn",upload:"Không thể tải lên",user:"Tải lên bị hủy",info:"Không thể nạp thông tin",image:"Chỉ cho phép các hình ảnh",createGroup:"Không thể tạo nhóm tệp",deleted:"Tệp đã bị xóa"},uploading:"Đang tải lên... Vui lòng chờ đợi.",draghere:"Thả một tệp vào đây",file:{other:"%1 tệp"},buttons:{cancel:"Hủy",remove:"Xóa",choose:{files:{other:"Lựa chọn các tệp"},images:{other:"Lựa chọn hình ảnh"}}},dialog:{close:"Đóng",openMenu:"Mở menu",done:"Xong",showFiles:"Hiển thị tệp",tabs:{names:{"empty-pubkey":"Chào mừng",preview:"Xem trước",file:"Các tệp trên máy",url:"Liên kết tr.tiếp",camera:"Máy ảnh",facebook:"Facebook",dropbox:"Dropbox",gdrive:"Google Drive",instagram:"Instagram",gphotos:"Google Photos",vk:"VK",evernote:"Evernote",box:"Box",onedrive:"OneDrive",flickr:"Flickr",huddle:"Huddle"},file:{drag:"kéo & thả<br>bất kỳ tệp nào",nodrop:"Tải lên các tệp từ &nbsp;máy tính của bạn",cloudsTip:"Lưu trữ Đám mây<br>và các mạng xã hội",or:"hoặc",button:"Lựa chọn một tệp trên máy",also:"hoặc lựa chọn từ"},url:{title:"Các tệp trên Web",line1:"Chọn bất từ tệp nào từ web.",line2:"Chỉ cần cung cấp liên kết.",input:"Dán liên kết của bạn xuống đây...",button:"Tải lên"},camera:{title:"Tệp từ web cam",capture:"Chụp một bức ảnh",mirror:"Gương",startRecord:"Quay một video",cancelRecord:"Hủy",stopRecord:"Dừng",retry:"Yêu cầu cấp phép lần nữa",pleaseAllow:{text:"Bạn đã được nhắc nhở để cho phép truy cập vào camera từ trang này.<br>Để có thể chụp ảnh với camera, bạn phải chấp thuận yêu cầu này.",title:"Vui lòng cho phép truy cập tới camera của bạn"},notFound:{title:"Không tìm thấy camera nào",text:"Có vẻ như bạn không có camera nào nối với thiết bị này."}},preview:{unknownName:"vô danh",change:"Hủy",back:"Quay lại",done:"Thêm",unknown:{title:"Đang tải lên...Vui lòng đợi để xem trước.",done:"Bỏ qua và chấp nhận"},regular:{title:"Thêm tệp này?",line1:"Bạn dự định thêm tệp ở trên.",line2:"Vui lòng chấp thuận."},image:{title:"Thêm hình ảnh này?",change:"Hủy"},crop:{title:"Cắt và thêm ảnh này",done:"Xong",free:"miễn phí"},video:{title:"Thêm video này?",change:"Hủy"},error:{default:{title:"Ồ!",back:"Vui lòng thử lại",text:"Có lỗi gì đó trong quá trình tải lên."},image:{title:"Chỉ chấp thuận các tệp hình ảnh.",text:"Vui lòng thử lại với một tệp mới.",back:"Lựa chọn hình ảnh"},size:{title:"Tệp bạn đã lựa chọn vượt quá giới hạn",text:"Vui lòng thử lại với một tệp khác."},loadImage:{title:"Lỗi",text:"Không thể tải hình ảnh"}},multiple:{title:"Bạn đã lựa chọn %files%",question:"Thêm %files%?",tooManyFiles:"Bạn đã lựa chọn quá nhiều tệp. %max% là tối đa.",tooFewFiles:"Bạn đã lựa chọn %files%. Ít nhất cần %min%",clear:"Xoá Tất cả",file:{preview:"Xem trước %file%",remove:"Xóa %file%"},done:"Thêm"}}},footer:{text:"được hỗ trợ bởi",link:"uploadcare"}}},pluralize:function(e){return"other"}},zhTW:{translations:{uploading:"上傳中...請等待",loadingInfo:"正在讀取資訊...",errors:{default:"錯誤",baddata:"錯誤資料",size:"檔案太大",upload:"無法上傳",user:"上傳被取消",info:"無法讀取資訊",image:"只允許圖片檔案",createGroup:"無法建立檔案群組",deleted:"檔案已被刪除"},draghere:"拖放檔案到這裡",file:{other:"%1 個檔案"},buttons:{cancel:"取消",remove:"刪除",choose:{files:{one:"選擇檔案",other:"選擇檔案"},images:{one:"選擇圖片",other:"選擇圖片"}}},dialog:{done:"完成",showFiles:"顯示檔案",tabs:{names:{"empty-pubkey":"歡迎",preview:"預覽",file:"從本機上傳",url:"任意圖片連結",camera:"相機"},file:{drag:"拖放檔案到這裡",nodrop:"從你的本機中上傳",cloudsTip:"雲端硬碟<br>與社群網站",or:"或者",button:"從本機中選取檔案",also:"你也可以選自"},url:{title:"來自網際網路的檔案",line1:"從網際網路選取檔案",line2:"只需提供連結",input:"將連結複製至此...",button:"上傳"},camera:{capture:"拍照",mirror:"鏡像",retry:"重新取得相機權限",pleaseAllow:{title:"請允許使存取您的相機",text:"你一直在提示允許來自這個網站的訪問攝像頭。為了拍照用你的相機,你必須批准這一請求。"},notFound:{title:"沒有找到相機",text:"看起來你有沒有將連接相機。"}},preview:{unknownName:"未知",change:"取消",back:"返回",done:"加入",unknown:{title:"上傳中...請等待預覽",done:"跳過預覽,直接接受"},regular:{title:"加入這個檔案?",line1:"你將加入上面的檔案。",line2:"請確認。"},image:{title:"加入這個圖片?",change:"取消"},crop:{title:"裁切並加入這個圖片",done:"完成",free:"自由裁切"},error:{default:{title:"錯誤!",text:"上傳過程中出錯。",back:"請重試"},image:{title:"只允許上傳圖片檔案。",text:"請選擇其他檔案重新上傳。",back:"選擇圖片"},size:{title:"你選取的檔案超過了100MB的上限",text:"請用另一個檔案再試一次。"},loadImage:{title:"錯誤",text:"無法讀取圖片"}},multiple:{title:"你已經選擇 %files%",question:"你要加入所有檔案嗎?",tooManyFiles:"你選了太多的檔案. 最多可選擇%max%. 請刪除一些。",tooFewFiles:"你所選擇的檔案 %files%. 至少要 %min% .",clear:"清空",done:"完成"}}}}},pluralize:function(e){return"other"}},zh:{translations:{uploading:"上传中...请等待",loadingInfo:"正在读取信息...",errors:{default:"错误",baddata:"错误数据",size:"文件太大",upload:"无法上传",user:"上传被取消",info:"无法读取信息",image:"只允许图形文件",createGroup:"无法建立文件组",deleted:"文件已被删除"},draghere:"拖放文件到这里",file:{other:"%1 个文件"},buttons:{cancel:"取消",remove:"删除"},dialog:{done:"完成",showFiles:"显示文件",tabs:{names:{url:"任意图片链接"},file:{drag:"拖放文件到这里",nodrop:"从你的电脑中上传",or:"或者",button:"从电脑中选取文件",also:"你也可以选自"},url:{title:"来自互联网的文件",line1:"从互联网选取文件",line2:"只需提供链接",input:"将链接拷贝至此...",button:"上传"},preview:{unknownName:"未知",change:"取消",back:"返回",done:"添加",unknown:{title:"上传中...请等待预览",done:"跳过预览,直接接受"},regular:{title:"添加这个文件?",line1:"你将添加上面的文件。",line2:"请确认。"},image:{title:"添加这个图片?",change:"取消"},crop:{title:"剪裁并添加这个图片",done:"完成"},error:{default:{title:"错误!",text:"上传过程中出错。",back:"请重试"},image:{title:"只允许上传图片文件。",text:"请选择其他文件重新上传。",back:"选择图片"},size:{title:"你选取的文件超过了100MB的上限",text:"请用另一个文件再试一次。"},loadImage:{title:"错误",text:"无法读取图片"}},multiple:{title:"你已经选择 %files%",question:"你要添加所有文件吗?",tooManyFiles:"你选了太多的文件. 最多可选择%max%. 请删除一些。",clear:"清空",done:"完成"}}}}},pluralize:function(e){return"other"}}},hn=null,mn={translations:Object.keys(fn).reduce((function(e,t){return e[t]=fn[t].translations,e}),{}),pluralize:Object.keys(fn).reduce((function(e,t){return e[t]=fn[t].pluralize,e}),{}),rebuild:function(e){return hn=null,yn(e)},t:function(e,t){var n,r,i;return n=yn(),null==(i=bn(e,n.translations))&&n.lang!==vn.lang&&(i=bn(e,(n=vn).translations)),null!=t&&(i=null!=n.pluralize?(null!=(r=i[n.pluralize(t)])?r.replace("%1",t):void 0)||t:""),i||""}},gn="en",vn={lang:gn,translations:fn[gn].translations,pluralize:fn[gn].pluralize},yn=function(e){if(!hn){var t=ht(e),r=t.locale||vn.lang,i=n.default.extend(!0,{},mn.translations[r],t.localeTranslations),o=n.default.isFunction(t.localePluralize)?t.localePluralize:mn.pluralize[r];hn={lang:r,translations:i,pluralize:o}}return hn},bn=function(e,t){for(var n=e.split("."),r=0,i=n.length;r<i;r++){var o=n[r];if(null==t)return null;t=t[o]}return t},wn=function(){function e(t,r){var i;s(this,e),this.__uuid=null,this.settings=ht(r),this.__fileColl=new pn(t),this.__allFilesDf=n.default.when.apply(n.default,b(this.files())),this.__fileInfosDf=(t=function(){var e,t,r,o;for(o=[],e=0,t=(r=this.files()).length;e<t;e++)i=r[e],o.push(i.then(null,(function(e,t){return n.default.when(t)})));return o}.call(this),n.default.when.apply(n.default,b(t))),this.__createGroupDf=n.default.Deferred(),this.__initApiDeferred()}return c(e,[{key:"files",value:function(){return this.__fileColl.get()}},{key:"__save",value:function(){var e=this;if(!this.__saved)return this.__saved=!0,this.__allFilesDf.done((function(){return e.__createGroup().done((function(t){return e.__uuid=t.id,e.__buildInfo((function(t){return e.settings.imagesOnly&&!t.isImage?e.__createGroupDf.reject("image",t):e.__createGroupDf.resolve(t)}))})).fail((function(t){return e.__createGroupDf.reject("createGroup",t)}))}))}},{key:"promise",value:function(){return this.__save(),this.__apiDf.promise()}},{key:"__initApiDeferred",value:function(){var e,t,r,i=this;return this.__apiDf=n.default.Deferred(),this.__progressState="uploading",t=function(e){return i.__buildInfo((function(t){return i.__apiDf.reject(e,t)}))},r=function(e){return i.__apiDf.resolve(e)},(e=function(){return i.__apiDf.notify(i.__progressInfo())})(),this.__fileColl.onAnyProgress(e),this.__allFilesDf.done((function(){return i.__progressState="uploaded",e()})).fail(t),this.__createGroupDf.done((function(t){return i.__progressState="ready",e(),r(t)})).fail(t)}},{key:"__progressInfo",value:function(){var e,t,n,r,i;for(n=0,e=0,t=(i=this.__fileColl.lastProgresses()).length;e<t;e++)n+=((null!=(r=i[e])?r.progress:void 0)||0)/i.length;return{state:this.__progressState,uploadProgress:n,progress:"ready"===this.__progressState?1:.9*n}}},{key:"__buildInfo",value:function(e){var t;return t={uuid:this.__uuid,cdnUrl:this.__uuid?"".concat(this.settings.cdnBase,"/").concat(this.__uuid,"/"):null,name:mn.t("file",this.__fileColl.length()),count:this.__fileColl.length(),size:0,isImage:!0,isStored:!0},this.__fileInfosDf.done((function(){for(var n,r,i,o=arguments.length,a=new Array(o),s=0;s<o;s++)a[s]=arguments[s];for(r=0,i=a.length;r<i;r++)n=a[r],t.size+=n.size,n.isImage||(t.isImage=!1),n.isStored||(t.isStored=!1);return e(t)}))}},{key:"__createGroup",value:function(){var e,t=this;return e=n.default.Deferred(),this.__fileColl.length()?this.__fileInfosDf.done((function(){for(var n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];var o;return _e("".concat(t.settings.urlBase,"/group/?jsonerrors=1"),"POST",{pub_key:t.settings.publicKey,signature:t.settings.secureSignature,expire:t.settings.secureExpire,files:function(){var e,t,n;for(n=[],e=0,t=r.length;e<t;e++)o=r[e],n.push("/".concat(o.uuid,"/").concat(o.cdnUrlModifiers||""));return n}()},{headers:{"X-UC-User-Agent":t.settings._userAgent},retryConfig:t.settings.retryConfig}).fail((function(n){return t.settings.debugUploads&&x("Can't create group.",t.settings.publicKey,n.message),e.reject(n)})).done(e.resolve)})):e.reject(),e.promise()}},{key:"api",value:function(){return this.__api||(this.__api=ee(this,["promise","files"])),this.__api}}]),e}(),_n=function(e){p(n,e);var t=g(n);function n(e,r){var i,o;return s(this,n),o=nn("ready",e.files,r),(i=t.call(this,o,r)).__data=e,i}return c(n,[{key:"__createGroup",value:function(){return Q(this.__data)}}]),n}(wn),xn=function(){var e,t,n,r,i,o,a,s,l=arguments.length>0&&void 0!==arguments[0]?arguments[0]:[],u=arguments.length>1?arguments[1]:void 0;for(t=[],r=0,o=l.length;r<o;r++)if(n=l[r],rn(n))t.push(n);else if(an(n))for(i=0,a=(s=n.files()).length;i<a;i++)e=s[i],t.push(e);return new wn(t,u).api()},kn=function(e,t){var r,i;return t=ht(t),r=n.default.Deferred(),(i=ae.exec(e))?_e("".concat(t.urlBase,"/group/info/"),"GET",{jsonerrors:1,pub_key:t.publicKey,group_id:i[0]},{headers:{"X-UC-User-Agent":t._userAgent},retryConfig:t.retryConfig}).fail((function(e){return t.debugUploads&&x("Can't load group info. Probably removed.",i[0],t.publicKey,e.message),r.reject(e)})).done((function(e){var n;return n=new _n(e,t),r.resolve(n.api())})):r.reject(),r.promise()},En={};A()&&n.default(window).on("message",(function(e){var t,n,r,i,o,a,s=e.originalEvent;try{i=JSON.parse(s.data)}catch(e){return}if((null!=i?i.type:void 0)&&i.type in En){for(a=[],t=0,r=(o=En[i.type]).length;t<r;t++)n=o[t],s.source===n[0]?a.push(n[1](i)):a.push(void 0);return a}}));var Cn=function(e,t,n){return e in En||(En[e]=[]),En[e].push([t,n])},Sn=function(e,t){if(e in En)return En[e]=n.default.grep(En[e],(function(e){return e[0]!==t})),En[e]},An=new gt,Tn=function(){function e(t,n,r,i,o){var a=this;s(this,e),this.__createIframe=this.__createIframe.bind(this),this.container=t,this.tabButton=n,this.dialogApi=r,this.settings=i,this.name=o,this.dialogApi.progress((function(e){return e===a.name&&(a.__createIframe(),a.container.find(".uploadcare--tab__iframe").focus()),a.__sendMessage({type:"visibility-changed",visible:e===a.name})}))}return c(e,[{key:"remoteUrl",value:function(){var e={lang:this.settings.locale,public_key:this.settings.publicKey,widget_version:I,images_only:this.settings.imagesOnly,pass_window_open:this.settings.passWindowOpen};return this.settings.remoteTabSessionKey&&(e.session_key=this.settings.remoteTabSessionKey),"".concat(this.settings.socialBase,"/window3/").concat(this.name,"?")+n.default.param(e)}},{key:"__sendMessage",value:function(e){var t,n;return null!=(t=this.iframe)&&null!=(n=t[0].contentWindow)?n.postMessage(JSON.stringify(e),"*"):void 0}},{key:"__createIframe",value:function(){var e,t=this;if(!this.iframe)return this.iframe=n.default("<iframe>",{src:this.remoteUrl(),marginheight:0,marginwidth:0,frameborder:0,allowTransparency:"true"}).addClass("uploadcare--tab__iframe").appendTo(this.container).on("load",(function(){var e,n,r,i,o,a,s,l;for(t.iframe.css("opacity","1"),e=0,r=(o=An.urls).length;e<r;e++)l=o[e],t.__sendMessage({type:"embed-css",url:l});for(n=0,i=(a=An.styles).length;n<i;n++)s=a[n],t.__sendMessage({type:"embed-css",style:s})})),this.container.addClass("uploadcare--tab_remote"),e=this.iframe[0].contentWindow,Cn("file-selected",e,(function(e){var r,i,o;return o=function(){var n,r,i,o,a;if(e.alternatives)for(n=0,i=(o=t.settings.preferredTypes).length;n<i;n++)for(r in a=o[n],a=ce(a),e.alternatives)if(a.test(r))return e.alternatives[r];return e.url}(),i=n.default.extend({source:t.name},e.info||{}),r=new Yt(o,t.settings,i),e.filename&&r.setName(e.filename),null!=e.is_image&&r.setIsImage(e.is_image),t.dialogApi.addFiles([r.promise()])})),Cn("open-new-window",e,(function(e){var n,r,i;if(t.settings.debugUploads&&k("Open new window message.",t.name),r=window.open(e.url,"_blank"))return i=function(){return t.settings.debugUploads&&k("Window is closed.",t.name),t.__sendMessage({type:"navigate",fragment:""})},"closed"in r?n=setInterval((function(){if(r.closed)return clearInterval(n),i()}),100):r.addEventListener("exit",i);E("Can't open new window. Possible blocked.",t.name)})),this.dialogApi.done((function(){return Sn("file-selected",e),Sn("open-new-window",e)}))}}]),e}(),On={version:I,jQuery:n.default,utils:{abilities:{fileAPI:M,sendFileAPI:L,dragAndDrop:N,canvas:j,fileDragAndDrop:F,iOSVersion:B,Blob:$,URL:H,FileReader:V},Collection:cn,UniqCollection:dn,CollectionOfPromises:pn,imageLoader:yt,videoLoader:bt,log:x,debug:k,warn:E,warnOnce:S,registerMessage:Cn,unregisterMessage:Sn,unique:K,defer:Y,gcd:Z,once:X,wrapToPromise:Q,then:J,bindAll:ee,upperCase:te,publicCallbacks:ne,uuid:re,splitUrlRegex:ie,uuidRegex:oe,groupIdRegex:ae,cdnUrlRegex:se,splitCdnUrl:le,escapeRegExp:ue,globRegexp:ce,normalizeUrl:de,fitText:pe,fitSizeInCdnLimit:function(e){return fe(e,[2048,2048])},fitSize:fe,applyCropCoordsToInfo:he,fileInput:ge,fileSelectDialog:ve,fileSizeLabels:ye,readableFileSize:be,ajaxDefaults:we,jsonp:_e,canvasToBlob:xe,taskRunner:ke,fixedPipe:Ce,isFile:rn,valueToFile:on,image:{shrinkFile:St,shrinkImage:kt,drawFileToCanvas:At,readJpegChunks:Tt,replaceJpegChunk:Ot,getExif:Pt,parseExifOrientation:jt,hasTransparency:Bt},pusher:{getPusher:Kt},isFileGroup:an,valueToGroup:sn,isFileGroupsEqual:ln},settings:{globals:pt,build:ht,common:ft,waitForSettings:mt,CssCollector:gt},locale:mn,tabsCss:An,files:{BaseFile:zt,ObjectFile:qt,InputFile:$t,UrlFile:Yt,UploadedFile:Qt,ReadyFile:Jt,FileGroup:wn,SavedFileGroup:_n},Pusher:Ht,FileGroup:xn,loadFileGroup:kn,fileFrom:tn,filesFrom:nn,__exports:{},namespace:function(e,t){var n=On;if(e)for(var r=e.split("."),i=0,o=r.length;i<o;i++){var a=r[i];n[a]||(n[a]={}),n=n[a]}return t(n)},expose:function(e,t){for(var n=e.split("."),r=n.pop(),i=On.__exports,o=On,a=0,s=n.length;a<s;a++){var l=n[a];i[l]||(i[l]={}),i=i[l],o=null!=o?o[l]:void 0}i[r]=t||o[r]}};function Pn(e){return function(t){return t(e)}}var Dn={plugin:Pn(On),version:I,jQuery:n.default,defaults:o(o({},He),{},{allTabs:tt.tabs.all}),globals:ft,start:ft,fileFrom:tn,filesFrom:nn,FileGroup:xn,loadFileGroup:kn,locales:["en"]},Rn=/["'&<>]/,In=function(e){var t,n=""+e,r=Rn.exec(n);if(!r)return n;var i="",o=0,a=0;for(o=r.index;o<n.length;o++){switch(n.charCodeAt(o)){case 34:t="&quot;";break;case 38:t="&amp;";break;case 39:t="&#39;";break;case 60:t="&lt;";break;case 62:t="&gt;";break;default:continue}a!==o&&(i+=n.substring(a,o)),a=o+1,i+=t}return a!==o?i+n.substring(a,o):i};var Mn={dialog:function(){return'<div class="uploadcare--dialog"><div class="uploadcare--dialog__container"><button type="button" title="'.concat(mn.t("dialog.close"),'" aria-label="').concat(mn.t("dialog.close"),'" class="uploadcare--button uploadcare--button_icon uploadcare--button_muted uploadcare--dialog__close"><svg role="presentation" width="32" height="32" class="uploadcare--icon"><use xlink:href="#uploadcare--icon-close"></use></svg></button><div class="uploadcare--dialog__placeholder"></div></div><div class="uploadcare--powered-by uploadcare--dialog__powered-by">').concat(mn.t("dialog.footer.text"),' <a class="uploadcare--link uploadcare--powered-by__link" href="https://uploadcare.com/uploader/').concat(I,'/" target="_blank"><svg width="32" height="32" role="presentation" class="uploadcare--icon uploadcare--powered-by__logo"><use xlink:href="#uploadcare--icon-uploadcare"></use></svg> ').concat(mn.t("dialog.footer.link"),"</a></div></div>")},dialog__panel:function(){return'<div class="uploadcare--panel"><div class="uploadcare--menu uploadcare--panel__menu"><button type="button" title="'.concat(mn.t("dialog.openMenu"),'" aria-label="').concat(mn.t("dialog.openMenu"),'" class="uploadcare--button uploadcare--button_icon uploadcare--button_muted uploadcare--menu__toggle"><svg role="presentation" width="32" height="32" class="uploadcare--icon uploadcare--menu__toggle-icon uploadcare--menu__toggle-icon_menu"><use xlink:href="#uploadcare--icon-menu"></use></svg> <svg role="presentation" width="32" height="32" class="uploadcare--icon uploadcare--menu__toggle-icon uploadcare--menu__toggle-icon_back"><use xlink:href="#uploadcare--icon-back"></use></svg></button><div class="uploadcare--menu__items"></div></div><div class="uploadcare--panel__content"><div class="uploadcare--footer uploadcare--panel__footer"><div class="uploadcare--footer__additions uploadcare--panel__message"></div><button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--panel__show-files">').concat(mn.t("dialog.showFiles"),'<div class="uploadcare--panel__file-counter"></div></button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--panel__done">').concat(mn.t("dialog.done"),'</button></div><div class="uploadcare--powered-by uploadcare--panel__powered-by">').concat(mn.t("dialog.footer.text"),' <a class="uploadcare--link uploadcare--powered-by__link" href="https://uploadcare.com/uploader/').concat(I,'/" target="_blank"><svg width="32" height="32" role="presentation" class="uploadcare--icon uploadcare--powered-by__logo"><use xlink:href="#uploadcare--icon-uploadcare"></use></svg> ').concat(mn.t("dialog.footer.link"),"</a></div></div></div>")},progress__text:function(){return'<div class="uploadcare--progress__text-container"><div class="uploadcare--progress__text"></div></div>'},"tab-camera-capture":function(){return'<div class="uploadcare--tab__content"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title">'.concat(mn.t("dialog.tabs.camera.title"),'</div><div class="uploadcare--camera__controls"><button type="button" class="uploadcare--button uploadcare--button_size_big uploadcare--button_primary uploadcare--camera__button uploadcare--camera__button_type_photo">').concat(mn.t("dialog.tabs.camera.capture"),'</button> <button type="button" class="uploadcare--button uploadcare--button_size_big uploadcare--button_primary uploadcare--camera__button uploadcare--camera__button_type_video">').concat(mn.t("dialog.tabs.camera.startRecord"),"</button></div></div>")},"tab-camera":function(){return'<div class="uploadcare--tab__content"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title">'.concat(mn.t("dialog.tabs.camera.title"),'</div><select class="uploadcare--camera__device-select"></select><div class="uploadcare--media uploadcare--camera__video-container"><video muted class="uploadcare--media__video uploadcare--camera__video uploadcare--camera__video_mirrored"></video><button type="button" class="uploadcare--button uploadcare--button_size_small uploadcare--button_overlay uploadcare--camera__button uploadcare--camera__button_type_mirror">').concat(mn.t("dialog.tabs.camera.mirror"),'</button></div><div class="uploadcare--camera__controls"><button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--camera__button uploadcare--camera__button_type_start-record">').concat(mn.t("dialog.tabs.camera.startRecord"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--camera__button uploadcare--camera__button_type_capture">').concat(mn.t("dialog.tabs.camera.capture"),'</button> <button type="button" class="uploadcare--button uploadcare--camera__button uploadcare--camera__button_type_cancel-record">').concat(mn.t("dialog.tabs.camera.cancelRecord"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--camera__button uploadcare--camera__button_type_stop-record">').concat(mn.t("dialog.tabs.camera.stopRecord"),'</button></div><div class="uploadcare--camera__please-allow"><div class="uploadcare--text uploadcare--text_size_medium">').concat(mn.t("dialog.tabs.camera.pleaseAllow.title"),'</div><div class="uploadcare--text">').concat(mn.t("dialog.tabs.camera.pleaseAllow.text"),'</div></div><div class="uploadcare--camera__not-found"><div class="uploadcare--text uploadcare--text_size_medium">').concat(mn.t("dialog.tabs.camera.notFound.title"),'</div><div class="uploadcare--text">').concat(mn.t("dialog.tabs.camera.notFound.text"),'</div></div><button type="button" class="uploadcare--button uploadcare--camera__button uploadcare--camera__button_type_retry">').concat(mn.t("dialog.tabs.camera.retry"),"</button></div>")},"tab-file":function(){return'<div class="uploadcare--tab__content uploadcare--draganddrop"><div class="uploadcare--text uploadcare--text_size_extra-large uploadcare--dragging__show">'.concat(mn.t("draghere"),'</div><div class="uploadcare--draganddrop__title uploadcare--dragging__hide"><div class="uploadcare--draganddrop__supported"><div class="uploadcare--text uploadcare--text_size_extra-large">').concat(mn.t("dialog.tabs.file.drag"),'</div><div class="uploadcare--text uploadcare--text_size_small uploadcare--text_muted">').concat(mn.t("dialog.tabs.file.or"),'</div></div><div class="uploadcare--text uploadcare--text_size_large uploadcare--draganddrop__not-supported">').concat(mn.t("dialog.tabs.file.nodrop"),'</div></div><button type="button" class="uploadcare--button uploadcare--button_size_big uploadcare--button_primary uploadcare--tab__action-button needsclick uploadcare--dragging__hide">').concat(mn.t("dialog.tabs.file.button"),'</button><div class="uploadcare--file-sources uploadcare--dragging__hide"><div class="uploadcare--text uploadcare--text_size_small uploadcare--text_muted uploadcare--file-sources__caption">').concat(mn.t("dialog.tabs.file.also"),'</div><div class="uploadcare--file-sources__items"><button type="button" class="uploadcare--button uploadcare--button_icon uploadcare--file-source uploadcare--file-source_all uploadcare--file-sources__item"><svg role="presentation" width="32" height="32" class="uploadcare--icon"><use xlink:href="#uploadcare--icon-more"></use></svg></button></div></div></div>')},"tab-preview-error":function(e){var t=e.debugUploads,n=e.errorType,r=e.error;return'<div class="uploadcare--tab__content uploadcare--preview__content uploadcare--error"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title uploadcare--preview__title">'.concat(mn.t("dialog.tabs.preview.error."+n+".title")||mn.t("dialog.tabs.preview.error.default.title"),'</div><div class="uploadcare--text">').concat(t&&(null==r?void 0:r.message)||mn.t("serverErrors.".concat(null==r?void 0:r.code))||(null==r?void 0:r.message)||mn.t("dialog.tabs.preview.error."+n+".text")||mn.t("dialog.tabs.preview.error.default.text"),'</div><button type="button" class="uploadcare--button uploadcare--preview__back">').concat(mn.t("dialog.tabs.preview.error."+n+".back")||mn.t("dialog.tabs.preview.error.default.back"),"</button></div>")},"tab-preview-image":function(e){var t=e.src,n=e.name,r=void 0===n?"":n,i=e.crop;return'<div class="uploadcare--tab__header"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title uploadcare--preview__title">'.concat(mn.t("dialog.tabs.preview.image.title"),'</div></div><div class="uploadcare--tab__content uploadcare--preview__content"><div class="uploadcare--media"><img src="').concat(t,'" title="').concat(In(r),'" alt="').concat(In(r),'" class="uploadcare--media__image uploadcare--preview__image"></div></div><div class="uploadcare--footer uploadcare--tab__footer"><div class="uploadcare--footer__additions">').concat(i?'<div class="uploadcare--crop-sizes"><div role="button" tabindex="0" class="uploadcare--button uploadcare--button_icon uploadcare--crop-sizes__item" data-caption="free"><div class="uploadcare--crop-sizes__icon"></div></div></div>':"",'</div><button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--preview__back">').concat(mn.t("dialog.tabs.preview.image.change"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--preview__done">').concat(mn.t("dialog.tabs.preview.done"),"</button></div>")},"tab-preview-multiple-file":function(){return'<div class="uploadcare--file uploadcare--files__item uploadcare--file_status_uploading"><div class="uploadcare--file__description" tabindex="0"><div class="uploadcare--file__preview"></div><div class="uploadcare--file__name">'.concat(mn.t("dialog.tabs.preview.unknownName"),'</div><div class="uploadcare--file__size"></div><div class="uploadcare--file__error"></div></div><div class="uploadcare--file__progressbar"><div class="uploadcare--progressbar"><div class="uploadcare--progressbar__value"></div></div></div><button type="button" class="uploadcare--button uploadcare--button_icon uploadcare--button_muted uploadcare--file__remove"><svg role="presentation" width="32" height="32" class="uploadcare--icon"><use xlink:href="#uploadcare--icon-remove"></use></svg></button></div>')},"tab-preview-multiple":function(){return'<div class="uploadcare--tab__header"><div id="preview__title" class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title uploadcare--preview__title" role="status" aria-live="assertive"></div></div><div class="uploadcare--tab__content uploadcare--preview__content"><div class="uploadcare--files"></div></div><div class="uploadcare--footer uploadcare--tab__footer"><div class="uploadcare--footer__additions uploadcare--preview__message"></div><button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--preview__back">'.concat(mn.t("dialog.tabs.preview.multiple.clear"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--preview__done" aria-describedby="preview_title">').concat(mn.t("dialog.tabs.preview.multiple.done"),"</button></div>")},"tab-preview-regular":function(e){var t=e.file;return'<div class="uploadcare--tab__header"><div id="tab__title" class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title" role="status" aria-live="assertive">'.concat(mn.t("dialog.tabs.preview.regular.title"),'</div></div><div class="uploadcare--tab__content uploadcare--preview__content"><div class="uploadcare--text uploadcare--preview__file-name">').concat(In(t.name)||mn.t("dialog.tabs.preview.unknownName")," ").concat(be(t.size,"",", "),'</div></div><div class="uploadcare--footer uploadcare--tab__footer"><button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--preview__back">').concat(mn.t("dialog.tabs.preview.change"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--preview__done" aria-describedby="tab__title">').concat(mn.t("dialog.tabs.preview.done"),"</button></div>")},"tab-preview-unknown":function(){return'<div class="uploadcare--tab__header"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title uploadcare--preview__title">'.concat(mn.t("dialog.tabs.preview.unknown.title"),'</div></div><div class="uploadcare--tab__content uploadcare--preview__content"><div class="uploadcare--text uploadcare--preview__file-name"></div></div><div class="uploadcare--footer uploadcare--tab__footer"><button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--preview__back">').concat(mn.t("dialog.tabs.preview.change"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--preview__done">').concat(mn.t("dialog.tabs.preview.unknown.done"),"</button></div>")},"tab-preview-video":function(){return'<div class="uploadcare--tab__header"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title uploadcare--preview__title">'.concat(mn.t("dialog.tabs.preview.video.title"),'</div></div><div class="uploadcare--tab__content uploadcare--preview__content"><div class="uploadcare--media"><video controls class="uploadcare--media__video uploadcare--preview__video"></video></div></div><div class="uploadcare--footer uploadcare--tab__footer"><button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--preview__back">').concat(mn.t("dialog.tabs.preview.video.change"),'</button> <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--preview__done">').concat(mn.t("dialog.tabs.preview.done"),"</button></div>")},"tab-url":function(){return'<div class="uploadcare--tab__content"><div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title">'.concat(mn.t("dialog.tabs.url.title"),'</div><div class="uploadcare--text">').concat(mn.t("dialog.tabs.url.line1"),'</div><div class="uploadcare--text">').concat(mn.t("dialog.tabs.url.line2"),'</div><form class="uploadcare--form"><input type="text" class="uploadcare--input" placeholder="').concat(mn.t("dialog.tabs.url.input"),'"> <button type="submit" class="uploadcare--button uploadcare--button_primary uploadcare--tab__action-button" type="submit">').concat(mn.t("dialog.tabs.url.button"),"</button></form></div>")},"widget-button":function(e){var t=e.caption,n=e.name;return'<button type="button" class="uploadcare--widget__button uploadcare--widget__button_type_'.concat(n,'">').concat(t,"</button>")},"widget-file-name":function(e){var t=e.name,n=e.size;return'<div class="uploadcare--link uploadcare--widget__file-name" tabindex="0" role="link">'.concat(In(pe(t,20)),'</div><div class="uploadcare--widget__file-size">, ').concat(be(n),"</div>")},widget:function(){return'<div class="uploadcare--widget" aria-describedby="uploadcare--widget__text uploadcare--widget__progress"><div class="uploadcare--widget__dragndrop-area">'.concat(mn.t("draghere"),'</div><div id="uploadcare--widget__progress" class="uploadcare--widget__progress" role="progressbar" aria-valuenow="0" aria-valuemin="0" aria-valuemax="100"></div><div id="uploadcare--widget__text" class="uploadcare--widget__text" aria-live="polite"></div></div>')},icons:function(){return'<svg class="uploadcare--icons" width="0" height="0" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink"><symbol viewBox="0 0 32 32" id="uploadcare--icon-back" xmlns="http://www.w3.org/2000/svg"><path d="M21.132 9.06a1.5 1.5 0 0 0-2.122-2.12L9.88 16.07l9.06 9.061a1.5 1.5 0 1 0 2.122-2.121l-6.94-6.94 7.01-7.01Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-box" xmlns="http://www.w3.org/2000/svg"><path d="M4.962 9C4.385 9 4 9.384 4 9.96v8.243C4 20.793 6.213 23 8.811 23c1.829 0 3.464-1.043 4.33-2.578.866 1.535 2.406 2.578 4.33 2.578 2.695 0 4.812-2.206 4.812-4.797 0-2.686-2.117-4.886-4.811-4.886-1.829 0-3.465 1.043-4.33 2.578-.77-1.535-2.406-2.578-4.33-2.578a4.957 4.957 0 0 0-2.887.96V9.958c0-.48-.482-.959-.963-.959Zm17.08 4.257a.841.841 0 0 0-.33.15c-.385.288-.5.965-.211 1.349l2.526 3.357-2.526 3.358c-.289.384-.174 1.061.21 1.35.385.287 1.065.173 1.354-.21l2.105-2.879 2.105 2.878c.288.384.968.498 1.353.21.385-.288.499-.965.21-1.349l-2.526-3.358 2.526-3.357c.289-.384.175-1.061-.21-1.35-.385-.287-1.065-.203-1.353.18l-2.105 2.879-2.105-2.878c-.217-.288-.657-.406-1.023-.33Zm-13.23 2.068c1.539 0 2.886 1.344 2.886 2.878.096 1.535-1.25 2.878-2.887 2.878a2.89 2.89 0 0 1-2.886-2.878c0-1.63 1.347-2.878 2.886-2.878Zm8.66 0a2.89 2.89 0 0 1 2.886 2.878c0 1.535-1.347 2.878-2.886 2.878a2.89 2.89 0 0 1-2.887-2.878c0-1.63 1.347-2.878 2.887-2.878Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-camera" xmlns="http://www.w3.org/2000/svg"><path d="M21 10h3c1.653 0 3 1.343 3 3v9c0 1.656-1.344 3-3.001 3H8A3 3 0 0 1 5 22v-9a3 3 0 0 1 3-3h3v-.999C11 7.901 11.895 7 13 7h6c1.113 0 2 .896 2 2.001V10Zm-5 12a4.5 4.5 0 1 0 0-9 4.5 4.5 0 0 0 0 9Zm0-2a2.5 2.5 0 1 1 0-5 2.5 2.5 0 0 1 0 5Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-close" xmlns="http://www.w3.org/2000/svg"><path d="M10.06 7.94a1.5 1.5 0 0 0-2.12 2.12L13.878 16l-5.94 5.94a1.5 1.5 0 0 0 2.122 2.12L16 18.122l5.94 5.94a1.5 1.5 0 0 0 2.12-2.122L18.122 16l5.94-5.94a1.5 1.5 0 0 0-2.122-2.12L16 13.878l-5.94-5.94Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-crop-free" xmlns="http://www.w3.org/2000/svg"><path d="M8 12a2.004 2.004 0 0 1-2-2.01V8.01C6 6.897 6.893 6 8.01 6h1.98c1.109 0 2.005.888 2.01 2h8c.005-1.107.896-2 2.01-2h1.98C25.103 6 26 6.893 26 8.01v1.98A2.004 2.004 0 0 1 24 12v8c1.107.005 2 .896 2 2.01v1.98c0 1.112-.893 2.01-2.01 2.01h-1.98A2.004 2.004 0 0 1 20 24h-8a2.004 2.004 0 0 1-2.01 2H8.01A2.004 2.004 0 0 1 6 23.99v-1.98c0-1.109.888-2.005 2-2.01v-8Zm2 0v8a2.004 2.004 0 0 1 2 2h8a2.004 2.004 0 0 1 2-2v-8a2.004 2.004 0 0 1-2-2h-8a2.004 2.004 0 0 1-2 2Zm12 10.01v1.98c0 .01 0 .01.01.01h1.98c.01 0 .01 0 .01-.01v-1.98c0-.01 0-.01-.01-.01h-1.98c-.01 0-.01 0-.01.01Zm0-14v1.98c0 .01 0 .01.01.01h1.98c.01 0 .01 0 .01-.01V8.01C24 8 24 8 23.99 8h-1.98C22 8 22 8 22 8.01Zm-14 14v1.98c0 .01 0 .01.01.01h1.98c.01 0 .01 0 .01-.01v-1.98c0-.01 0-.01-.01-.01H8.01C8 22 8 22 8 22.01Zm0-14v1.98c0 .01 0 .01.01.01h1.98c.01 0 .01 0 .01-.01V8.01C10 8 10 8 9.99 8H8.01C8 8 8 8 8 8.01Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-dropbox" xmlns="http://www.w3.org/2000/svg"><path d="M9.5 5 16 9.141l-6.5 4.141L3 9.141 9.5 5Zm13 0L29 9.141l-6.5 4.141L16 9.141 22.5 5ZM3 17.423l6.5-4.141 6.5 4.141-6.5 4.141L3 17.423Zm19.5-4.141 6.5 4.141-6.5 4.141-6.5-4.141 6.5-4.141Zm-13 9.662 6.5-4.14 6.5 4.14-6.5 4.141-6.5-4.14Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-empty-pubkey" xmlns="http://www.w3.org/2000/svg"><path d="M16 31C7.716 31 1 24.284 1 16 1 7.716 7.716 1 16 1c8.284 0 15 6.716 15 15 0 8.284-6.716 15-15 15Zm0-13.704a1.296 1.296 0 1 0 0-2.592 1.296 1.296 0 0 0 0 2.592Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-error" xmlns="http://www.w3.org/2000/svg"><path d="M18.122 23.93V21a.583.583 0 0 0-.179-.434.585.585 0 0 0-.423-.175h-2.616a.585.585 0 0 0-.424.175.583.583 0 0 0-.179.434v2.93c0 .172.06.316.18.433.118.117.26.175.423.175h2.616a.585.585 0 0 0 .423-.175.583.583 0 0 0 .18-.434Zm-.037-6.326.339-9.05a.404.404 0 0 0-.189-.351c-.163-.135-.313-.203-.452-.203H14.64c-.138 0-.288.068-.452.203-.125.086-.188.215-.188.388l.32 9.013c0 .123.063.224.188.304.126.08.277.12.452.12h2.484c.176 0 .324-.04.443-.12a.41.41 0 0 0 .198-.304Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-evernote" xmlns="http://www.w3.org/2000/svg"><path d="M7.998 8.648h2.245a.233.233 0 0 0 .232-.233s-.028-1.931-.028-2.468v-.006c0-.441.093-.825.253-1.148l.077-.144a.05.05 0 0 0-.026.014l-4.359 4.34a.05.05 0 0 0-.016.025c.09-.044.213-.106.23-.113.38-.172.84-.267 1.392-.267M24.196 6.56c-.553-.2-1.675-.408-3.084-.575-1.134-.134-2.467-.123-3.272-.098-.097-.665-.56-1.272-1.08-1.482-1.384-.56-3.523-.424-4.071-.27-.437.123-.92.373-1.188.76-.18.258-.297.59-.298 1.051 0 .262.007.878.014 1.426.006.548.014 1.04.014 1.043a.887.887 0 0 1-.884.888H8.103c-.479 0-.845.08-1.124.208-.28.127-.478.3-.628.503-.3.404-.352.902-.351 1.411 0 0 .004.416.104 1.22.083.622.756 4.971 1.394 6.294.248.514.413.73.9.956 1.083.466 3.559.984 4.72 1.133 1.158.148 1.885.46 2.318-.451.002-.003.087-.227.204-.557.377-1.144.43-2.16.43-2.894 0-.075.108-.078.108 0 0 .519-.098 2.354 1.283 2.847.545.194 1.676.367 2.826.502 1.039.12 1.793.53 1.793 3.208 0 1.628-.34 1.851-2.122 1.851-1.444 0-1.994.038-1.994-1.113 0-.932.917-.834 1.596-.834.304 0 .083-.226.083-.8 0-.572.357-.902.02-.91-2.35-.066-3.733-.003-3.733 2.947 0 2.679 1.021 3.176 4.357 3.176 2.614 0 3.536-.086 4.616-3.45.213-.663.73-2.69 1.043-6.092.197-2.15-.187-8.644-.491-10.282-.178-.958-.746-1.43-1.259-1.616Zm-3.3 8.792a4.75 4.75 0 0 0-.923.056c.081-.66.353-1.473 1.316-1.439 1.066.037 1.216 1.049 1.22 1.734-.45-.201-1.006-.33-1.613-.35"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-facebook" xmlns="http://www.w3.org/2000/svg"><path d="M28 16c0-6.627-5.373-12-12-12S4 9.373 4 16c0 5.99 4.388 10.954 10.125 11.854V19.47h-3.047V16h3.047v-2.644c0-3.007 1.792-4.668 4.533-4.668 1.312 0 2.686.234 2.686.234v2.953H19.83c-1.491 0-1.956.925-1.956 1.875V16h3.328l-.532 3.469h-2.796v8.385C23.612 26.954 28 21.99 28 16Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-file" xmlns="http://www.w3.org/2000/svg"><path d="m19 6 5 5h-4c-.556 0-1-.448-1-1V6Zm5 7v11.192c0 .995-.808 1.808-1.804 1.808H9.804A1.808 1.808 0 0 1 8 24.2V7.74C8 6.602 8.627 6 9.778 6H17v4.994c0 1.12.898 2.006 2.006 2.006H24Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-flickr" xmlns="http://www.w3.org/2000/svg"><path d="M11 20c-2.212 0-4-1.79-4-4s1.79-4 4-4a4 4 0 0 1 0 8Zm10.001 0a4 4 0 1 1-.002-8 4 4 0 0 1 .002 8Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-gdrive" xmlns="http://www.w3.org/2000/svg"><path d="m19.461 6 7.109 12h-7.004L12.539 6h6.922Zm-9.27 19 3.467-6H27l-3.466 6H10.192ZM5 18.841l6.618-11.36 3.566 5.929-6.722 11.36L5 18.84Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-gphotos" xmlns="http://www.w3.org/2000/svg"><path fill-rule="evenodd" clip-rule="evenodd" d="M15.318 11.39V4.681A.682.682 0 0 1 16 4h.682a6.341 6.341 0 0 1 3.929 11.318h6.707A.682.682 0 0 1 28 16v.682a6.342 6.342 0 0 1-11.318 3.929v6.707A.682.682 0 0 1 16 28h-.682a6.342 6.342 0 0 1-3.929-11.318H4.682A.682.682 0 0 1 4 16v-.682a6.341 6.341 0 0 1 11.318-3.929Zm1.362 5.292a4.977 4.977 0 1 0 9.955 0H16.68Zm0-11.318a4.977 4.977 0 0 1 0 9.954V5.364Zm-1.362 9.954H5.364a4.977 4.977 0 1 1 9.954 0Zm0 1.362v9.956a4.977 4.977 0 0 1-.056-9.954l.056-.002Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-huddle" xmlns="http://www.w3.org/2000/svg"><path d="M13.63 14.39c.07-.102.17-.26.2-.313 1.474-2.683 6.857-2.686 8.49 1.002.43.968.67 1.97.675 3.023.008 1.978.004 3.957.002 5.936 0 1.192-.68 1.945-1.763 1.962-1.087.016-1.856-.766-1.865-1.944-.014-1.874.003-3.749-.006-5.623-.006-1.351-.654-2.388-1.719-2.793-1.775-.675-3.59.305-3.892 2.159-.122.747-.104 1.52-.114 2.281-.016 1.336-.002 2.673-.005 4.01-.003 1.125-.669 1.866-1.707 1.907-1.06.042-1.828-.668-1.922-1.78-.007-.086-.003-.173-.003-.26 0-5.31-.002-10.622.002-15.932 0-1.2.731-2.016 1.79-2.025 1.05-.01 1.832.74 1.837 1.792.01 2.013.003 4.026.005 6.04 0 .12.002.391-.005.558"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-instagram" xmlns="http://www.w3.org/2000/svg"><path d="M16 5c2.987 0 3.362.013 4.535.066 1.171.054 1.97.24 2.67.511a5.391 5.391 0 0 1 1.949 1.27 5.392 5.392 0 0 1 1.269 1.948c.272.7.457 1.499.51 2.67.054 1.173.067 1.548.067 4.535s-.013 3.362-.066 4.535c-.054 1.171-.24 1.97-.511 2.67a5.392 5.392 0 0 1-1.27 1.949 5.391 5.391 0 0 1-1.948 1.269c-.7.271-1.499.457-2.67.51-1.173.054-1.548.067-4.535.067s-3.362-.013-4.535-.066c-1.171-.054-1.97-.24-2.67-.511a5.392 5.392 0 0 1-1.949-1.27 5.391 5.391 0 0 1-1.268-1.948c-.273-.7-.458-1.499-.512-2.67C5.013 19.362 5 18.987 5 16s.013-3.362.066-4.535c.054-1.171.24-1.97.512-2.67a5.391 5.391 0 0 1 1.268-1.949 5.392 5.392 0 0 1 1.949-1.269c.7-.271 1.499-.457 2.67-.51C12.638 5.012 13.013 5 16 5Zm0 1.982c-2.937 0-3.285.011-4.445.064-1.072.049-1.655.228-2.042.379-.514.2-.88.438-1.265.823a3.41 3.41 0 0 0-.823 1.264c-.15.388-.33.97-.379 2.043-.053 1.16-.064 1.508-.064 4.445 0 2.937.011 3.285.064 4.445.049 1.072.228 1.655.379 2.043.2.513.438.88.823 1.264.385.385.751.624 1.265.823.387.15.97.33 2.042.379 1.16.053 1.508.064 4.445.064 2.937 0 3.285-.011 4.445-.064 1.072-.049 1.655-.228 2.042-.379.514-.2.88-.438 1.265-.823.385-.385.624-.751.823-1.264.15-.388.33-.97.379-2.043.053-1.16.064-1.508.064-4.445 0-2.937-.011-3.285-.064-4.445-.049-1.072-.228-1.655-.379-2.043-.2-.513-.438-.88-.823-1.264a3.408 3.408 0 0 0-1.265-.823c-.387-.15-.97-.33-2.042-.379-1.16-.053-1.508-.064-4.445-.064Zm0 3.37a5.649 5.649 0 1 1 0 11.297 5.649 5.649 0 0 1 0-11.298Zm0 9.315a3.667 3.667 0 1 0 0-7.334 3.667 3.667 0 0 0 0 7.334Zm7.192-9.539a1.32 1.32 0 1 1-2.64 0 1.32 1.32 0 0 1 2.64 0Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-menu" xmlns="http://www.w3.org/2000/svg"><path d="M7.5 10a1.5 1.5 0 0 1 0-3h17a1.5 1.5 0 0 1 0 3h-17Zm0 7a1.5 1.5 0 0 1 0-3h17a1.5 1.5 0 0 1 0 3h-17Zm0 7a1.5 1.5 0 0 1 0-3h17a1.5 1.5 0 0 1 0 3h-17Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-more" xmlns="http://www.w3.org/2000/svg"><path d="M21 16a3 3 0 1 1 6 0 3 3 0 0 1-6 0Zm-8 0a3 3 0 1 1 6 0 3 3 0 0 1-6 0Zm-8 0a3 3 0 1 1 6 0 3 3 0 0 1-6 0Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-nft" xmlns="http://www.w3.org/2000/svg"><path d="M15.76 3.252c-.059.138-1.805 3.07-3.881 6.515-2.077 3.446-3.793 6.31-3.814 6.365-.028.072 1.1.773 3.955 2.46l3.995 2.36 3.992-2.36c2.87-1.697 3.983-2.39 3.955-2.463C23.817 15.753 16.052 3 15.968 3c-.057 0-.151.113-.209.252ZM8.073 17.829c.154.263 7.908 11.172 7.94 11.171.053-.002 7.98-11.203 7.95-11.234-.03-.029-7.439 4.335-7.748 4.563l-.19.14-3.835-2.265a734.48 734.48 0 0 1-4.01-2.377c-.158-.101-.167-.101-.107.002Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-onedrive" xmlns="http://www.w3.org/2000/svg"><path d="M11.715 16.427c.584-2.413 2.699-4.177 5.209-4.177 1.483 0 2.873.621 3.878 1.7.425-.14.922-.248 1.364-.258v-.16c0-3.054-2.214-5.532-4.944-5.532-1.952 0-3.624 1.278-4.428 3.115a3.55 3.55 0 0 0-2.033-.658c-2.142 0-3.877 1.94-3.877 4.336 0 .258.028.51.068.754-1.652.167-2.946 1.9-2.946 3.79 0 .02.005.037.005.056-.001.017-.011.035-.011.052 0 .757.257 1.449.673 2.007a3.14 3.14 0 0 0 2.568 1.317h1.513a4.49 4.49 0 0 1-.477-1.987c-.001-2.138 1.476-3.93 3.438-4.355Zm13.752 2.375c-.03 0-.06.01-.09.01.008-.09.026-.18.026-.273 0-1.812-1.431-3.279-3.198-3.279-.703 0-1.347.24-1.877.635-.655-1.249-1.924-2.107-3.405-2.107-2.146 0-3.885 1.784-3.885 3.984 0 .029.008.053.009.082a2.764 2.764 0 0 0-.431-.045c-1.602 0-2.898 1.33-2.898 2.973 0 .205.02.406.059.599C10.05 22.87 11.322 24 12.856 24h12.847v-.023C26.99 23.85 28 22.753 28 21.402c0-1.435-1.134-2.6-2.533-2.6Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-remove" xmlns="http://www.w3.org/2000/svg"><path d="M22.142 24.009c-.078 1.1-1.044 1.991-2.15 1.991h-7.983c-1.11 0-2.073-.897-2.151-1.991l-.786-11.002A.924.924 0 0 1 10.007 12h11.986c.556 0 .975.45.935 1.007l-.786 11.002ZM13 7V6c0-.556.444-1 .99-1h4.02A1 1 0 0 1 19 6v1h4c.556 0 1 .447 1 .999v1.002A.997.997 0 0 1 23 10H9c-.555 0-1-.447-1-.999V7.999A.996.996 0 0 1 9 7h4Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-uploadcare" xmlns="http://www.w3.org/2000/svg"><path fill="#ffd800" d="M16 31C7.716 31 1 24.284 1 16 1 7.716 7.716 1 16 1c8.284 0 15 6.716 15 15 0 8.284-6.716 15-15 15Zm0-13.704a1.296 1.296 0 1 0 0-2.592 1.296 1.296 0 0 0 0 2.592Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-url" xmlns="http://www.w3.org/2000/svg"><path d="M16 5c6.074 0 11 4.926 11 11s-4.926 11-11 11S5 22.074 5 16 9.926 5 16 5Zm6.076 6.327a.992.992 0 1 0-1.403-1.403l-1.128 1.128c-1.431-.92-3.47-.768-4.697.461l-3.186 3.185a3.7 3.7 0 0 0-1.09 2.636c0 .748.22 1.46.624 2.067l-1.272 1.272a.992.992 0 1 0 1.402 1.403l1.273-1.272c.606.405 1.32.623 2.067.623.997 0 1.933-.386 2.634-1.089l3.187-3.186a3.729 3.729 0 0 0 .464-4.7l1.125-1.125Zm-4.252 3.841a.982.982 0 0 0 .701-.29l.95-.95c.067.188.114.385.114.591 0 .466-.178.904-.505 1.23l-3.186 3.187c-.472.47-1.197.588-1.813.382l.793-.792a.992.992 0 1 0-1.404-1.404l-.801.802a1.752 1.752 0 0 1-.115-.59c0-.468.179-.905.506-1.232l3.186-3.186a1.736 1.736 0 0 1 1.23-.507c.207 0 .404.049.592.116l-.948.95a.992.992 0 0 0 .7 1.693Z"/></symbol><symbol viewBox="0 0 32 32" id="uploadcare--icon-vk" xmlns="http://www.w3.org/2000/svg"><path d="M27.791 21.484c-.416-.767-1.212-1.708-2.386-2.824l-.038-.038c-.558-.532-.895-.882-1.037-1.06-.258-.341-.316-.686-.175-1.036.1-.264.475-.821 1.125-1.673.341-.451.612-.813.812-1.086 1.441-1.959 2.066-3.21 1.874-3.756l-.074-.127c-.05-.077-.18-.147-.387-.211-.209-.064-.475-.075-.8-.032l-3.599.025a.457.457 0 0 0-.25.007l-.163.038-.062.032-.05.039a.56.56 0 0 0-.137.134.882.882 0 0 0-.125.223 21.072 21.072 0 0 1-1.337 2.875 27.31 27.31 0 0 1-.85 1.373c-.258.388-.475.673-.65.856a4.57 4.57 0 0 1-.475.44c-.141.112-.25.158-.324.141a8.987 8.987 0 0 1-.213-.05.843.843 0 0 1-.281-.314 1.425 1.425 0 0 1-.144-.498c-.025-.2-.04-.373-.044-.518-.003-.144-.002-.349.007-.613.008-.264.012-.443.012-.536 0-.324.007-.675.019-1.054l.031-.901c.009-.222.013-.456.013-.703 0-.247-.015-.44-.044-.581a2.02 2.02 0 0 0-.131-.409.684.684 0 0 0-.256-.307 1.426 1.426 0 0 0-.419-.172c-.441-.102-1.004-.158-1.687-.166-1.55-.017-2.545.085-2.986.307a1.69 1.69 0 0 0-.475.383c-.15.187-.171.29-.063.306.5.077.854.26 1.062.55l.075.153c.059.11.117.307.175.588.059.28.096.592.113.932.041.622.041 1.154 0 1.597-.042.443-.081.788-.119 1.035a2.107 2.107 0 0 1-.169.6 2.55 2.55 0 0 1-.15.281.217.217 0 0 1-.062.064.918.918 0 0 1-.337.064c-.117 0-.259-.06-.425-.179a3.024 3.024 0 0 1-.519-.492c-.179-.208-.38-.5-.606-.875a15.385 15.385 0 0 1-.7-1.328l-.2-.37a32.156 32.156 0 0 1-.512-1.042 20.306 20.306 0 0 1-.575-1.323.84.84 0 0 0-.3-.408l-.062-.039a.85.85 0 0 0-.2-.108 1.304 1.304 0 0 0-.287-.083L4.8 9.64c-.35 0-.587.081-.712.243l-.05.077a.421.421 0 0 0-.038.204c0 .094.025.209.075.345.5 1.201 1.043 2.36 1.63 3.475C6.294 15.1 6.804 16 7.237 16.68c.433.681.875 1.324 1.325 1.929.45.604.748.992.893 1.162.146.17.26.298.344.384l.312.306c.2.205.494.45.881.735.388.285.817.566 1.287.843.471.277 1.019.503 1.644.677a5.564 5.564 0 0 0 1.824.211h1.437c.292-.026.512-.12.662-.281l.05-.064a.858.858 0 0 0 .094-.236c.029-.107.044-.224.044-.351a4.301 4.301 0 0 1 .08-.99c.063-.294.134-.516.213-.665a1.632 1.632 0 0 1 .482-.562.806.806 0 0 1 .1-.045c.2-.068.434-.002.705.199.271.2.525.447.763.74.237.295.522.625.856.99.333.367.625.64.874.818l.25.154c.167.102.384.196.65.28.266.086.5.107.7.065l3.199-.051c.316 0 .562-.054.737-.16.175-.107.279-.224.312-.351.034-.128.035-.273.007-.435a1.632 1.632 0 0 0-.088-.338 1.694 1.694 0 0 0-.082-.16Z"/></symbol></svg>'},styles:function(){return'.uploadcare--jcrop-handle{background:transparent;box-sizing:border-box;height:45px;padding:12.5px;width:45px;z-index:2147483621}.uploadcare--jcrop-handle:before{background:#fff;border-radius:50%;box-shadow:inset 0 0 0 1px rgba(0,0,0,.2);content:"";display:block;height:20px;width:20px}@media (min-width:760px){.uploadcare--jcrop-handle:before{height:15px;width:15px}}.uploadcare--jcrop-handle.ord-nw{left:0;margin-left:-22.5px;margin-top:-22.5px;top:0}@media (min-width:760px){.uploadcare--jcrop-handle.ord-nw{margin-left:-17.5px;margin-top:-17.5px}}.uploadcare--jcrop-handle.ord-ne{margin-right:-22.5px;margin-top:-22.5px;right:0;top:0}@media (min-width:760px){.uploadcare--jcrop-handle.ord-ne{margin-right:-17.5px;margin-top:-17.5px}}.uploadcare--jcrop-handle.ord-se{bottom:0;margin-bottom:-22.5px;margin-right:-22.5px;right:0}@media (min-width:760px){.uploadcare--jcrop-handle.ord-se{margin-bottom:-17.5px;margin-right:-17.5px}}.uploadcare--jcrop-handle.ord-sw{bottom:0;left:0;margin-bottom:-22.5px;margin-left:-22.5px}@media (min-width:760px){.uploadcare--jcrop-handle.ord-sw{margin-bottom:-17.5px;margin-left:-17.5px}.uploadcare--jcrop-handle{height:35px;padding:10px;width:35px}}.uploadcare--jcrop-hline{background-color:#fff;box-shadow:0 0 0 1px rgba(0,0,0,.2);height:1px!important;width:100%;z-index:2147483620}.uploadcare--jcrop-hline.bottom{bottom:0}.uploadcare--jcrop-vline{background-color:#fff;box-shadow:0 0 0 1px rgba(0,0,0,.2);height:100%;width:1px!important;z-index:2147483620}.uploadcare--jcrop-vline.right{right:0}.uploadcare--jcrop-tracker{-webkit-tap-highlight-color:transparent;-webkit-touch-callout:none;height:100%;-webkit-user-select:none;-ms-user-select:none;user-select:none;width:100%}.uploadcare--jcrop-holder img{max-height:none;max-width:none}.uploadcare--text{font-size:17.5px;line-height:25px;margin:0 0 10px;padding:0}.uploadcare--text:empty{display:none}.uploadcare--text_muted{color:#a4a2a1}.uploadcare--text_pre{background:#e3e1e1;box-sizing:border-box;font-family:monospace;max-width:100%;overflow-x:auto;padding:20px;text-align:left;white-space:pre}.uploadcare--text_size_small{font-size:15px;line-height:20px}.uploadcare--text_size_medium{font-size:20px;font-weight:700;line-height:25px}.uploadcare--text_size_large{font-size:22px;font-weight:700;line-height:25px}.uploadcare--text_size_extra-large{font-size:35px;line-height:45px}@media (min-width:760px){.uploadcare--text_size_extra-large{font-size:42.5px;line-height:50px}}.uploadcare--icon{fill:currentColor;all:initial;color:inherit;cursor:inherit;height:32px;margin:0;max-width:100%;padding:0;width:32px}.uploadcare--link,.uploadcare--link:link,.uploadcare--link:visited{color:#157cfc;cursor:pointer;text-decoration:underline}.uploadcare--link:focus,.uploadcare--link:hover{color:#3891ff;text-decoration:none}.uploadcare--link:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:1px}.uploadcare--link:active{color:#0969ee}.uploadcare--button{-ms-flex-negative:0;all:initial;background:transparent;border:1px solid #157cfc;border-radius:6px;box-shadow:none;box-sizing:border-box;color:#157cfc;cursor:default;display:inline-block;flex-shrink:0;font-family:inherit;font-size:15px;font-style:normal;font-weight:400;height:auto;line-height:20px;margin:0;min-height:0;min-width:100px;overflow:hidden;padding:10px;text-align:center;text-overflow:ellipsis;text-shadow:none;transition:background .3s,color .3s,border .3s;white-space:nowrap;width:auto}.uploadcare--button svg{pointer-events:none}.uploadcare--button:focus,.uploadcare--button:hover{background:transparent;border-color:#3891ff;box-shadow:none;color:#3891ff;font-style:normal;font-weight:400;text-shadow:none}.uploadcare--button:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:1px}.uploadcare--button:active{border-color:#0969ee;color:#0969ee}.uploadcare--button:disabled,.uploadcare--button[aria-disabled=true]{background:transparent!important;border-color:#d4d2d2!important;color:#d4d2d2!important;cursor:not-allowed}@media (min-width:760px){.uploadcare--button{font-size:17.5px;line-height:25px;padding:10px 20px}}.uploadcare--button_icon{height:60px;min-width:0;padding:14px;width:60px}.uploadcare--button_muted{border-color:transparent!important;border-radius:0;color:#a4a2a1}.uploadcare--button_muted:focus,.uploadcare--button_muted:hover{color:#157cfc}.uploadcare--button_muted:disabled,.uploadcare--button_muted[aria-disabled=true]{border-color:transparent!important}.uploadcare--button_overlay{background:rgba(53,53,53,.5);border-color:transparent;color:#fff}.uploadcare--button_overlay:focus,.uploadcare--button_overlay:hover{background:hsla(0,0%,40%,.5);border-color:transparent;color:#fff}.uploadcare--button_overlay:active{background:rgba(33,33,33,.5)}.uploadcare--button_primary{background:#157cfc;border-color:#157cfc;color:#fff}.uploadcare--button_primary:focus,.uploadcare--button_primary:hover{background:#3891ff;border-color:#3891ff;color:#fff}.uploadcare--button_primary:active{background:#0969ee;border-color:#0969ee}.uploadcare--button_primary:disabled,.uploadcare--button_primary[aria-disabled=true]{background:#d4d2d2!important;border-color:#d4d2d2!important;color:#fff!important}.uploadcare--button_size_big{font-size:20px;line-height:30px;padding:10px 25px}.uploadcare--button_size_small{font-size:15px;line-height:20px;min-width:80px;padding:5px 10px}.uploadcare--input{-ms-flex-negative:0;-webkit-appearance:none;appearance:none;background:#fff;border:1px solid #a4a2a1;border-radius:2px;box-shadow:none;box-sizing:border-box;color:#353535;display:block;flex-shrink:0;font-size:17.5px;line-height:25px;margin:5px 0 15px;padding:10px 15px;width:100%}.uploadcare--input:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:1px}.uploadcare--input:-ms-input-placeholder{color:#a4a2a1}.uploadcare--input::placeholder{color:#a4a2a1}.uploadcare--form{-ms-flex-align:center;align-items:center;box-sizing:border-box;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;max-width:400px;width:100%}.uploadcare--error{color:#e66a6a}.uploadcare--powered-by{-ms-flex-pack:center;-ms-flex-align:center;align-items:center;color:#fff;display:-ms-flexbox;display:flex;-ms-flex-direction:row;flex-direction:row;font-size:12.5px;justify-content:center;line-height:15px;padding:7.5px 0;text-align:center}.uploadcare--powered-by__link{color:inherit!important;display:-ms-inline-flexbox;display:inline-flex;text-decoration:none!important}.uploadcare--powered-by__logo{display:-ms-inline-flexbox;display:inline-flex;height:15px;vertical-align:text-bottom;width:20px}.uploadcare--progress__canvas{height:100%;width:100%}.uploadcare--progress__text{display:table-cell;font-size:60%;line-height:1;text-align:center;vertical-align:middle}.uploadcare--progress__text-container{display:table;height:100%;white-space:normal;width:100%}.uploadcare--progress_type_canvas{border-color:#e3e1e1;color:#ffd800;height:32px;padding:2px;width:32px}.uploadcare--progressbar{background:#e3e1e1;border-radius:6px;height:100%;overflow:hidden;width:100%}.uploadcare--progressbar__value{background:#ffd800;height:100%;width:0}.uploadcare--menu{-ms-flex-negative:0;flex-shrink:0;height:100%;max-width:100%;overflow:hidden;width:100%;width:100vw}@media (max-width:759px){.uploadcare--menu{height:60px}}@media (min-width:760px){.uploadcare--menu{width:60px}}.uploadcare--menu__icon{-ms-flex-negative:0;flex-shrink:0;margin:14px}@media (max-width:759px){.uploadcare--menu:not(.uploadcare--menu_opened) .uploadcare--menu__item_current:not(.uploadcare--menu__item_tab_file) .uploadcare--menu__icon{left:50%;margin-left:0;position:absolute;top:0;transform:translateX(-50%)}}.uploadcare--menu__item{-ms-flex-negative:0;-ms-flex-align:center;align-items:center;background:#e3e1e1;color:#666;cursor:default;display:-ms-flexbox;display:flex;flex-shrink:0;height:60px;overflow:hidden;transition:background .3s,color .3s;width:100vw}.uploadcare--menu__item:focus,.uploadcare--menu__item:hover{color:#157cfc}.uploadcare--menu__item:active{background-color:#fff;color:#0969ee}.uploadcare--menu__item:focus{box-shadow:inset 0 0 0 2px rgba(21,124,252,.5);outline:none}.uploadcare--menu__item[aria-disabled=true]{background:#e3e1e1!important;color:#666!important;cursor:not-allowed}@media (min-width:760px){.uploadcare--menu__item{width:60px}}.uploadcare--menu__item:after{-ms-flex-negative:0;content:attr(title);display:-ms-flexbox;display:flex;flex-shrink:0;font-size:17px;height:30px;line-height:30px;white-space:nowrap}@media (min-width:760px){.uploadcare--menu__item:after{max-width:170px;overflow:hidden;text-overflow:ellipsis}}.uploadcare--menu__item_current,.uploadcare--menu__item_current:active,.uploadcare--menu__item_current:focus,.uploadcare--menu__item_current:hover{background-color:#fff;color:#353535}.uploadcare--menu__item_hidden{display:none}.uploadcare--menu__items{background:#e3e1e1;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;height:100%;overflow-x:hidden;overflow-y:auto;padding-right:100vw;width:100%}.uploadcare--menu__toggle{height:60px;transition:none;width:60px}.uploadcare--menu__toggle:focus{outline-offset:-2px}@media (min-width:760px){.uploadcare--menu__toggle{display:none}}@media (max-width:759px){.uploadcare--menu:not(.uploadcare--menu_opened) .uploadcare--menu__toggle-icon_back{display:none}.uploadcare--menu_opened{height:100%;position:relative;z-index:1000}.uploadcare--menu_opened .uploadcare--menu__toggle{-ms-flex-pack:start;background:#e3e1e1;justify-content:flex-start;text-align:left;width:100%}.uploadcare--menu_opened .uploadcare--menu__toggle-icon_menu{display:none}.uploadcare--menu_opened .uploadcare--menu__items{height:calc(100% - 60px)}}.uploadcare--footer{-ms-flex-negative:0;-ms-flex-pack:justify;-ms-flex-align:center;align-items:center;box-sizing:border-box;display:-ms-flexbox;display:flex;flex-shrink:0;justify-content:space-between;margin-top:10px;padding:5px 20px 15px;width:100%}@media (max-width:759px) and (orientation:portrait){.uploadcare--footer{display:block}}.uploadcare--footer:empty{display:none}.uploadcare--footer__additions{-ms-flex-positive:1;-ms-flex-order:1;-ms-flex-pack:center;-ms-flex-align:center;align-items:center;box-sizing:border-box;display:-ms-flexbox;display:flex;flex-grow:1;justify-content:center;order:1;padding:0 0 15px;text-align:center}@media (max-width:759px) and (orientation:landscape){.uploadcare--footer__additions{padding:0 20px}}@media (max-width:500px) and (orientation:landscape){.uploadcare--footer__additions{display:none}}@media (min-width:760px){.uploadcare--footer__additions{padding:0 20px}}.uploadcare--footer__additions:empty{padding:0;visibility:hidden}.uploadcare--footer__button{margin-bottom:5px;margin-top:5px}.uploadcare--footer__button:first-of-type{-ms-flex-order:0;order:0}.uploadcare--footer__button:nth-of-type(2){-ms-flex-order:2;order:2}@media (max-width:759px){.uploadcare--footer__button{max-width:calc(50% - 20px)}}@media (max-width:759px) and (orientation:portrait){.uploadcare--footer__button:first-of-type{float:left}.uploadcare--footer__button:nth-of-type(2){float:right}}.uploadcare--dragging .uploadcare--draganddrop{background:#e3e1e1;border:2px dashed;border-radius:20px;color:#a4a2a1;margin:20px}.uploadcare--draganddrop.uploadcare--dragging{color:#157cfc!important}@media (max-width:759px){.uploadcare--draganddrop__title{display:none}}.uploadcare--draganddrop:not(.uploadcare--draganddrop_supported) .uploadcare--draganddrop__supported,.uploadcare--draganddrop_supported .uploadcare--draganddrop__not-supported,.uploadcare--dragging .uploadcare--dialog__close,.uploadcare--dragging__show{display:none}.uploadcare--dragging .uploadcare--dragging__show{display:block}.uploadcare--dragging .uploadcare--dragging__hide{display:none}.uploadcare--file{-ms-flex-align:stretch;-ms-flex-pack:justify;align-items:stretch;box-sizing:border-box;color:#353535;cursor:default;display:-ms-flexbox;display:flex;-ms-flex-direction:row;flex-direction:row;justify-content:space-between;padding:5px 0 5px 20px}.uploadcare--file:hover{background:rgba(21,124,252,.1)}.uploadcare--file__description{-ms-flex-positive:1;flex-grow:1;min-width:0;padding-right:20px;text-align:left}.uploadcare--file__description:focus,.uploadcare--file__description:focus .uploadcare--file__preview,.uploadcare--file__description:hover,.uploadcare--file__description:hover .uploadcare--file__preview{color:#157cfc}.uploadcare--file__description:active,.uploadcare--file__description:active .uploadcare--file__preview{color:#0969ee}.uploadcare--file__description:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:2px}.uploadcare--file__icon{max-height:100%;max-width:100%}.uploadcare--file__name{line-height:25px;margin:0;max-width:calc(100vw - 200px);overflow:hidden;text-overflow:ellipsis;transition:color .3s;white-space:nowrap}@media (min-width:760px){.uploadcare--file__name{max-width:350px}}.uploadcare--file__preview{-ms-flex-negative:0;-ms-flex-align:center;-ms-flex-pack:center;align-items:center;background:transparent;border:1px solid;border-radius:6px;box-sizing:border-box;color:#e3e1e1;display:-ms-flexbox;display:flex;flex-shrink:0;float:left;height:60px;justify-content:center;margin-right:10px;overflow:hidden;padding:2px;transition:border .3s;width:60px}.uploadcare--file__progressbar{-ms-flex-negative:0;-ms-flex-item-align:center;align-self:center;flex-shrink:0;height:10px;margin:0 10px;width:60px}.uploadcare--file__size{color:#a4a2a1;font-size:12.5px}.uploadcare--file_status_error,.uploadcare--file_status_error .uploadcare--file__description,.uploadcare--file_status_error .uploadcare--file__preview{color:#e66a6a!important}.uploadcare--file_status_error .uploadcare--file__name{color:hsla(0,71%,66%,.5)!important}.uploadcare--file_status_error .uploadcare--file__progressbar,.uploadcare--file_status_error .uploadcare--file__size,.uploadcare--file_status_uploaded .uploadcare--file__progressbar{display:none}.uploadcare--file_status_uploading,.uploadcare--file_status_uploading .uploadcare--file__description{color:#353535!important}.uploadcare--file_status_uploading .uploadcare--file__name{max-width:calc(100vw - 280px)}@media (min-width:760px){.uploadcare--file_status_uploading .uploadcare--file__name{max-width:270px}}.uploadcare--file_status_uploading .uploadcare--file__preview{background:#ffd800;color:#ffd800!important}.uploadcare--files{-ms-flex-positive:1;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;flex-grow:1;height:100%;overflow:auto;width:100%}.uploadcare--files__item{-ms-flex-negative:0;flex-shrink:0}.uploadcare--crop-sizes{-ms-flex-positive:1;-ms-flex-pack:distribute;display:-ms-flexbox;display:flex;flex-grow:1;justify-content:space-around}.uploadcare--crop-sizes__item{-ms-flex-pack:end;-ms-flex-align:center;align-items:center;background:transparent;border-color:transparent;color:#353535;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;height:65px;justify-content:flex-end;padding:0}.uploadcare--crop-sizes__item:focus,.uploadcare--crop-sizes__item:hover{background:transparent;border-color:transparent;color:#157cfc}.uploadcare--crop-sizes__item:active{background:transparent;border-color:transparent;color:#0969ee}.uploadcare--crop-sizes__item:disabled,.uploadcare--crop-sizes__item[aria-disabled=true]{border-color:transparent!important}.uploadcare--crop-sizes__item:after{content:attr(data-caption);display:block;font-size:14px;line-height:25px;text-transform:uppercase}@media (max-width:400px),(max-width:600px) and (orientation:landscape){.uploadcare--crop-sizes__item:after{font-size:12px}}.uploadcare--crop-sizes__item:before{-ms-flex-order:1;background:transparent;border-radius:50%;content:"";display:block;height:6px;margin:1px 0;order:1;width:6px}.uploadcare--crop-sizes__item_current:active,.uploadcare--crop-sizes__item_current:focus,.uploadcare--crop-sizes__item_current:hover{color:#353535}.uploadcare--crop-sizes__item_current:before{background:#157cfc}.uploadcare--crop-sizes__icon{border:2px solid;border-radius:2px;box-sizing:border-box;color:inherit;height:30px;transform:scale(.666);width:30px}.uploadcare--crop-sizes__icon_free{border:none;border-radius:0;transform:none}.uploadcare--file-source{background:#e3e1e1;border-color:#e3e1e1;color:#353535;margin:10px}.uploadcare--file-source_all{-ms-flex-order:1;background:transparent;border-color:currentColor;color:#157cfc;order:1}.uploadcare--file-sources{-ms-flex-negative:0;-ms-flex-align:center;align-items:center;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;flex-shrink:0;width:100%}@media (max-width:759px) and (max-height:450px),(min-width:760px){.uploadcare--file-sources{display:none}}.uploadcare--file-sources__caption{margin:15px 0 5px}@media (max-width:759px) and (max-height:550px){.uploadcare--file-sources__item:nth-child(4),.uploadcare--file-sources__item:nth-child(5),.uploadcare--file-sources__item:nth-child(6){display:none}}.uploadcare--file-sources__items{-ms-flex-pack:center;display:-ms-flexbox;display:flex;-ms-flex-wrap:wrap;flex-wrap:wrap;justify-content:center;max-width:300px}.uploadcare--file-sources__items:empty,.uploadcare--file-sources__items:not(.uploadcare--file-sources__items_many) .uploadcare--file-source__all{display:none}.uploadcare--media{-ms-flex-positive:1;-ms-flex-pack:center;-ms-flex-align:center;align-items:center;display:-ms-flexbox;display:flex;flex-grow:1;justify-content:center;position:relative;width:100%}.uploadcare--media__image{left:50%;max-height:100%;max-width:100%;position:absolute;top:50%;transform:translateX(-50%) translateY(-50%)}.uploadcare--media__video{all:initial;height:auto;max-height:100%;max-width:100%;min-height:100px;width:auto}@media (max-width:759px) and (max-height:379px){.uploadcare--media__video{max-height:120px}}@media (max-width:759px) and (min-height:380px) and (max-height:499px){.uploadcare--media__video{max-height:160px}}@media (max-width:759px) and (min-height:500px),(min-width:760px){.uploadcare--media__video{max-height:300px}}.uploadcare--camera__button{margin:5px 10px}.uploadcare--camera__button_type_mirror{left:50%;margin:0;position:absolute;top:15px;transform:translateX(-50%)}.uploadcare--camera__video{transition:transform .8s cubic-bezier(.23,1,.32,1)}.uploadcare--camera__video_mirrored{transform:scaleX(-1)}.uploadcare--camera__video-container{-ms-flex-positive:0;flex-grow:0;margin-bottom:10px;position:relative}.uploadcare--camera_status_denied .uploadcare--camera__button_type_cancel-record,.uploadcare--camera_status_denied .uploadcare--camera__button_type_capture,.uploadcare--camera_status_denied .uploadcare--camera__button_type_mirror,.uploadcare--camera_status_denied .uploadcare--camera__button_type_start-record,.uploadcare--camera_status_denied .uploadcare--camera__button_type_stop-record,.uploadcare--camera_status_denied .uploadcare--camera__controls,.uploadcare--camera_status_denied .uploadcare--camera__not-found,.uploadcare--camera_status_denied .uploadcare--camera__please-allow,.uploadcare--camera_status_denied .uploadcare--camera__video-container,.uploadcare--camera_status_not-founded .uploadcare--camera__button_type_cancel-record,.uploadcare--camera_status_not-founded .uploadcare--camera__button_type_capture,.uploadcare--camera_status_not-founded .uploadcare--camera__button_type_mirror,.uploadcare--camera_status_not-founded .uploadcare--camera__button_type_retry,.uploadcare--camera_status_not-founded .uploadcare--camera__button_type_start-record,.uploadcare--camera_status_not-founded .uploadcare--camera__button_type_stop-record,.uploadcare--camera_status_not-founded .uploadcare--camera__controls,.uploadcare--camera_status_not-founded .uploadcare--camera__please-allow,.uploadcare--camera_status_not-founded .uploadcare--camera__video-container,.uploadcare--camera_status_ready .uploadcare--camera__button_type_cancel-record,.uploadcare--camera_status_ready .uploadcare--camera__button_type_retry,.uploadcare--camera_status_ready .uploadcare--camera__button_type_stop-record,.uploadcare--camera_status_ready .uploadcare--camera__not-found,.uploadcare--camera_status_ready .uploadcare--camera__please-allow,.uploadcare--camera_status_recording .uploadcare--camera__button_type_capture,.uploadcare--camera_status_recording .uploadcare--camera__button_type_mirror,.uploadcare--camera_status_recording .uploadcare--camera__button_type_retry,.uploadcare--camera_status_recording .uploadcare--camera__button_type_start-record,.uploadcare--camera_status_recording .uploadcare--camera__device-select,.uploadcare--camera_status_recording .uploadcare--camera__not-found,.uploadcare--camera_status_recording .uploadcare--camera__please-allow,.uploadcare--camera_status_requested .uploadcare--camera__button_type_cancel-record,.uploadcare--camera_status_requested .uploadcare--camera__button_type_capture,.uploadcare--camera_status_requested .uploadcare--camera__button_type_mirror,.uploadcare--camera_status_requested .uploadcare--camera__button_type_retry,.uploadcare--camera_status_requested .uploadcare--camera__button_type_start-record,.uploadcare--camera_status_requested .uploadcare--camera__button_type_stop-record,.uploadcare--camera_status_requested .uploadcare--camera__controls,.uploadcare--camera_status_requested .uploadcare--camera__device-select,.uploadcare--camera_status_requested .uploadcare--camera__not-found,.uploadcare--camera_status_requested .uploadcare--camera__video-container{display:none}.uploadcare--camera__device-select{-ms-flex-negative:0;all:initial;background-image:linear-gradient(45deg,transparent 50%,#3891ff 0),linear-gradient(135deg,#3891ff 50%,transparent 0),linear-gradient(90deg,#ccc,#ccc);background-position:calc(100% - 20px) calc(1em + 2px),calc(100% - 15px) calc(1em + 2px),calc(100% - 2.5em) .5em;background-repeat:no-repeat;background-size:5px 5px,5px 5px,1px 1.5em;border:1px solid #157cfc;border-radius:6px;box-shadow:none;box-sizing:border-box;color:#157cfc;cursor:default;display:none;flex-shrink:0;font-family:inherit;font-size:15px;font-style:normal;font-weight:400;height:auto;line-height:20px;margin:5px 10px 15px;max-width:500px;min-height:0;min-width:100px;overflow:hidden;padding:10px 60px 10px 10px;text-align:center;text-overflow:ellipsis;text-shadow:none;transition:background .3s,color .3s,border .3s;white-space:nowrap;width:auto}.uploadcare--camera__device-select svg{pointer-events:none}.uploadcare--camera__device-select:focus,.uploadcare--camera__device-select:hover{border-color:#3891ff;box-shadow:none;color:#3891ff;font-style:normal;font-weight:400;text-shadow:none}.uploadcare--camera__device-select:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:1px}.uploadcare--camera__device-select:active{border-color:#0969ee;color:#0969ee}@media (min-width:760px){.uploadcare--camera__device-select{font-size:17.5px;line-height:25px;padding:10px 60px 10px 20px}}.uploadcare--camera__device-select.uploadcare--camera__device-select_has-options{display:block}.uploadcare--crop-widget>.uploadcare--preview__image{filter:brightness(60%);transform:none}.uploadcare--tab{-ms-flex-align:stretch;align-items:stretch;overflow:hidden;text-align:center}.uploadcare--tab,.uploadcare--tab__content{-ms-flex-positive:1;-ms-flex-pack:center;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;flex-grow:1;justify-content:center}.uploadcare--tab__content{-ms-flex-negative:1;-ms-flex-align:center;align-items:center;flex-shrink:1;overflow-y:auto;padding:0 20px}.uploadcare--tab__header{-ms-flex-negative:0;flex-shrink:0;overflow:hidden;padding:0 20px}@media (min-width:760px){.uploadcare--tab__header{-ms-flex-align:center;align-items:center;display:-ms-flexbox;display:flex;height:60px;padding-right:60px}}.uploadcare--tab__iframe{-ms-flex-positive:1;border:0;flex-grow:1;height:100%;opacity:0;width:100%}.uploadcare--tab__title{margin-bottom:10px;margin-top:10px}.uploadcare--tab:not(.uploadcare--tab_current),.uploadcare--tab_name_preview.uploadcare--tab_current~.uploadcare--panel__footer{display:none}.uploadcare--tab_remote.uploadcare--tab_current~.uploadcare--panel__footer{margin-top:0}.uploadcare--panel{-ms-flex-negative:0;background:#fff;border:1px solid #a4a2a1;border-radius:6px;box-sizing:border-box;color:#353535;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;flex-shrink:0;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Ubuntu,Cantarell,Fira Sans,Droid Sans,Helvetica Neue,Arial,sans-serif;font-size:15px;font-weight:400;height:500px;line-height:20px;overflow:hidden;position:relative;width:100%}@media (min-width:760px){.uploadcare--panel{-ms-flex-direction:row;flex-direction:row}}.uploadcare--panel>.uploadcare--icons{position:absolute}.uploadcare--panel__content{-ms-flex-positive:1;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;flex-grow:1;overflow:hidden}.uploadcare--panel__file-counter{display:inline}.uploadcare--panel__footer{background:#fff9d8;padding-top:15px}.uploadcare--panel__icon{box-sizing:border-box;padding:3px}.uploadcare--panel__menu_hidden{display:none}.uploadcare--panel__message_hidden{color:#d4d2d2}.uploadcare--panel__powered-by{background:#353535;color:hsla(0,0%,100%,.5)}@media (min-width:760px){.uploadcare--panel__powered-by{display:none}}.uploadcare--tab:not(:nth-child(2)).uploadcare--tab_current~.uploadcare--panel__powered-by{display:none}@media (max-width:759px){.uploadcare--panel_menu-hidden .uploadcare--tab__header{min-height:60px;padding:6px 60px 0}}.uploadcare--panel:not(.uploadcare--panel_multiple) .uploadcare--panel__footer{display:none}.uploadcare--panel_multiple .uploadcare--panel__content{position:relative}.uploadcare--panel_multiple .uploadcare--tab_name_preview{height:100%;left:0;position:absolute;top:0;width:100%}.uploadcare--panel_multiple .uploadcare--tab_name_preview .uploadcare--tab__content{padding-left:0;padding-right:0}.uploadcare--panel_multiple .uploadcare--tab_name_preview .uploadcare--tab__footer{margin-top:0;padding-top:15px;position:relative}.uploadcare--panel_multiple .uploadcare--tab_name_preview .uploadcare--tab__footer:before{background:#e3e1e1;content:"";height:1px;left:20px;position:absolute;top:0;width:calc(100% - 40px)}.uploadcare--preview__content{overflow:hidden}.uploadcare--preview__content_crop{padding:10px 20px}.uploadcare--dialog{-ms-flex-pack:start;-ms-flex-align:stretch;align-items:stretch;background:#fff;box-sizing:border-box;color:#353535;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Ubuntu,Cantarell,Fira Sans,Droid Sans,Helvetica Neue,Arial,sans-serif;font-size:15px;font-weight:400;height:100%;justify-content:flex-start;left:0;line-height:20px;opacity:0;overflow:hidden;position:fixed;top:0;-ms-touch-action:none;touch-action:none;transition:opacity .33s cubic-bezier(.05,.7,.25,1);width:100%;z-index:2147483647}@media (min-width:760px){.uploadcare--dialog{-ms-flex-align:center;align-items:center;background:rgba(0,0,0,.5);overflow-y:auto}}@media (min-width:760px) and (max-height:559px){.uploadcare--dialog{padding-top:30px}}@media (min-width:760px) and (min-height:560px){.uploadcare--dialog{-ms-flex-pack:center;justify-content:center}}.uploadcare--dialog__close{position:absolute;right:0;top:0;z-index:49}.uploadcare--dialog__close:focus{outline-offset:-2px}.uploadcare--dialog__container{display:-ms-flexbox;display:flex;overflow:hidden;position:relative}@media (max-width:759px){.uploadcare--dialog__container{-ms-flex-positive:1;flex-grow:1;height:100%}}@media (min-width:760px){.uploadcare--dialog__container{border-radius:6px;height:calc(100% - 60px);-webkit-mask-image:-webkit-radial-gradient(#fff,#000);max-height:660px;max-width:900px;min-height:500px;width:calc(100% - 60px)}}@media (min-width:1210px){.uploadcare--dialog__container{max-width:1050px}}.uploadcare--dialog__panel{border:none;border-radius:0;height:100%}@media (min-width:1210px){.uploadcare--dialog__panel .uploadcare--menu,.uploadcare--dialog__panel .uploadcare--menu__item{width:250px}}.uploadcare--dialog__powered-by{-ms-flex-negative:0;flex-shrink:0}@media (max-width:759px){.uploadcare--dialog__powered-by{display:none}}.uploadcare--dialog_status_active{opacity:1}.uploadcare--widget{display:inline-block;vertical-align:baseline}.uploadcare--dragging .uploadcare--widget,.uploadcare--widget.uploadcare--dragging{position:relative}.uploadcare--widget__button{all:initial;background:#c3c3c3;border:none;border-radius:6px;box-shadow:none;box-sizing:border-box;color:#fff;cursor:default;display:inline-block;font:inherit;height:auto;line-height:inherit;margin:0;min-height:0;min-width:0;padding:.4em 1em;text-align:center;text-shadow:inherit;transition:background .3s;white-space:nowrap;width:auto}.uploadcare--widget__button:focus,.uploadcare--widget__button:hover{background:#b3b3b3;box-shadow:none;color:#fff;font:inherit;text-shadow:inherit}.uploadcare--widget__button:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:1px}.uploadcare--widget__button:active{background:#b3b3b3}.uploadcare--widget__button:disabled{background:#c3c3c3;color:#fff;cursor:not-allowed}.uploadcare--widget__button_type_open{background:#157cfc;color:#fff}.uploadcare--widget__button_type_open:focus,.uploadcare--widget__button_type_open:hover{background:#3891ff}.uploadcare--widget__button_type_open:active{background:#0969ee}.uploadcare--widget__button_type_open:disabled{background:#c3c3c3}.uploadcare--widget__dragndrop-area{background:#e3e1e1;border:1.5px dashed;border-radius:6px;box-sizing:border-box;color:#a4a2a1;display:none;font:inherit;left:0;line-height:inherit;margin:0;min-height:100%;min-width:100%;padding:calc(.4em - 1.5px) 1em;position:absolute;text-align:center;top:0;transition:color .3s;white-space:nowrap}.uploadcare--dragging .uploadcare--widget__dragndrop-area{display:block}.uploadcare--widget.uploadcare--dragging .uploadcare--widget__dragndrop-area{color:#157cfc}.uploadcare--widget__file-name,.uploadcare--widget__file-size{display:inline}.uploadcare--widget__progress{display:inline-block;height:1.8em;line-height:0;margin:0 .2em 0 0;padding:0;vertical-align:middle;width:1.8em}.uploadcare--widget__text{box-sizing:border-box;display:inline-block;margin-right:.2em;padding:.4em 0;white-space:nowrap}.uploadcare--widget:not(.uploadcare--widget_option_clearable).uploadcare--widget_status_error .uploadcare--widget__button_type_remove,.uploadcare--widget:not(.uploadcare--widget_option_clearable).uploadcare--widget_status_loaded .uploadcare--widget__button_type_remove,.uploadcare--widget_option_clearable.uploadcare--widget_status_error .uploadcare--widget__button_type_open,.uploadcare--widget_status_error .uploadcare--widget__button_type_cancel,.uploadcare--widget_status_error .uploadcare--widget__progress,.uploadcare--widget_status_loaded .uploadcare--widget__button_type_cancel,.uploadcare--widget_status_loaded .uploadcare--widget__button_type_open,.uploadcare--widget_status_loaded .uploadcare--widget__progress,.uploadcare--widget_status_ready .uploadcare--widget__button_type_cancel,.uploadcare--widget_status_ready .uploadcare--widget__button_type_remove,.uploadcare--widget_status_ready .uploadcare--widget__progress,.uploadcare--widget_status_ready .uploadcare--widget__text,.uploadcare--widget_status_started .uploadcare--widget__button_type_open,.uploadcare--widget_status_started .uploadcare--widget__button_type_remove{display:none}.uploadcare--page{height:auto;max-height:100%;max-width:100%;min-height:0;min-width:0;overflow:hidden;width:auto}.uploadcare--mouse-focused:focus{outline:none}'}},Ln=function(e){var t=Mn[e];return null!=t?t(o({},arguments.length>1&&void 0!==arguments[1]?arguments[1]:{})):""};A()&&mt.add((function(e){var t=Ln("styles",{settings:e}),r=document.createElement("style");return r.setAttribute("type","text/css"),null!=r.styleSheet?r.styleSheet.cssText=t:r.appendChild(document.createTextNode(t)),n.default("head").prepend(r)}));var Nn=function(e){return"[data-status=started], [data-status=error]",!e.find(".uploadcare--widget").is("[data-status=started], [data-status=error]")},jn=function(e,t){return e.attr("data-uploadcare-submitted",t),e.find(":submit").attr("disabled",t)},Fn='[role~="uploadcare-upload-form"]',Bn=Fn+"[data-uploadcare-submitted]";if(A()){n.default(document).on("submit",Fn,(function(){var e;return e=n.default(this),!!Nn(e)||(jn(e,!0),!1)})),n.default(document).on("loaded.uploadcare",Bn,(function(){return n.default(this).submit()}));n.default(document).on("ready.uploadcare error.uploadcare",Bn,(function(){var e;if(e=n.default(this),Nn(e))return jn(e,!1)}))}var zn=[".uploadcare--menu__item",".uploadcare--file__description",".uploadcare--crop-sizes__item"].join(", "),Un="uploadcare--mouse-focused";A()&&n.default(document.documentElement).on("mousedown",zn,(function(e){return Y((function(){var e;if((e=document.activeElement)&&e!==document.body)return n.default(e).addClass(Un).one("blur",(function(){return n.default(e).removeClass(Un)}))}))})).on("keypress",zn,(function(e){if(13===e.which||32===e.which)return n.default(this).click(),e.preventDefault(),e.stopPropagation()}));var qn=function(){function e(t){s(this,e),this.renderer=j?new Hn(t):new Wn(t),this.observed=null}return c(e,[{key:"listen",value:function(e,t){var n,r=this;return this.reset(),n=null!=t?function(e){return e[t]}:function(e){return e},this.observed=e,"resolved"===this.observed.state()?this.renderer.setValue(1,!0):this.observed.progress((function(t){e===r.observed&&r.renderer.setValue(n(t))})).always((function(t){e===r.observed&&r.renderer.setValue(1,!1)})),this}},{key:"reset",value:function(){var e=arguments.length>0&&void 0!==arguments[0]&&arguments[0];this.observed=null,this.renderer.setValue(e?1:0,!0)}},{key:"update",value:function(){this.renderer.update()}}]),e}(),$n=function(){function e(t){s(this,e),this.element=n.default(t),this.element.data("uploadcare-progress-renderer",this),this.element.addClass("uploadcare--progress")}return c(e,[{key:"update",value:function(){}}]),e}(),Wn=function(e){p(n,e);var t=g(n);function n(){var e;return s(this,n),(e=t.apply(this,arguments)).element.addClass("uploadcare--progress_type_text"),e.element.html(Ln("progress__text")),e.text=e.element.find(".uploadcare--progress__text"),e}return c(n,[{key:"setValue",value:function(e){e=Math.round(100*e),this.text.html("".concat(e," %"))}}]),n}($n),Hn=function(e){p(r,e);var t=g(r);function r(){var e;return s(this,r),(e=t.apply(this,arguments)).canvasEl=n.default("<canvas>").addClass("uploadcare--progress__canvas").get(0),e.element.addClass("uploadcare--progress_type_canvas"),e.element.html(e.canvasEl),e.setValue(0,!0),e}return c(r,[{key:"update",value:function(){var e=this;window.cancelAnimationFrame(this.__rafId),this.__rafId=window.requestAnimationFrame((function(){var t=Math.floor(Math.min(e.element.width(),e.element.height())),n=2*t;if(t){e.canvasEl.width===n&&e.canvasEl.height===n||(e.canvasEl.width=n,e.canvasEl.height=n);var r=e.canvasEl.getContext("2d"),i=function(e,n){var i;i=-Math.PI/2,r.beginPath(),r.moveTo(t,t),r.arc(t,t,e,i,i+2*Math.PI*n,!1),r.fill()};r.clearRect(0,0,n,n),r.globalCompositeOperation="source-over",r.fillStyle=e.element.css("border-left-color"),i(t-.5,1),r.fillStyle=e.element.css("color"),i(t,e.val),r.globalCompositeOperation="destination-out",i(t/7,1)}}))}},{key:"__animateValue",value:function(e){var t,n,r,i=this;r=this.val,n=new Date,t=e>r?2:-2,this.__animIntervalId=setInterval((function(){var o;o=r+(new Date-n)/1e3*t,(o=(t>0?Math.min:Math.max)(o,e))===e&&i.__stopAnimation(),i.__setValue(o)}),15)}},{key:"__stopAnimation",value:function(){this.__animIntervalId&&clearInterval(this.__animIntervalId),this.__animIntervalId=null}},{key:"__setValue",value:function(e){this.val=e,this.element.attr("aria-valuenow",(100*e).toFixed(0)),this.update()}},{key:"setValue",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];this.__stopAnimation(),t?this.__setValue(e):this.__animateValue(e)}}]),r}($n),Vn=F,Gn=function(e,t,n){return n=ht(n),Kn(e,(function(e,r){return t(n.multiple?nn(e,r,n):tn(e,r[0],n))}))},Kn=Vn?function(e,t){Yn(e),n.default(e).on({dragover:function(e){e.preventDefault(),e.originalEvent.dataTransfer.dropEffect="copy"},drop:function(e){var r,i,o,a,s,l;if(e.preventDefault(),r=e.originalEvent.dataTransfer){if(r.files.length)return t("object",r.files);for(l=[],i=0,o=(a=r.getData("text/uri-list").split()).length;i<o;i++)s=a[i],(s=n.default.trim(s))&&"#"!==s[0]&&l.push(s);return l?t("url",l):void 0}}})}:function(){},Yn=Vn?function(e,t){var r,i,o;return o=!1,i=0,r=function(t){if(o!==t)return o=t,n.default(e).toggleClass("uploadcare--dragging",t)},n.default(t||e).on({dragenter:function(){return i+=1,r(!0)},dragleave:function(){if(0==(i-=1))return r(!1)},"drop mouseenter":function(){return i=0,r(!1)}})}:function(){};A()&&Yn("body",document);var Zn,Xn,Qn=function(){function e(t,r){s(this,e),this.settings=t,this.element=r,this.content=n.default(Ln("widget")),this.element.after(this.content),this.circle=new qn(this.content.find(".uploadcare--widget__progress").removeClass("uploadcare--widget__progress")),this.content.find(".uploadcare--progress").addClass("uploadcare--widget__progress"),this.statusText=this.content.find(".uploadcare--widget__text"),this.content.toggleClass("uploadcare--widget_option_clearable",this.settings.clearable)}return c(e,[{key:"addButton",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"";return n.default(Ln("widget-button",{name:e,caption:t})).appendTo(this.content)}},{key:"setStatus",value:function(e){var t;return t="uploadcare--widget_status_",this.content.removeClass(t+this.content.attr("data-status")),this.content.attr("data-status",e),this.content.addClass(t+e),this.element.trigger("".concat(e,".uploadcare"))}},{key:"reset",value:function(){return this.circle.reset(),this.setStatus("ready"),this.content.attr("aria-busy",!1),this.__file=void 0,this.__file}},{key:"loaded",value:function(){return this.setStatus("loaded"),this.content.attr("aria-busy",!1),this.circle.reset(!0)}},{key:"listen",value:function(e){var t=this;return this.__file=e,this.circle.listen(e,"uploadProgress"),this.setStatus("started"),this.content.attr("aria-busy",!0),e.progress((function(n){if(e===t.__file)switch(n.state){case"uploading":return t.statusText.text(mn.t("uploading"));case"uploaded":return t.statusText.text(mn.t("loadingInfo"))}}))}},{key:"error",value:function(e,t){var n=this.settings.debugUploads&&(null==t?void 0:t.message)||mn.t("serverErrors.".concat(null==t?void 0:t.code))||(null==t?void 0:t.message)||mn.t("errors.".concat(e||"default"));return this.statusText.text(n),this.content.attr("aria-busy",!1),this.setStatus("error")}},{key:"setFileInfo",value:function(e){return this.statusText.html(Ln("widget-file-name",e)).find(".uploadcare--widget__file-name").toggleClass("needsclick",this.settings.systemDialog)}}]),e}(),Jn=function(){function e(t,n,r,i,o){s(this,e),this.__initTabsList=this.__initTabsList.bind(this),this.container=t,this.tabButton=n,this.dialogApi=r,this.settings=i,this.name=o,this.container.append(Ln("tab-file")),this.__setupFileButton(),this.__initDragNDrop(),this.__initTabsList()}return c(e,[{key:"__initDragNDrop",value:function(){var e,t=this;if(e=this.container.find(".uploadcare--draganddrop"),F)return Kn(e,(function(e,n){return t.settings.multiple?t.dialogApi.addFiles(e,n):t.dialogApi.addFiles(e,[n[0]]),t.dialogApi.switchTab("preview")})),e.addClass("uploadcare--draganddrop_supported")}},{key:"__setupFileButton",value:function(){var e,t=this;return e=this.container.find(".uploadcare--tab__action-button"),L?e.on("click",(function(){return ve(t.container,t.settings,(function(e){return t.dialogApi.addFiles("object",e.files),t.dialogApi.switchTab("preview")})),!1})):ge(e,this.settings,(function(e){return t.dialogApi.addFiles("input",[e]),t.dialogApi.switchTab("preview")}))}},{key:"__initTabsList",value:function(){var e,t,n,r,i,o,a=this;for((n=this.container.find(".uploadcare--file-sources__items")).remove(".uploadcare--file-sources__item:not(.uploadcare--file-source_all)"),r=0,e=0,t=(i=this.settings.tabs).length;e<t;e++)"file"!==(o=i[e])&&"url"!==o&&"camera"!==o&&this.dialogApi.isTabVisible(o)&&((r+=1)>5||n.append([this.__tabButton(o)," "]));return n.find(".uploadcare--file-source_all").on("click",(function(){return a.dialogApi.openMenu()})),r>5&&n.addClass("uploadcare--file-sources__items_many"),this.container.find(".uploadcare--file-sources").attr("hidden",0===r)}},{key:"__tabButton",value:function(e){var t,r=this;return t=n.default("<svg width='32' height='32'><use xlink:href='#uploadcare--icon-".concat(e,"'/></svg>")).attr("role","presentation").attr("class","uploadcare--icon uploadcare--file-source__icon"),n.default("<button>").addClass("uploadcare--button").addClass("uploadcare--button_icon").addClass("uploadcare--file-source").addClass("uploadcare--file-source_".concat(e)).addClass("uploadcare--file-sources__item").attr("type","button").attr("title",mn.t("dialog.tabs.names.".concat(e))).attr("data-tab",e).append(t).on("click",(function(){return r.dialogApi.switchTab(e)}))}},{key:"displayed",value:function(){this.dialogApi.takeFocus()&&this.container.find(".uploadcare--tab__action-button").focus()}}]),e}(),er=function(){function e(t,r,i,o,a){var l,u,c=this;s(this,e),this.container=t,this.tabButton=r,this.dialogApi=i,this.settings=o,this.name=a,this.container.append(Ln("tab-url")),(u=this.container.find(".uploadcare--input")).on("change keyup input",(function(){var e=!n.default.trim(this.value);return l.attr("disabled",e).attr("aria-disabled",e)})),l=this.container.find(".uploadcare--button[type=submit]").attr("disabled",!0),this.container.find(".uploadcare--form").on("submit",(function(){var e=Zn(u.val());return e&&(c.dialogApi.addFiles("url",[[e,{source:"url-tab"}]]),u.val("").trigger("change")),!1}))}return c(e,[{key:"displayed",value:function(){this.dialogApi.takeFocus()&&this.container.find(".uploadcare--input").focus()}}]),e}();Xn=/^[a-z][a-z0-9+\-.]*:?\/\//,Zn=function(e){return e=n.default.trim(e),Xn.test(e)?e:"http://"+e};var tr=A()&&"https:"===document.location.protocol,nr=function(){function e(t,n,r,i,o){var a;if(s(this,e),this.__captureInput=this.__captureInput.bind(this),this.__captureInputHandle=this.__captureInputHandle.bind(this),this.__setState=this.__setState.bind(this),this.__requestCamera=this.__requestCamera.bind(this),this.__revoke=this.__revoke.bind(this),this.__mirror=this.__mirror.bind(this),this.__capture=this.__capture.bind(this),this.__startRecording=this.__startRecording.bind(this),this.__stopRecording=this.__stopRecording.bind(this),this.__cancelRecording=this.__cancelRecording.bind(this),this.__onDeviceSelect=this.__onDeviceSelect.bind(this),this.__requestDevicesList=this.__requestDevicesList.bind(this),this.container=t,this.tabButton=n,this.dialogApi=r,this.settings=i,this.name=o,this.__checkCapture())this.container.append(Ln("tab-camera-capture")),this.container.addClass("uploadcare--camera"),this.container.find(".uploadcare--camera__button_type_photo").on("click",this.__captureInput("image/*")),a=this.container.find(".uploadcare--camera__button_type_video").on("click",this.__captureInput("video/*")),this.settings.imagesOnly&&a.hide();else{if(!this.__checkCompatibility())return void this.dialogApi.hideTab(this.name);this.__initCamera()}}return c(e,[{key:"__captureInput",value:function(e){var t=this;return function(){return ve(t.container,{inputAcceptTypes:e},t.__captureInputHandle,{capture:"camera"})}}},{key:"__captureInputHandle",value:function(e){return this.dialogApi.addFiles("object",e.files),this.dialogApi.switchTab("preview")}},{key:"__subscribePermissions",value:function(){var e=this;navigator.permissions.query({name:"camera"}).then((function(t){t.addEventListener("change",(function(){e.__requestDevicesList()}))})).catch((function(){}))}},{key:"__initCamera",value:function(){var e=this;this.__loaded=!1,this.mirrored=this.settings.cameraMirrorDefault,this.container.append(Ln("tab-camera")),this.container.addClass("uploadcare--camera"),this.container.addClass("uploadcare--camera_status_requested"),this.container.find(".uploadcare--camera__button_type_capture").on("click",this.__capture);var t=this.container.find(".uploadcare--camera__button_type_start-record").on("click",this.__startRecording);this.container.find(".uploadcare--camera__button_type_stop-record").on("click",this.__stopRecording),this.container.find(".uploadcare--camera__button_type_cancel-record").on("click",this.__cancelRecording),this.container.find(".uploadcare--camera__button_type_mirror").on("click",this.__mirror),this.container.find(".uploadcare--camera__button_type_retry").on("click",this.__requestDevicesList),this.container.find(".uploadcare--camera__device-select").on("change",this.__onDeviceSelect),void 0!==navigator.permissions&&this.__subscribePermissions(),this.MediaRecorder&&!this.settings.imagesOnly&&this.settings.enableVideoRecording||t.hide(),this.video=this.container.find(".uploadcare--camera__video"),this.video.toggleClass("uploadcare--camera__video_mirrored",this.mirrored),this.video.on("loadeddata",(function(){return this.play()})),this.dialogApi.progress((function(t){t===e.name?e.__loaded||e.__requestDevicesList():e.__loaded&&tr&&e.__revoke()})),this.dialogApi.always(this.__revoke)}},{key:"__checkCompatibility",value:function(){navigator.mediaDevices&&navigator.mediaDevices.enumerateDevices&&(this.enumerateVideoDevices=function(){return navigator.mediaDevices.enumerateDevices().then((function(e){return e.filter((function(e){return"videoinput"===e.kind}))}))}),navigator.mediaDevices&&navigator.mediaDevices.getUserMedia?this.getUserMedia=function(e){return navigator.mediaDevices.getUserMedia(e)}:this.getUserMedia=function(e){var t=navigator.getUserMedia||navigator.webkitGetUserMedia||navigator.mozGetUserMedia,r=n.default.Deferred();return t.call(navigator,e,(function(e){return r.resolve(e)}),(function(e){return r.reject(e)})),r.promise()},this.URL=window.URL||window.webkitURL,this.MediaRecorder=window.MediaRecorder,tr||E("Camera is not allowed for HTTP. Please use HTTPS connection.");var e="localhost"===document.location.hostname;return!!this.getUserMedia&&Uint8Array&&(tr||e)}},{key:"__checkCapture",value:function(){var e;return(e=document.createElement("input")).setAttribute("capture","camera"),!!e.capture}},{key:"__setState",value:function(e){var t=["","ready","requested","denied","not-founded","recording","error"].join(" uploadcare--camera_status_");this.container.removeClass(t).addClass("uploadcare--camera_status_".concat(e)),this.container.find(".uploadcare--camera__button").focus()}},{key:"__requestDevicesList",value:function(){var e=this;this.enumerateVideoDevices?this.getUserMedia({video:!0,audio:!0}).then((function(t){return e.enumerateVideoDevices().then((function(e){return t.getTracks().forEach((function(e){return e.stop()})),e}))})).then((function(t){var n;e.__groupId=null==t||null===(n=t[0])||void 0===n?void 0:n.groupId,e.__renderDevicesList(t)})).then((function(){return e.__requestCamera()})).catch((function(){return e.__requestCamera()})):this.__requestCamera()}},{key:"__requestCamera",value:function(){var e=this;this.__stream&&this.__revoke(),this.__loaded=!0;var t={audio:this.settings.enableAudioRecording,video:{width:{ideal:1920},height:{ideal:1080},frameRate:{ideal:30}}};return this.__groupId&&(t.video.groupId={exact:this.__groupId},t.audio=t.audio&&{exact:this.__groupId}),this.getUserMedia.call(navigator,t).then((function(t){e.__setState("ready"),e.__stream=t,t.addEventListener("inactive",(function(){e.__setState("denied")}));var n=e.__getGroupIdByStream(t);return e.__groupId=n,"srcObject"in e.video[0]?(e.video.prop("srcObject",t),e.video.on("loadedmetadata",(function(){return e.video[0].play()}))):(e.URL?(e.__streamObject=e.URL.createObjectURL(t),e.video.prop("src",e.__streamObject)):e.video.prop("src",t),e.video[0].play())})).catch((function(t){var n=Object.create(null);return n.NotFoundError=function(){e.__setState("not-founded")},n.NotAllowedError=function(){e.__setState("denied")},n.other=function(){e.__setState("denied"),console.warn("Camera error occurred: "+t.name)},(n[t.name]||n.other)(),e.__loaded=!1,e.__loaded}))}},{key:"__revoke",value:function(){var e;this.__setState("requested"),this.__loaded=!1,this.__stream&&(this.__streamObject&&this.URL.revokeObjectURL(this.__streamObject),this.__stream.getTracks?n.default.each(this.__stream.getTracks(),(function(){return"function"==typeof this.stop?this.stop():void 0})):"function"==typeof(e=this.__stream).stop&&e.stop(),this.__stream=null)}},{key:"__mirror",value:function(){return this.mirrored=!this.mirrored,this.video.toggleClass("uploadcare--camera__video_mirrored",this.mirrored)}},{key:"__capture",value:function(){var e,t,n,r,i,o=this;return i=(r=this.video[0]).videoWidth,n=r.videoHeight,(e=document.createElement("canvas")).width=i,e.height=n,t=e.getContext("2d"),this.mirrored&&(t.translate(i,0),t.scale(-1,1)),t.drawImage(r,0,0,i,n),xe(e,"image/jpeg",.9,(function(t){return e.width=e.height=1,t.name="camera.jpg",o.dialogApi.addFiles("object",[[t,{source:"camera"}]]),o.dialogApi.switchTab("preview")}))}},{key:"__startRecording",value:function(){var e=this;this.__setState("recording"),this.__chunks=[];var t={},r=this.settings.videoPreferredMimeTypes;if(null!=r){var i=function(e,t){for(var n=e.length,r=0;r<n;){var i=e[r];if(t(i))return i;r++}}(n.default.isArray(r)?r:[r],(function(t){return e.MediaRecorder.isTypeSupported(t)}));null!=i&&(t.mimeType=i)}var o=navigator.userAgent.toLowerCase().indexOf("firefox")>-1;return null==t.mimeType&&o&&this.MediaRecorder.isTypeSupported("video/webm")&&(t.mimeType="video/webm"),null!==this.settings.audioBitsPerSecond&&(t.audioBitsPerSecond=this.settings.audioBitsPerSecond),null!==this.settings.videoBitsPerSecond&&(t.videoBitsPerSecond=this.settings.videoBitsPerSecond),0!==Object.keys(t).length?this.__recorder=new this.MediaRecorder(this.__stream,t):this.__recorder=new this.MediaRecorder(this.__stream),this.__recorder.start(),this.__recorder.ondataavailable=function(t){return e.__chunks.push(t.data)},this.__recorder.ondataavailable}},{key:"__stopRecording",value:function(){var e=this;return this.__setState("ready"),this.__recorder.onstop=function(){var t,n;return t=new window.Blob(e.__chunks,{type:e.__recorder.mimeType}),n=e.__guessExtensionByMime(e.__recorder.mimeType),t.name="record.".concat(n),e.dialogApi.addFiles("object",[[t,{source:"camera"}]]),e.dialogApi.switchTab("preview"),e.__chunks=[],e.__chunks},this.__recorder.stop()}},{key:"__cancelRecording",value:function(){return this.__setState("ready"),this.__recorder.stop(),this.__chunks=[],this.__chunks}},{key:"__onDeviceSelect",value:function(e){var t=e.target.value;this.__groupId=t,this.__requestCamera()}},{key:"__renderDevicesList",value:function(e){var t=this,r=this.container.find(".uploadcare--camera__device-select");r.empty(),e.forEach((function(e,i){var o=t.__groupId?e.groupId===t.__groupId:0===i;r.append(n.default("<option>",{value:e.groupId,text:e.label||"".concat(mn.t("dialog.tabs.camera.camera")," #").concat(i+1),selected:o}))})),r.toggleClass("uploadcare--camera__device-select_has-options",!0)}},{key:"__getGroupIdByStream",value:function(e){var t=e.getVideoTracks();if(0!==t.length)return t[0].getSettings().groupId}},{key:"__guessExtensionByMime",value:function(e){var t={mp4:"mp4",ogg:"ogg",webm:"webm",quicktime:"mov","x-matroska":"mkv"};if(""===e)return"webm";if(e&&"video"===(e=e.split("/"))[0]){var n=(e=e.slice(1).join("/")).split(";")[0];if(t[n])return t[n]}return"avi"}},{key:"displayed",value:function(){this.dialogApi.takeFocus()&&this.container.find(".uploadcare--camera__button").focus()}}]),e}();A()&&function(e){e.Jcrop=function(t,n){var r,i=e.extend({},e.Jcrop.defaults);function o(e){return Math.round(e)+"px"}function s(e){return i.baseClass+"-"+e}function l(t){var n=e(t).offset();return[n.left,n.top]}function u(e){return[e.pageX-r[0],e.pageY-r[1]]}function c(t){"object"!==a(t)&&(t={}),i=e.extend(i,t),e.each(["onChange","onSelect","onRelease","onDblClick"],(function(e,t){"function"!=typeof i[t]&&(i[t]=function(){})}))}function d(e,t){if(r=l(S),"move"===e)return K.activateHandlers(function(e){var t=e;return Y.watchKeys(),function(e){H.moveOffset([e[0]-t[0],e[1]-t[1]]),t=e,G.update()}}(t),m);var n=H.getFixed(),o=p(e),a=H.getCorner(p(o));H.setPressed(H.getCorner(o)),H.setCurrent(a),K.activateHandlers(function(e,t){return function(n){if(i.aspectRatio)switch(e){case"e":case"w":n[1]=t.y+1;break;case"n":case"s":n[0]=t.x+1}else switch(e){case"e":case"w":n[1]=t.y2;break;case"n":case"s":n[0]=t.x2}H.setCurrent(n),G.update()}}(e,n),m)}function p(e){switch(e){case"n":case"ne":return"sw";case"s":case"e":case"se":return"nw";case"w":case"sw":return"ne";case"nw":return"se"}}function f(e){return function(t){return!(i.disabled||"move"===e&&!i.allowMove||(r=l(S),B=!0,d(e,u(t)),t.stopPropagation(),t.preventDefault(),1))}}function h(e){return{x:e.x*j,y:e.y*F,x2:e.x2*j,y2:e.y2*F,w:e.w*j,h:e.h*F}}function m(e){H.getFixed(),G.enableHandles(),G.done()}function g(){var t=e("<div></div>").addClass(s("tracker"));return t.css({opacity:0,backgroundColor:"white"}),t}"object"!==a(t)&&(t=e(t)[0]),"object"!==a(n)&&(n={}),c(n);var v,y,b,w,_,x={border:"none",visibility:"visible",margin:0,padding:0,position:"absolute",top:0,left:0},k=e(t),E=!0;if("IMG"==t.tagName){if(0!=k[0].width&&0!=k[0].height)k.width(k[0].width),k.height(k[0].height);else{var C=new Image;C.src=k[0].src,k.width(C.width),k.height(C.height)}var S=k.clone().removeAttr("id").css(x).show();S.width(k.width()),S.height(k.height()),k.after(S).hide()}else S=k.css(x).show(),E=!1,null===i.shade&&(i.shade=!0);v=S,y=i.boxWidth,b=i.boxHeight,w=v.width(),_=v.height(),w>y&&y>0&&(w=y,_=y/v.width()*v.height()),_>b&&b>0&&(_=b,w=b/v.height()*v.width()),j=v.width()/w,F=v.height()/_,v.width(w).height(_);var A=S.width(),T=S.height(),O=e("<div></div>").width(A).height(T).addClass(s("holder")).css({position:"relative",backgroundColor:i.bgColor}).insertAfter(k).append(S);i.addClass&&O.addClass(i.addClass);var P=e("<div></div>"),D=e("<div></div>").width("100%").height("100%").css({zIndex:310,position:"absolute",overflow:"hidden"}),R=e("<div></div>").css({position:"absolute",zIndex:600}).dblclick((function(){var e=H.getFixed();i.onDblClick.call(J,e)})).insertBefore(S).append(D);E&&(P=e("<img />").attr("src",S.attr("src")).css(x).width(A).height(T),D.append(P));var I,M,L,N,j,F,B,z=i.boundary,U=g().width(A+2*z).height(T+2*z).css({position:"absolute",top:o(-z),left:o(-z),zIndex:290}),q=i.bgColor,$=i.bgOpacity;r=l(S);var W=function(){function e(){var e,t={},n=["touchstart","touchmove","touchend"],r=document.createElement("div");try{for(e=0;e<n.length;e++){var i=n[e],o=(i="on"+i)in r;o||(r.setAttribute(i,"return;"),o="function"==typeof r[i]),t[n[e]]=o}return t.touchstart&&t.touchend&&t.touchmove}catch(e){return!1}}return{createDragger:function(e){return function(t){return t.pageX=t.originalEvent.changedTouches[0].pageX,t.pageY=t.originalEvent.changedTouches[0].pageY,!(i.disabled||"move"===e&&!i.allowMove||(B=!0,d(e,u(t)),t.stopPropagation(),t.preventDefault(),1))}},isSupported:e,support:!0===i.touchSupport||!1===i.touchSupport?i.touchSupport:e()}}(),H=function(){var e,t,n=0,r=0,o=0,a=0;function s(){if(!i.aspectRatio)return t=o-n,s=a-r,I&&Math.abs(t)>I&&(o=t>0?n+I:n-I),M&&Math.abs(s)>M&&(a=s>0?r+M:r-M),N/F&&Math.abs(s)<N/F&&(a=s>0?r+N/F:r-N/F),L/j&&Math.abs(t)<L/j&&(o=t>0?n+L/j:n-L/j),n<0&&(o-=n,n-=n),r<0&&(a-=r,r-=r),o<0&&(n-=o,o-=o),a<0&&(r-=a,a-=a),o>A&&(n-=e=o-A,o-=e),a>T&&(r-=e=a-T,a-=e),n>A&&(a-=e=n-T,r-=e),r>T&&(a-=e=r-T,r-=e),c(u(n,r,o,a));var e,t,s,l=i.aspectRatio,d=i.minSize[0]/j,p=i.maxSize[0]/j;i.maxSize[1];var f,h,m,g,v=o-n,y=a-r,b=Math.abs(v),w=Math.abs(y);return 0===p&&(p=10*A),b/w<l?(h=a,m=w*l,(f=v<0?n-m:m+n)<0?(f=0,g=Math.abs((f-n)/l),h=y<0?r-g:g+r):f>A&&(f=A,g=Math.abs((f-n)/l),h=y<0?r-g:g+r)):(f=o,g=b/l,(h=y<0?r-g:r+g)<0?(h=0,m=Math.abs((h-r)*l),f=v<0?n-m:m+n):h>T&&(h=T,m=Math.abs(h-r)*l,f=v<0?n-m:m+n)),f>n?(f-n<d?f=n+d:f-n>p&&(f=n+p),h=h>r?r+(f-n)/l:r-(f-n)/l):f<n&&(n-f<d?f=n-d:n-f>p&&(f=n-p),h=h>r?r+(n-f)/l:r-(n-f)/l),f<0?(n-=f,f=0):f>A&&(n-=f-A,f=A),h<0?(r-=h,h=0):h>T&&(r-=h-T,h=T),c(u(n,r,f,h))}function l(e){return e[0]<0&&(e[0]=0),e[1]<0&&(e[1]=0),e[0]>A&&(e[0]=A),e[1]>T&&(e[1]=T),[e[0],e[1]]}function u(e,t,n,r){var i=e,o=n,a=t,s=r;return n<e&&(i=n,o=e),r<t&&(a=r,s=t),[i,a,o,s]}function c(e){return{x:e[0],y:e[1],x2:e[2],y2:e[3],w:e[2]-e[0],h:e[3]-e[1]}}return{flipCoords:u,setPressed:function(e){e=l(e),o=n=e[0],a=r=e[1]},setCurrent:function(n){n=l(n),e=n[0]-o,t=n[1]-a,o=n[0],a=n[1]},getOffset:function(){return[e,t]},moveOffset:function(e){var t=e[0],i=e[1];0>n+t&&(t-=t+n),0>r+i&&(i-=i+r),T<a+i&&(i+=T-(a+i)),A<o+t&&(t+=A-(o+t)),n+=t,o+=t,r+=i,a+=i},getCorner:function(e){var t=s();switch(e){case"ne":return[t.x2,t.y];case"nw":return[t.x,t.y];case"se":return[t.x2,t.y2];case"sw":return[t.x,t.y2]}},getFixed:s}}(),V=function(){var t=!1,n=e("<div></div>").css({position:"absolute",zIndex:240,opacity:0}),r={top:l(),left:l().height(T),right:l().height(T),bottom:l()};function a(){return s(H.getFixed())}function s(e){r.top.css({left:o(e.x),width:o(e.w),height:o(e.y)}),r.bottom.css({top:o(e.y2),left:o(e.x),width:o(e.w),height:o(T-e.y2)}),r.right.css({left:o(e.x2),width:o(A-e.x2)}),r.left.css({width:o(e.x)})}function l(){return e("<div></div>").css({position:"absolute",backgroundColor:i.shadeColor||i.bgColor}).appendTo(n)}function u(){t||(t=!0,n.insertBefore(S),a(),G.setBgOpacity(1,0,1),P.hide(),c(i.shadeColor||i.bgColor,1),G.isAwake()?p(i.bgOpacity,1):p(1,1))}function c(e,t){X(f(),e,t)}function d(){t&&(n.remove(),P.show(),t=!1,G.isAwake()?G.setBgOpacity(i.bgOpacity,1,1):G.setBgOpacity(1,1,1),X(O,0,1))}function p(e,r){t&&(i.bgFade&&!r?n.animate({opacity:1-e},{queue:!1,duration:i.fadeTime}):n.css({opacity:1-e}))}function f(){return n.children()}return{update:a,updateRaw:s,getShades:f,setBgColor:c,enable:u,disable:d,resize:function(e,t){r.left.css({height:o(t)}),r.right.css({height:o(t)})},refresh:function(){i.shade?u():d(),G.isAwake()&&p(i.bgOpacity)},opacity:p}}(),G=function(){var t,n={},r={};function a(t){return function(t){var n=e("<div></div>").mousedown(f(t)).css({cursor:t+"-resize",position:"absolute"}).append("<div></div>").addClass("ord-"+t);return W.support&&n.on("touchstart.jcrop",W.createDragger(t)),R.append(n),n}(t).addClass(s("handle"))}function l(){var e=H.getFixed();H.setPressed([e.x,e.y]),H.setCurrent([e.x2,e.y2]),u()}function u(e){if(t)return c(e)}function c(e){var n,r,a=H.getFixed();(function(e,t){R.width(Math.round(e)).height(Math.round(t))})(a.w,a.h),n=a.x,r=a.y,i.shade||P.css({top:o(-r),left:o(-n)}),R.css({top:o(r),left:o(n)}),i.shade&&V.updateRaw(a),t||(R.show(),i.shade?V.opacity($):d($,!0),t=!0),e?i.onSelect.call(J,h(a)):i.onChange.call(J,h(a))}function d(e,n,r){(t||n)&&(i.bgFade&&!r?S.animate({opacity:e},{queue:!1,duration:i.fadeTime}):S.css("opacity",e))}function p(){if(i.allowResize)return!0}function m(e){e||p()}e.isArray(i.createHandles)&&function(e){var t;for(t=0;t<e.length;t++)r[e[t]]=a(e[t])}(i.createHandles),i.drawBorders&&e.isArray(i.createBorders)&&function(t){var r,i,o,a;for(i=0;i<t.length;i++){switch(t[i]){case"n":r="hline";break;case"s":r="hline bottom";break;case"e":r="vline right";break;case"w":r="vline"}n[t[i]]=(o=r,a=void 0,a=e("<div></div>").css({position:"absolute"}).addClass(s(o)),R.append(a),a)}}(i.createBorders),e(document).on("touchstart.jcrop-ios",(function(t){e(t.currentTarget).hasClass("jcrop-tracker")&&t.stopPropagation()}));var v=g().mousedown(f("move")).css({cursor:"move",position:"absolute",zIndex:360});return W.support&&v.on("touchstart.jcrop",W.createDragger("move")),D.append(v),{updateVisible:u,update:c,release:function(){R.hide(),i.shade?V.opacity(1):d(1),t=!1,i.onRelease.call(J)},refresh:l,isAwake:function(){return t},setCursor:function(e){v.css("cursor",e)},enableHandles:p,enableOnly:function(){},disableHandles:function(){},animMode:m,setBgOpacity:d,done:function(){m(!1),l()}}}(),K=function(){var t=function(){},n=function(){},r=i.trackDocument;function o(e){return t(u(e)),!1}function a(r){return r.preventDefault(),r.stopPropagation(),B&&(B=!1,n(u(r)),G.isAwake()&&i.onSelect.call(J,h(H.getFixed())),U.css({zIndex:290}),e(document).off(".jcrop"),t=function(){},n=function(){}),!1}function s(e){return e.pageX=e.originalEvent.changedTouches[0].pageX,e.pageY=e.originalEvent.changedTouches[0].pageY,o(e)}function l(e){return e.pageX=e.originalEvent.changedTouches[0].pageX,e.pageY=e.originalEvent.changedTouches[0].pageY,a(e)}return r||U.mousemove(o).mouseup(a).mouseout(a),S.before(U),{activateHandlers:function(i,u){return B=!0,t=i,n=u,U.css({zIndex:450}),W.support&&e(document).on("touchmove.jcrop",s).on("touchend.jcrop",l),r&&e(document).on("mousemove.jcrop",o).on("mouseup.jcrop",a),!1}}}(),Y=function(){var t=e('<input type="radio" />').css({position:"fixed",left:"-120px",width:"12px"}).addClass("jcrop-keymgr"),n=e("<div></div>").css({position:"absolute",overflow:"hidden"}).append(t);function r(e,t,n){i.allowMove&&(H.moveOffset([t,n]),G.updateVisible(!0)),e.preventDefault(),e.stopPropagation()}return i.keySupport&&(t.keydown((function(e){if(e.ctrlKey||e.metaKey)return!0;var t=e.shiftKey?10:1;switch(e.keyCode){case 37:r(e,-t,0);break;case 39:r(e,t,0);break;case 38:r(e,0,-t);break;case 40:r(e,0,t);break;case 9:return!0}return!1})).blur((function(e){t.hide()})),t.css({position:"absolute",left:"-20px"}),n.append(t).insertBefore(S)),{watchKeys:function(){i.keySupport&&(t.show(),t.focus())}}}();function Z(e){(function(e){H.setPressed([e[0],e[1]]),H.setCurrent([e[2],e[3]]),G.update()})([e[0]/j,e[1]/F,e[2]/j,e[3]/F]),i.onSelect.call(J,h(H.getFixed())),G.enableHandles()}function X(t,n,r){var o=n||i.bgColor;i.bgFade&&e.fx.step.hasOwnProperty("backgroundColor")&&i.fadeTime&&!r?t.animate({backgroundColor:o},{queue:!1,duration:i.fadeTime}):t.css("backgroundColor",o)}function Q(e){i.allowResize&&(e||G.enableHandles()),G.setCursor(i.allowMove?"move":"default"),i.hasOwnProperty("trueSize")&&(j=i.trueSize[0]/A,F=i.trueSize[1]/T),i.hasOwnProperty("setSelect")&&(Z(i.setSelect),G.done(),delete i.setSelect),V.refresh(),i.bgColor!=q&&(X(i.shade?V.getShades():O,i.shade&&i.shadeColor||i.bgColor),q=i.bgColor),$!=i.bgOpacity&&($=i.bgOpacity,i.shade?V.refresh():G.setBgOpacity($)),I=i.maxSize[0]||0,M=i.maxSize[1]||0,L=i.minSize[0]||0,N=i.minSize[1]||0,i.hasOwnProperty("outerImage")&&(S.attr("src",i.outerImage),delete i.outerImage),G.refresh()}Q(!0);var J={setSelect:Z,setOptions:function(e){c(e),Q()},tellSelect:function(){return h(H.getFixed())},tellScaled:function(){return H.getFixed()},setClass:function(e){O.removeClass().addClass(s("holder")).addClass(e)},disable:function(){i.disabled=!0,G.setCursor("default")},enable:function(){i.disabled=!1,Q()},cancel:function(){G.done(),K.activateHandlers(null,null)},release:G.release,destroy:function(){O.remove(),k.show(),k.css("visibility","visible"),e(t).removeData("Jcrop")},focus:Y.watchKeys,getBounds:function(){return[A*j,T*F]},getWidgetSize:function(){return[A,T]},getScaleFactor:function(){return[j,F]},getOptions:function(){return i},ui:{holder:O,selection:R}};return k.data("Jcrop",J),J},e.fn.Jcrop=function(t,n){var r;return this.each((function(){if(e(this).data("Jcrop")){if("api"===t)return e(this).data("Jcrop");e(this).data("Jcrop").setOptions(t)}else"IMG"==this.tagName?e.Jcrop.Loader(this,(function(){e(this).css({display:"block",visibility:"hidden"}),r=e.Jcrop(this,t),e.isFunction(n)&&n.call(r)})):(e(this).css({display:"block",visibility:"hidden"}),r=e.Jcrop(this,t),e.isFunction(n)&&n.call(r))})),this},e.Jcrop.Loader=function(t,n,r){var i=e(t),o=i[0];i.on("load.jcloader",(function t(){o.complete?(i.off(".jcloader"),e.isFunction(n)&&n.call(o)):window.setTimeout(t,50)})).on("error.jcloader",(function(t){i.off(".jcloader"),e.isFunction(r)&&r.call(o)})),o.complete&&e.isFunction(n)&&(i.off(".jcloader"),n.call(o))},e.Jcrop.defaults={allowMove:!0,allowResize:!0,trackDocument:!0,baseClass:"jcrop",addClass:null,bgColor:"black",bgOpacity:.6,bgFade:!1,aspectRatio:0,keySupport:!0,createHandles:["n","s","e","w","nw","ne","se","sw"],createBorders:["n","s","e","w"],drawBorders:!0,dragEdges:!0,fixedSupport:!0,touchSupport:null,shade:null,boxWidth:0,boxHeight:0,boundary:2,fadeTime:400,animationDelay:20,swingSpeed:3,maxSize:[0,0],minSize:[0,0],onChange:function(){},onSelect:function(){},onDblClick:function(){},onRelease:function(){}}}(n.default);var rr=/-\/crop\/([0-9]+)x([0-9]+)(\/(center|([0-9]+),([0-9]+)))?\//i,ir=function(){function e(t,r){var i=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};s(this,e),this.element=t,this.originalSize=r,this.__api=n.default.Jcrop(this.element[0],{trueSize:this.originalSize,baseClass:"uploadcare--jcrop",addClass:"uploadcare--crop-widget",createHandles:["nw","ne","se","sw"],bgColor:"transparent",bgOpacity:.8}),this.setCrop(i),this.setSelection()}return c(e,[{key:"setCrop",value:function(e){return this.crop=e,this.__api.setOptions({aspectRatio:e.preferedSize?e.preferedSize[0]/e.preferedSize[1]:0,minSize:e.notLess?fe(e.preferedSize,this.originalSize):[0,0]})}},{key:"setSelection",value:function(e){var t,n,r,i;return e?(t=e.center,r=[e.width,e.height]):(t=!0,r=this.originalSize),this.crop.preferedSize&&(r=fe(this.crop.preferedSize,r,!0)),t?(n=(this.originalSize[0]-r[0])/2,i=(this.originalSize[1]-r[1])/2):(n=e.left||0,i=e.top||0),this.__api.setSelect([n,i,r[0]+n,r[1]+i])}},{key:"__parseModifiers",value:function(e){var t=null!=e?e.match(rr):void 0;if(t)return{width:parseInt(t[1],10),height:parseInt(t[2],10),center:"center"===t[4],left:parseInt(t[5],10)||void 0,top:parseInt(t[6],10)||void 0}}},{key:"setSelectionFromModifiers",value:function(e){return this.setSelection(this.__parseModifiers(e))}},{key:"getSelection",value:function(){var e,t,n;return e=this.__api.tellSelect(),{left:t=Math.round(Math.max(0,e.x)),top:n=Math.round(Math.max(0,e.y)),width:Math.round(Math.min(this.originalSize[0],e.x2))-t,height:Math.round(Math.min(this.originalSize[1],e.y2))-n}}},{key:"applySelectionToFile",value:function(e){var t=this;return e.then((function(e){return he(e,t.crop,t.originalSize,t.getSelection())}))}}]),e}(),or=function(){function e(t,n,r,i,o){var a,l=this;s(this,e),this.container=t,this.tabButton=n,this.dialogApi=r,this.settings=i,this.name=o,this.__initTabButtonCircle(),this.container.addClass("uploadcare--preview"),a=":not(:disabled)",this.container.on("click",".uploadcare--preview__back"+a,(function(){return l.dialogApi.fileColl.clear()})),this.container.on("click",".uploadcare--preview__done"+a,this.dialogApi.resolve)}return c(e,[{key:"__initTabButtonCircle",value:function(){var e,t,r,i,o=this;return r=this.tabButton.find(".uploadcare--panel__icon"),t=n.default.Deferred(),i=function(){var e,n,r,i,a;for(i=0,e=0,r=(n=o.dialogApi.fileColl.lastProgresses()).length;e<r;e++)i+=((null!=(a=n[e])?a.progress:void 0)||0)/n.length;return t.notify(i)},this.dialogApi.fileColl.onAnyProgress(i),this.dialogApi.fileColl.onAdd.add(i),this.dialogApi.fileColl.onRemove.add(i),i(),e=new qn(r).listen(t.promise()),this.dialogApi.progress((function(){var t;return(t=e).update.apply(t,arguments)}))}}]),e}(),ar=function(e){p(r,e);var t=g(r);function r(e,i,o,a,l){var u;return s(this,r),(u=t.apply(this,arguments)).container=e,u.tabButton=i,u.dialogApi=o,u.settings=a,u.name=l,n.default.each(u.dialogApi.fileColl.get(),(function(e,t){return u.__setFile(t)})),u.dialogApi.fileColl.onAdd.add(u.__setFile.bind(m(u))),u.widget=null,u.__state=null,u}return c(r,[{key:"__setFile",value:function(e){var t,n,r,i=this;return this.file=e,t=function(t){return function(){if(e===i.file){for(var n=arguments.length,r=new Array(n),o=0;o<n;o++)r[o]=arguments[o];return t.apply(null,r)}}},n=X(this.__tryToLoadImagePreview.bind(this)),r=X(this.__tryToLoadVideoPreview.bind(this)),this.__setState("unknown",{}),this.file.progress(t((function(t){var o,a,s;if(a=((t=t.incompleteFileInfo).name||"")+be(t.size,"",", "),i.container.find(".uploadcare--preview__file-name").text(a),s=t.sourceInfo,o=$,s.file&&o&&s.file instanceof o)return s.file.type&&-1!==s.file.type.search(/^image\//i)?n(e,s.file):s.file.type&&-1!==s.file.type.search(/^video\//i)?r(e,s.file):n(e,s.file).fail((function(){return r(e,s.file)}))}))),this.file.done(t((function(e){var t,n;if("video"!==i.__state)return e.isImage?"image"!==i.__state?(n=e.originalUrl,n+="-/preview/1162x693/-/setfill/ffffff/-/format/jpeg/-/progressive/yes/",i.settings.previewUrlCallback&&(n=i.settings.previewUrlCallback(n,e)),t=e.originalImageInfo,i.__setState("image",{src:n,name:e.name,info:e}),i.initImage([t.width,t.height],e.cdnUrlModifiers)):void 0:i.__setState("regular",{file:e})}))),this.file.fail(t((function(e,t,n){return i.__setState("error",{errorType:e,error:n,file:t})})))}},{key:"__tryToLoadImagePreview",value:function(e,t){var r,i=this;return r=n.default.Deferred(),"pending"!==e.state()||!t.size||t.size>=this.settings.multipartMinSize?r.reject().promise():(At(t,1550,924,"#ffffff",this.settings.imagePreviewMaxSize).done((function(t,n){return xe(t,"image/jpeg",.95,(function(o){var a;if(r.resolve(),t.width=t.height=1,"pending"===e.state()&&"pending"===i.dialogApi.state()&&i.file===e)return a=H.createObjectURL(o),i.dialogApi.always((function(){return H.revokeObjectURL(a)})),"image"!==i.__state?(i.__setState("image",{src:a,name:""}),i.initImage(n)):void 0}))})).fail(r.reject),r.promise())}},{key:"__tryToLoadVideoPreview",value:function(e,t){var r,i,o=this;return r=n.default.Deferred(),H&&t.size?(i=H.createObjectURL(t),bt(i).fail((function(){return H.revokeObjectURL(i),r.reject()})).done((function(){if("pending"===e.state()&&"pending"===o.dialogApi.state()&&o.file===e){o.dialogApi.always((function(){return H.revokeObjectURL(i)})),r.resolve(),o.__setState("video");var t=o.container.find(".uploadcare--preview__video");return t.on("loadeddata",(function(){return t.get(0).currentTime=36e4,t.off("loadeddata")})),t.on("ended",(function(){return t.get(0).currentTime=0,t.off("ended")})),t.attr("src",i),t.get(0).load()}H.revokeObjectURL(i)})),r.promise()):r.reject().promise()}},{key:"__setState",value:function(e,t){this.__state=e,(t=t||{}).crop=this.settings.crop,this.container.empty().append(Ln("tab-preview-".concat(e),o(o({},t),{},{debugUploads:this.settings.debugUploads}))),this.container.removeClass((function(e,t){return t.split(" ").filter((function(e){return!!~e.indexOf("uploadcare--preview_status_")})).join(" ")})),"unknown"===e&&this.settings.crop&&this.container.find(".uploadcare--preview__done").hide(),"error"===e&&this.container.addClass("uploadcare--preview_status_error-"+t.errorType),this.container.find(".uploadcare--preview__done").focus()}},{key:"initImage",value:function(e,t){var n,r,i,o,a=this;if(r=this.container.find(".uploadcare--preview__image"),n=this.container.find(".uploadcare--preview__done"),i=yt(r[0]).done((function(){return a.container.addClass("uploadcare--preview_status_loaded")})).fail((function(){return a.file=null,a.__setState("error",{error:"loadImage"})})),o=function(){return a.container.find(".uploadcare--crop-sizes__item").attr("aria-disabled",!1).attr("tabindex",0),n.attr("disabled",!1).attr("aria-disabled",!1),a.widget=new ir(r,e,a.settings.crop[0]),t&&a.widget.setSelectionFromModifiers(t),n.on("click",(function(){var e;return e=a.widget.applySelectionToFile(a.file),a.dialogApi.fileColl.replace(a.file,e),!0}))},this.settings.crop)return this.container.find(".uploadcare--preview__title").text(mn.t("dialog.tabs.preview.crop.title")),this.container.find(".uploadcare--preview__content").addClass("uploadcare--preview__content_crop"),n.attr("disabled",!0).attr("aria-disabled",!0),n.text(mn.t("dialog.tabs.preview.crop.done")),this.populateCropSizes(),this.container.find(".uploadcare--crop-sizes__item").attr("aria-disabled",!0).attr("tabindex",-1),i.done((function(){return Y(o)}))}},{key:"populateCropSizes",value:function(){var e,t,r,i=this;return e=this.container.find(".uploadcare--crop-sizes"),r=e.children(),t="uploadcare--crop-sizes__item_current",n.default.each(this.settings.crop,(function(o,a){var s,l,u,c,d,p;return(d=a.preferedSize)?(l=Z(d[0],d[1]),s="".concat(d[0]/l,":").concat(d[1]/l)):s=mn.t("dialog.tabs.preview.crop.free"),c=r.clone().appendTo(e).attr("data-caption",s).on("click",(function(r){"true"!==n.default(r.currentTarget).attr("aria-disabled")&&!n.default(r.currentTarget).hasClass(t)&&i.settings.crop.length>1&&i.widget&&(i.widget.setCrop(a),e.find(">*").removeClass(t),c.addClass(t))})),d?(p=fe(d,[30,30],!0),c.children().css({width:Math.max(20,p[0]),height:Math.max(12,p[1])})):(u=n.default("<svg width='32' height='32'><use xlink:href='#uploadcare--icon-crop-free'/></svg>").attr("role","presentation").attr("class","uploadcare--icon"),c.children().append(u).addClass("uploadcare--crop-sizes__icon_free"))})),r.remove(),e.find(">*").eq(0).addClass(t)}},{key:"displayed",value:function(){this.dialogApi.takeFocus()&&this.container.find(".uploadcare--preview__done").focus()}}]),r}(or);A()&&function(e){function t(e){this.targets=e,this.last=null,this.update()}t.prototype={update:function(){var t={};this.targets.each((function(n){var r=e(this).offset();r.top in t||(t[r.top]=[]),t[r.top].push([r.left+this.offsetWidth/2,this])})),this.rows=t},find:function(e,t){var n,r,i,o=1/0,a=this.rows;for(r in a)(l=Math.abs(r-t))<o&&(o=l,n=a[r]);o=Math.abs(n[0][0]-e),i=n[0][1];for(var s=1;s<n.length;s++){var l;(l=Math.abs(n[s][0]-e))<o&&(o=l,i=n[s][1])}return i},findNotLast:function(e,t){var n=this.find(e,t);return this.last&&n&&this.last==n?null:this.last=n}};var n="uploadcareMovable",r={};r[n]=function(t){function r(e){if(t.touch){var n,r;if((r=e.originalEvent.touches)&&r.length)n=r[0];else{if(!(r=e.originalEvent.changedTouches)||!r.length)return;n=r[0]}e.pageX=n.pageX,e.pageY=n.pageY,e.which=1}}t=e.extend({distance:4,anyButton:!1,axis:!1,zIndex:1e3,start:e.noop,move:e.noop,finish:e.noop,items:null,keepFake:!1,touch:!0},t);var i="mousedown.{} touchstart.{}".replace(/\{}/g,n);this.on(i,t.items,null,(function(i){if(r(i),t.anyButton||1==i.which){i.preventDefault();var o=!1,a=e(this),s=!1,l=a.position();l.top+=a.offsetParent().scrollTop(),l.left+=a.offsetParent().scrollLeft();var u="mousemove.{} touchmove.{}".replace(/\{}/g,n);e(document).on(u,(function(e){if(r(e),!o&&(Math.abs(e.pageX-i.pageX)>t.distance||Math.abs(e.pageY-i.pageY)>t.distance)&&(o=!0,s=a.clone().css({position:"absolute",zIndex:t.zIndex,width:a.width()}).appendTo(a.offsetParent()),t.start({event:e,dragged:a,fake:s})),o){e.preventDefault();var n="y"==t.axis?0:e.pageX-i.pageX,u="x"==t.axis?0:e.pageY-i.pageY;s.css({left:n+l.left,top:u+l.top}),t.move({event:e,dragged:a,fake:s,dx:n,dy:u})}})),u="mouseup.{} touchend.{} touchcancel.{} touchleave.{}",e(document).on(u.replace(/\{}/g,n),(function(l){r(l);if(e(document).off("mousemove.{} touchmove.{} mouseup.{} touchend.{} touchcancel.{} touchleave.{}".replace(/\{}/g,n)),o){l.preventDefault();var u=l.pageX-i.pageX,c=l.pageY-i.pageY;o=!1,t.finish({event:l,dragged:a,fake:s,dx:u,dy:c}),t.keepFake||s.remove()}}))}}))},r.uploadcareSortable=function(r){var i,o=e.extend({items:">*"},r),a=(r=e.extend({checkBounds:function(){return!0},start:e.noop,attach:e.noop,move:e.noop,finish:e.noop},r),!1),s=this;return o.start=function(e){r.start(e),i=new t(s.find(o.items).not(e.fake)),a=e.dragged.next()},o.move=function(t){if(t.nearest=null,r.checkBounds(t)){var n=t.fake.offset(),o=i.findNotLast(n.left+t.dragged.width()/2,n.top);t.nearest=e(o),o&&o!=t.dragged[0]&&(t.dragged.nextAll().filter(o).length>0?t.dragged.insertAfter(o):t.dragged.insertBefore(o),r.attach(t),i.last=null,i.update())}else null!==i.last&&(i.last=null,a.length?t.dragged.insertBefore(a):t.dragged.parent().append(t.dragged),r.attach(t),i.update());r.move(t)},o.finish=function(t){var n=t.fake.offset();t.nearest=null,r.checkBounds(t)&&(t.nearest=e(i.find(n.left+t.dragged.width()/2,n.top))),r.finish(t),i=null},this[n](o)},e.fn.extend(r)}(n.default);var sr=function(e){p(r,e);var t=g(r);function r(){var e;return s(this,r),(e=t.apply(this,arguments)).container.append(Ln("tab-preview-multiple")),e.__fileTpl=n.default(Ln("tab-preview-multiple-file")),e.fileListEl=e.container.find(".uploadcare--files"),e.doneBtnEl=e.container.find(".uploadcare--preview__done"),n.default.each(e.dialogApi.fileColl.get(),(function(t,n){return e.__fileAdded(n)})),e.__updateContainerView(),e.dialogApi.fileColl.onAdd.add(e.__fileAdded.bind(m(e)),(function(){return e.__updateContainerView()})),e.dialogApi.fileColl.onRemove.add(e.__fileRemoved.bind(m(e)),(function(){return e.__updateContainerView()})),e.dialogApi.fileColl.onReplace.add(e.__fileReplaced.bind(m(e)),(function(){return e.__updateContainerView()})),e.dialogApi.fileColl.onAnyProgress(e.__fileProgress.bind(m(e))),e.dialogApi.fileColl.onAnyDone(e.__fileDone.bind(m(e))),e.dialogApi.fileColl.onAnyFail(e.__fileFailed.bind(m(e))),e.fileListEl.addClass(e.settings.imagesOnly?"uploadcare--files_type_tiles":"uploadcare--files_type_table"),e.__setupSorting(),e}return c(r,[{key:"__setupSorting",value:function(){var e=this;return this.fileListEl.uploadcareSortable({touch:!1,axis:this.settings.imagesOnly?"xy":"y",start:function(e){return e.dragged.css("visibility","hidden")},finish:function(t){var n,r;return t.dragged.css("visibility","visible"),n=e.container.find(".uploadcare--file"),r=function(t){return n.index(e.__fileToEl(t))},e.dialogApi.fileColl.sort((function(e,t){return r(e)-r(t)}))}})}},{key:"__updateContainerView",value:function(){var e,t,n,r,i,o,a;if(o=(t=this.dialogApi.fileColl.length())>this.settings.multipleMax,i=t<this.settings.multipleMin,n=o||i,this.doneBtnEl.attr("disabled",n).attr("aria-disabled",n),r=mn.t("dialog.tabs.preview.multiple.question").replace("%files%",mn.t("file",t)),this.container.find(".uploadcare--preview__title").text(r),(e=this.container.find(".uploadcare--preview__message")).empty(),n)return a=o?mn.t("dialog.tabs.preview.multiple.tooManyFiles").replace("%max%",this.settings.multipleMax):t&&i?mn.t("dialog.tabs.preview.multiple.tooFewFiles").replace("%min%",this.settings.multipleMin).replace("%files%",mn.t("file",t)):void 0,e.addClass("uploadcare--error").text(a)}},{key:"__updateFileInfo",value:function(e,t){var n;return n=t.name||mn.t("dialog.tabs.preview.unknownName"),e.find(".uploadcare--file__name").text(n),e.find(".uploadcare--file__description").attr("aria-label",mn.t("dialog.tabs.preview.multiple.file.preview").replace("%file%",n)),e.find(".uploadcare--file__remove").attr("title",mn.t("dialog.tabs.preview.multiple.file.remove").replace("%file%",n)).attr("aria-label",mn.t("dialog.tabs.preview.multiple.file.remove").replace("%file%",n)),e.find(".uploadcare--file__size").text(be(t.size,""))}},{key:"__fileProgress",value:function(e,t){var n;return(n=this.__fileToEl(e)).find(".uploadcare--progressbar__value").css("width",Math.round(100*t.progress)+"%"),this.__updateFileInfo(n,t.incompleteFileInfo)}},{key:"__fileDone",value:function(e,t){var r,i,o,a,s=this;return(i=this.__fileToEl(e).removeClass("uploadcare--file_status_uploading").addClass("uploadcare--file_status_uploaded")).find(".uploadcare--progressbar__value").css("width","100%"),this.__updateFileInfo(i,t),t.isImage?(r="".concat(t.cdnUrl,"-/quality/lightest/-/preview/108x108/"),this.settings.previewUrlCallback&&(r=this.settings.previewUrlCallback(r,t)),a=i.find(".uploadcare--file__name").text(),o=n.default("<img>").attr("src",r).attr("alt",a).addClass("uploadcare--file__icon")):o=n.default("<svg width='32' height='32'><use xlink:href='#uploadcare--icon-file'/></svg>").attr("role","presentation").attr("class","uploadcare--icon uploadcare--file__icon"),i.find(".uploadcare--file__preview").html(o),i.find(".uploadcare--file__description").on("click",(function(){return mr(e,s.settings).done((function(t){return s.dialogApi.fileColl.replace(e,t)}))}))}},{key:"__fileFailed",value:function(e,t,r,i){var o=this.settings.debugUploads&&(null==i?void 0:i.message)||mn.t("serverErrors.".concat(null==i?void 0:i.code))||(null==i?void 0:i.message)||mn.t("errors.".concat(t)),a=this.__fileToEl(e).removeClass("uploadcare--file_status_uploading").addClass("uploadcare--file_status_error");a.find(".uploadcare--file__error").text(o);var s=n.default("<svg width='32' height='32'><use xlink:href='#uploadcare--icon-error'/></svg>").attr("role","presentation").attr("class","uploadcare--icon uploadcare--file__icon");return a.find(".uploadcare--file__preview").html(s)}},{key:"__fileAdded",value:function(e){return this.__createFileEl(e).appendTo(this.fileListEl)}},{key:"__fileRemoved",value:function(e){return this.__fileToEl(e).remove(),n.default(e).removeData()}},{key:"__fileReplaced",value:function(e,t){return this.__createFileEl(t).insertAfter(this.__fileToEl(e)),this.__fileRemoved(e)}},{key:"__fileToEl",value:function(e){return n.default(e).data("dpm-el")||n.default()}},{key:"__createFileEl",value:function(e){var t,r=this;return t=this.__fileTpl.clone().on("click",".uploadcare--file__remove",(function(){return r.dialogApi.fileColl.remove(e)})),n.default(e).data("dpm-el",t),t}},{key:"displayed",value:function(){this.container.find(".uploadcare--preview__done").focus()}}]),r}(or);A()&&n.default(window).on("keydown",(function(e){if(pr()&&(27===e.which&&(e.stopImmediatePropagation(),null!=lr&&lr.reject()),9===e.which))return function(e){return!e.shiftKey&&dr.last().is(e.target)?(e.preventDefault(),dr.first().focus()):e.shiftKey&&dr.first().is(e.target)?(e.preventDefault(),dr.last().focus()):void 0}(e)}));var lr=null,ur="uploadcare--page",cr=null,dr=null,pr=function(){return null!==lr},fr=function(){lr&&(lr.reject(),lr=null)},hr=function(e,t,r){var i,o,a;return fr(),cr=document.activeElement,o=n.default(Ln("dialog")).appendTo("body"),a=gr(o.find(".uploadcare--dialog__placeholder"),e,t,r,{inModal:!0}),o.find(".uploadcare--panel").addClass("uploadcare--dialog__panel"),o.addClass("uploadcare--dialog_status_active"),a.dialogElement=o,(dr=o.find("select, input, textarea, button, a[href]")).first().focus(),i=function(e,t){var n,r;return r=e.scrollTop(),n=e.scrollLeft(),t&&e.scrollTop(0).scrollLeft(0),function(){return e.scrollTop(r).scrollLeft(n)}}(n.default(window),"absolute"===o.css("position")),n.default("html, body").addClass(ur),o.find(".uploadcare--dialog__close").on("click",a.reject),o.on("dblclick",(function(e){var t;if(n.default.contains(document.documentElement,e.target)&&(t=".uploadcare--dialog__panel, .uploadcare--dialog__powered-by",!n.default(e.target).is(t)&&!n.default(e.target).parents(t).length))return a.reject()})),lr=a.always((function(){return n.default("html, body").removeClass(ur),lr=null,o.remove(),i(),cr.focus()}))},mr=function(e,t){var r,i;return i=lr,lr=null,t=n.default.extend({},t,{multiple:!1,tabs:""}),r=hr(e,"preview",t),null!=i&&i.dialogElement.addClass("uploadcare--dialog_status_inactive"),r.always((function(){if(lr=i,null!=i)return n.default("html, body").addClass(ur),i.dialogElement.removeClass("uploadcare--dialog_status_inactive")})),r.onTabVisibility((function(e,t){if("preview"===e&&!t)return r.reject()})),r},gr=function(e,t,r,i){var o,a,s=arguments.length>4&&void 0!==arguments[4]?arguments[4]:{inModal:!1};return n.default.isPlainObject(r)&&(i=r,r=null),t?an(t)?t=t.files():n.default.isArray(t)||(t=[t]):t=[],i=ht(i),a=new br(i,e,t,r,s).publicPromise(),o=function(e){return i.multiple?xn(e,i):e[0]},J(a,o,o).promise(a)},vr={},yr=function(e,t){return vr[e]=t,vr[e]};yr("file",Jn),yr("url",er),yr("camera",nr),yr("facebook",Tn),yr("dropbox",Tn),yr("gdrive",Tn),yr("gphotos",Tn),yr("instagram",Tn),yr("flickr",Tn),yr("vk",Tn),yr("evernote",Tn),yr("box",Tn),yr("onedrive",Tn),yr("huddle",Tn),yr("nft",Tn),yr("empty-pubkey",(function(e,t,n,r){return e.append('<div class="uploadcare--tab__content">\n<div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title">Hello!</div>\n<div class="uploadcare--text">Your <a class="uploadcare--link" href="https://uploadcare.com/dashboard/">public key</a> is not set.</div>\n<div class="uploadcare--text">Add this to the &lt;head&gt; tag to start uploading files:</div>\n<div class="uploadcare--text uploadcare--text_pre">&lt;script&gt;\nUPLOADCARE_PUBLIC_KEY = \'your_public_key\';\n&lt;/script&gt;</div>\n</div>')})),yr("preview",(function(e,t,n,r,i){if(r.previewStep||0!==n.fileColl.length())return new(r.multiple?sr:ar)(e,t,n,r,i)}));var br=function(){function e(t,r,i,o,a){var l,u=this;s(this,e),this.inModal=a.inModal||!1,this.addFiles=this.addFiles.bind(this),this.__resolve=this.__resolve.bind(this),this.__reject=this.__reject.bind(this),this.__updateFooter=this.__updateFooter.bind(this),this.__closePanel=this.__closePanel.bind(this),this.switchTab=this.switchTab.bind(this),this.showTab=this.showTab.bind(this),this.hideTab=this.hideTab.bind(this),this.isTabVisible=this.isTabVisible.bind(this),this.openMenu=this.openMenu.bind(this),this.settings=t,this.dfd=n.default.Deferred(),this.dfd.always(this.__closePanel),l=".uploadcare--panel",this.content=n.default(Ln("dialog__panel")),this.panel=this.content.find(l).add(this.content.filter(l)),this.placeholder=n.default(r),this.placeholder.replaceWith(this.content),this.panel.append(n.default(Ln("icons"))),this.settings.multiple&&this.panel.addClass("uploadcare--panel_multiple"),this.panel.find(".uploadcare--menu__toggle").on("click",(function(){return u.panel.find(".uploadcare--menu").toggleClass("uploadcare--menu_opened")})),this.files=new pn(i),this.files.onRemove.add((function(){if(0===u.files.length())return u.hideTab("preview")})),this.__autoCrop(this.files),this.tabs={},this.__prepareFooter(),this.onTabVisibility=n.default.Callbacks().add((function(e,t){return u.panel.find(".uploadcare--menu__item_tab_".concat(e)).toggleClass("uploadcare--menu__item_hidden",!t)})),this.settings.publicKey?this.__prepareTabs(o):this.__welcome()}return c(e,[{key:"takeFocus",value:function(){return this.inModal}},{key:"publicPromise",value:function(){return this.promise||(this.promise=this.dfd.promise({reject:this.__reject,resolve:this.__resolve,fileColl:this.files,addFiles:this.addFiles,switchTab:this.switchTab,hideTab:this.hideTab,showTab:this.showTab,isTabVisible:this.isTabVisible,openMenu:this.openMenu,takeFocus:this.takeFocus.bind(this),onTabVisibility:ne(this.onTabVisibility)})),this.promise}},{key:"addFiles",value:function(e,t){var n,r,i;for(t&&(e=nn(e,t,this.settings)),this.settings.multiple||(this.files.clear(),e=[e[0]]),r=0,i=e.length;r<i;r++)n=e[r],this.settings.multipleMaxStrict&&this.files.length()>=this.settings.multipleMax?n.cancel():this.files.add(n);return this.settings.previewStep?(this.showTab("preview"),this.settings.multiple?void 0:this.switchTab("preview")):this.__resolve()}},{key:"__autoCrop",value:function(e){var t,n,r,i=this;if(this.settings.crop&&this.settings.multiple){for(t=0,n=(r=this.settings.crop).length;t<n;t++)if(!r[t].preferedSize)return;return e.autoThen((function(e){var t,n;return!e.isImage||e.cdnUrlModifiers||e.crop?e:(t=e.originalImageInfo,n=fe(i.settings.crop[0].preferedSize,[t.width,t.height],!0),he(e,i.settings.crop[0],[t.width,t.height],{width:n[0],height:n[1],left:Math.round((t.width-n[0])/2),top:Math.round((t.height-n[1])/2)}))}))}}},{key:"__resolve",value:function(){return this.dfd.resolve(this.files.get())}},{key:"__reject",value:function(){return this.dfd.reject(this.files.get())}},{key:"__prepareTabs",value:function(e){var t,n,r,i;for(this.addTab("preview"),t=0,n=(r=this.settings.tabs).length;t<n;t++)i=r[t],this.addTab(i);if(this.files.length()?(this.showTab("preview"),this.switchTab("preview")):(this.hideTab("preview"),this.switchTab(e||this.__firstVisibleTab())),0===this.settings.tabs.length)return this.panel.addClass("uploadcare--panel_menu-hidden"),this.panel.find(".uploadcare--panel__menu").addClass("uploadcare--panel__menu_hidden")}},{key:"__prepareFooter",value:function(){var e,t=this;return this.footer=this.panel.find(".uploadcare--panel__footer"),e=":not(:disabled)",this.footer.on("click",".uploadcare--panel__show-files"+e,(function(){return t.switchTab("preview")})),this.footer.on("click",".uploadcare--panel__done"+e,this.__resolve),this.__updateFooter(),this.files.onAdd.add(this.__updateFooter),this.files.onRemove.add(this.__updateFooter)}},{key:"__updateFooter",value:function(){var e,t,n,r=this.files.length();return n=r>this.settings.multipleMax,t=r<this.settings.multipleMin,this.footer.find(".uploadcare--panel__done").attr("disabled",n||t).attr("aria-disabled",n||t),this.footer.find(".uploadcare--panel__show-files").attr("disabled",0===r).attr("aria-disabled",0===r),e=n?mn.t("dialog.tabs.preview.multiple.tooManyFiles").replace("%max%",this.settings.multipleMax):r&&t?mn.t("dialog.tabs.preview.multiple.tooFewFiles").replace("%min%",this.settings.multipleMin):mn.t("dialog.tabs.preview.multiple.title"),this.footer.find(".uploadcare--panel__message").toggleClass("uploadcare--panel__message_hidden",0===r).toggleClass("uploadcare--error",n||t).text(e.replace("%files%",mn.t("file",r))),this.footer.find(".uploadcare--panel__file-counter").toggleClass("uploadcare--error",n||t).text(r?"(".concat(r,")"):"")}},{key:"__closePanel",value:function(){return this.panel.replaceWith(this.placeholder),this.content.remove()}},{key:"addTab",value:function(e){var t,r,i,o,a=this;if(!(e in this.tabs)){if(!(t=vr[e]))throw new Error("No such tab: ".concat(e));return o=n.default("<div>").addClass("uploadcare--tab").addClass("uploadcare--tab_name_".concat(e)).insertBefore(this.footer),i="preview"===e?n.default('<div class="uploadcare--menu__icon uploadcare--panel__icon" role="progressbar" aria-valuenow="0" aria-valuemin="0" aria-valuemax="100">'):n.default("<svg width='32' height='32'><use xlink:href='#uploadcare--icon-".concat(e,"'/></svg>")).attr("role","presentation").attr("class","uploadcare--icon uploadcare--menu__icon"),r=n.default("<div>",{role:"button",tabindex:"0"}).addClass("uploadcare--menu__item").addClass("uploadcare--menu__item_tab_".concat(e)).attr("title",mn.t("dialog.tabs.names.".concat(e))).append(i).appendTo(this.panel.find(".uploadcare--menu__items")).on("click",(function(){return e===a.currentTab?a.panel.find(".uploadcare--panel__menu").removeClass("uploadcare--menu_opened"):a.switchTab(e)})),this.tabs[e]=new t(o,r,this.publicPromise(),this.settings,e),this.tabs[e]}}},{key:"switchTab",value:function(e){var t;if(e&&this.currentTab!==e)return this.currentTab=e,this.panel.find(".uploadcare--panel__menu").removeClass("uploadcare--menu_opened").attr("data-current",e),this.panel.find(".uploadcare--menu__item").removeClass("uploadcare--menu__item_current").filter(".uploadcare--menu__item_tab_".concat(e)).addClass("uploadcare--menu__item_current"),t="uploadcare--tab",this.panel.find(".".concat(t)).removeClass("".concat(t,"_current")).filter(".".concat(t,"_name_").concat(e)).addClass("".concat(t,"_current")),this.tabs[e].displayed&&this.tabs[e].displayed(),this.dfd.notify(e)}},{key:"showTab",value:function(e){return this.onTabVisibility.fire(e,!0)}},{key:"hideTab",value:function(e){if(this.onTabVisibility.fire(e,!1),this.currentTab===e)return this.switchTab(this.__firstVisibleTab())}},{key:"isTabVisible",value:function(e){return!this.panel.find(".uploadcare--menu__item_tab_".concat(e)).is(".uploadcare--menu__item_hidden")}},{key:"openMenu",value:function(){return this.panel.find(".uploadcare--panel__menu").addClass("uploadcare--menu_opened")}},{key:"__firstVisibleTab",value:function(){var e,t,n,r;for(e=0,t=(n=this.settings.tabs).length;e<t;e++)if(r=n[e],this.isTabVisible(r))return r}},{key:"__welcome",value:function(){var e,t,n,r;for(this.addTab("empty-pubkey"),this.switchTab("empty-pubkey"),e=0,t=(n=this.settings.tabs).length;e<t;e++)r=n[e],this.__addFakeTab(r);return null}},{key:"__addFakeTab",value:function(e){var t;return t=n.default("<svg width='32' height='32'><use xlink:href='#uploadcare--icon-".concat(e,"'/></svg>")).attr("role","presentation").attr("class","uploadcare--icon uploadcare--menu__icon"),"empty-pubkey"===e&&t.addClass("uploadcare--panel__icon"),n.default("<div>").addClass("uploadcare--menu__item").addClass("uploadcare--menu__item_tab_".concat(e)).attr("aria-disabled",!0).attr("title",mn.t("dialog.tabs.names.".concat(e))).append(t).appendTo(this.panel.find(".uploadcare--menu__items"))}}]),e}(),wr=function(){function e(t,r){var i=this;s(this,e),this.element=t,this.settings=r,this.validators=this.settings.validators=[],this.currentObject=null,this.__onDialogOpen=n.default.Callbacks(),this.__onUploadComplete=n.default.Callbacks(),this.__onChange=n.default.Callbacks().add((function(e){return null!=e?e.promise().done((function(e){return i.__onUploadComplete.fire(e)})):void 0})),this.__setupWidget(),this.element.on("change.uploadcare",this.reloadInfo.bind(this)),this.__hasValue=!1,Y((function(){if(!i.__hasValue)return i.reloadInfo()}))}return c(e,[{key:"__setupWidget",value:function(){var e,t=this;return this.template=new Qn(this.settings,this.element),(e=["buttons.choose"]).push(this.settings.imagesOnly?"images":"files"),e.push(this.settings.multiple?"other":"one"),this.template.addButton("open",mn.t(e.join("."))).toggleClass("needsclick",this.settings.systemDialog).on("click",(function(){return t.openDialog()})),this.template.addButton("cancel",mn.t("buttons.cancel")).on("click",(function(){return t.__setObject(null)})),this.template.addButton("remove",mn.t("buttons.remove")).on("click",(function(){return t.__setObject(null)})),this.template.content.on("click",".uploadcare--widget__file-name",(function(){return t.openDialog()})),Kn(this.template.content,this.__handleDirectSelection.bind(this)),this.template.reset()}},{key:"__infoToValue",value:function(e){return e.cdnUrlModifiers||this.settings.pathValue?e.cdnUrl:e.uuid}},{key:"__reset",value:function(){var e;return e=this.currentObject,this.currentObject=null,null!=e&&"function"==typeof e.cancel&&e.cancel(),this.template.reset()}},{key:"__setObject",value:function(e){if(e!==this.currentObject)return this.__reset(),e?(this.currentObject=e,this.__watchCurrentObject()):this.element.val(""),this.__onChange.fire(this.currentObject)}},{key:"__watchCurrentObject",value:function(){var e,t=this;if(e=this.__currentFile())return this.template.listen(e),e.done((function(n){if(e===t.__currentFile())return t.__onUploadingDone(n)})).fail((function(n,r,i){if(e===t.__currentFile())return t.__onUploadingFailed(n,i)}))}},{key:"__onUploadingDone",value:function(e){return this.element.val(this.__infoToValue(e)),this.template.setFileInfo(e),this.template.loaded()}},{key:"__onUploadingFailed",value:function(e,t){return this.template.reset(),this.template.error(e,t)}},{key:"__setExternalValue",value:function(e){return this.__setObject(on(e,this.settings))}},{key:"value",value:function(e){return void 0!==e?(this.__hasValue=!0,this.__setExternalValue(e),this):this.currentObject}},{key:"reloadInfo",value:function(){return this.value(this.element.val())}},{key:"openDialog",value:function(e){var t=this;return this.settings.systemDialog?ve(this.template.content,this.settings,(function(e){return t.__handleDirectSelection("object",e.files)})):this.__openDialog(e)}},{key:"__openDialog",value:function(e){var t;return t=hr(this.currentObject,e,this.settings),this.__onDialogOpen.fire(t),t.done(this.__setObject.bind(this))}},{key:"api",value:function(){return this.__api||(this.__api=ee(this,["openDialog","reloadInfo","value","validators"]),this.__api.onChange=ne(this.__onChange),this.__api.onUploadComplete=ne(this.__onUploadComplete),this.__api.onDialogOpen=ne(this.__onDialogOpen),this.__api.inputElement=this.element.get(0)),this.__api}}]),e}(),_r=function(e){p(n,e);var t=g(n);function n(){return s(this,n),t.apply(this,arguments)}return c(n,[{key:"__currentFile",value:function(){return this.currentObject}},{key:"__handleDirectSelection",value:function(e,t){var n=tn(e,t[0],this.settings);return this.settings.systemDialog||!this.settings.previewStep?this.__setObject(n):this.__openDialog("preview").addFiles([n])}}]),n}(wr);_r._name="SingleWidget";var xr=function(e){p(n,e);var t=g(n);function n(){return s(this,n),t.apply(this,arguments)}return c(n,[{key:"__currentFile",value:function(){var e;return null!=(e=this.currentObject)?e.promise():void 0}},{key:"__setObject",value:function(e){return ln(this.currentObject,e)?e?void 0:(this.__reset(),this.element.val("")):v(f(n.prototype),"__setObject",this).call(this,e)}},{key:"__setExternalValue",value:function(e){var t,n=this;return this.__lastGroupPr=t=sn(e,this.settings),e&&(this.template.setStatus("started"),this.template.statusText.text(mn.t("loadingInfo"))),t.done((function(e){if(n.__lastGroupPr===t)return n.__setObject(e)})).fail((function(){if(n.__lastGroupPr===t)return n.__onUploadingFailed("createGroup")}))}},{key:"__handleDirectSelection",value:function(e,t){var n=nn(e,t,this.settings);return this.settings.systemDialog?this.__setObject(xn(n,this.settings)):this.__openDialog("preview").addFiles(n)}}]),n}(wr);xr._name="MultipleWidget";var kr="uploadcareWidget",Er=function(){var e,t,r,i,o,a,s=arguments.length>0&&void 0!==arguments[0]?arguments[0]:":root";for(o=[],t=0,r=(i=n.default(s)).length;t<r;t++)e=i[t],a=Cr(e.querySelectorAll('[role~="uploadcare-uploader"]')),o=o.concat(a);return o},Cr=function(e){var t,r,i,o,a;for(i=[],t=0,r=e.length;t<r;t++)o=e[t],(a=n.default(o).data(kr))&&a.inputElement===o||i.push(Or(o));return i},Sr=function(e,t){return Or(e,t,_r)},Ar=function(e,t){return Or(e,t,xr)},Tr=function(e,t){return Or(e,t)},Or=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},r=arguments.length>2?arguments[2]:void 0,i=n.default(e);if(0===i.length)throw new Error("No DOM elements found matching selector");i.length>1&&E("There are multiple DOM elements matching selector"),e=i.eq(0);var a=ht(o(o({},t),e.data())),s=a.multiple?xr:_r;if(r&&s!==r)throw new Error("This element should be processed using ".concat(s._name));var l=e.data(kr);if(!l||l.inputElement!==e[0]){Pr(e);var u=new s(e,a);l=u.api(),e.data(kr,l),u.template.content.data(kr,l)}return l},Pr=function(e){return e.off(".uploadcare").each((function(){var e,t;if((e=(t=n.default(this).next(".uploadcare--widget")).data(kr))&&e.inputElement===this)return t.remove()}))},Dr=X((function(e,t){if(e=ft(e,t),!t)return e.live&&setInterval(Er,100),Er()}));A()&&n.default((function(){window.UPLOADCARE_MANUAL_START||Dr()}));var Rr=o(o({},On),{},{templates:{JST:Mn,tpl:Ln},crop:{CropWidget:ir},dragdrop:{support:Vn,uploadDrop:Gn,watchDragging:Yn,receiveDrop:Kn},ui:{progress:{Circle:qn,BaseRenderer:$n,TextRenderer:Wn,CanvasRenderer:Hn}},widget:{tabs:{FileTab:Jn,UrlTab:er,CameraTab:nr,RemoteTab:Tn,BasePreviewTab:or,PreviewTab:ar,PreviewTabMultiple:sr},Template:Qn,BaseWidget:wr,Widget:_r,MultipleWidget:xr},isDialogOpened:pr,closeDialog:fr,openDialog:hr,openPreviewDialog:mr,openPanel:gr,registerTab:yr,initialize:Er,SingleWidget:Sr,MultipleWidget:Ar,Widget:Tr,start:Dr}),Ir=Pn(Rr),Mr=o(o({},Dn),{},{plugin:Ir,start:Dr,initialize:Er,openDialog:hr,closeDialog:fr,openPanel:gr,registerTab:yr,Circle:qn,SingleWidget:Sr,MultipleWidget:Ar,Widget:Tr,tabsCss:An,dragdrop:{receiveDrop:Kn,support:Vn,uploadDrop:Gn}}),Lr=Pn(Rr);return o(o({},Mr),{},{plugin:Lr,locales:Object.keys(mn.translations)})}(n(38291))},12854:function(e,t){!function(e){"use strict";function t(){for(var e=arguments.length,t=Array(e),n=0;n<e;n++)t[n]=arguments[n];if(t.length>1){t[0]=t[0].slice(0,-1);for(var r=t.length-1,i=1;i<r;++i)t[i]=t[i].slice(1,-1);return t[r]=t[r].slice(1),t.join("")}return t[0]}function n(e){return"(?:"+e+")"}function r(e){return void 0===e?"undefined":null===e?"null":Object.prototype.toString.call(e).split(" ").pop().split("]").shift().toLowerCase()}function i(e){return e.toUpperCase()}function o(e){var r="[A-Za-z]",i="[0-9]",o=t(i,"[A-Fa-f]"),a=n(n("%[EFef]"+o+"%"+o+o+"%"+o+o)+"|"+n("%[89A-Fa-f]"+o+"%"+o+o)+"|"+n("%"+o+o)),s="[\\!\\$\\&\\'\\(\\)\\*\\+\\,\\;\\=]",l=t("[\\:\\/\\?\\#\\[\\]\\@]",s),u=e?"[\\uE000-\\uF8FF]":"[]",c=t(r,i,"[\\-\\.\\_\\~]",e?"[\\xA0-\\u200D\\u2010-\\u2029\\u202F-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFEF]":"[]"),d=n(r+t(r,i,"[\\+\\-\\.]")+"*"),p=n(n(a+"|"+t(c,s,"[\\:]"))+"*"),f=(n(n("25[0-5]")+"|"+n("2[0-4]"+i)+"|"+n("1"+i+i)+"|"+n("[1-9]"+i)+"|"+i),n(n("25[0-5]")+"|"+n("2[0-4]"+i)+"|"+n("1"+i+i)+"|"+n("0?[1-9]"+i)+"|0?0?"+i)),h=n(f+"\\."+f+"\\."+f+"\\."+f),m=n(o+"{1,4}"),g=n(n(m+"\\:"+m)+"|"+h),v=n(n(m+"\\:")+"{6}"+g),y=n("\\:\\:"+n(m+"\\:")+"{5}"+g),b=n(n(m)+"?\\:\\:"+n(m+"\\:")+"{4}"+g),w=n(n(n(m+"\\:")+"{0,1}"+m)+"?\\:\\:"+n(m+"\\:")+"{3}"+g),_=n(n(n(m+"\\:")+"{0,2}"+m)+"?\\:\\:"+n(m+"\\:")+"{2}"+g),x=n(n(n(m+"\\:")+"{0,3}"+m)+"?\\:\\:"+m+"\\:"+g),k=n(n(n(m+"\\:")+"{0,4}"+m)+"?\\:\\:"+g),E=n(n(n(m+"\\:")+"{0,5}"+m)+"?\\:\\:"+m),C=n(n(n(m+"\\:")+"{0,6}"+m)+"?\\:\\:"),S=n([v,y,b,w,_,x,k,E,C].join("|")),A=n(n(c+"|"+a)+"+"),T=(n(S+"\\%25"+A),n(S+n("\\%25|\\%(?!"+o+"{2})")+A)),O=n("[vV]"+o+"+\\."+t(c,s,"[\\:]")+"+"),P=n("\\["+n(T+"|"+S+"|"+O)+"\\]"),D=n(n(a+"|"+t(c,s))+"*"),R=n(P+"|"+h+"(?!"+D+")|"+D),I=n(i+"*"),M=n(n(p+"@")+"?"+R+n("\\:"+I)+"?"),L=n(a+"|"+t(c,s,"[\\:\\@]")),N=n(L+"*"),j=n(L+"+"),F=n(n(a+"|"+t(c,s,"[\\@]"))+"+"),B=n(n("\\/"+N)+"*"),z=n("\\/"+n(j+B)+"?"),U=n(F+B),q=n(j+B),$="(?!"+L+")",W=(n(B+"|"+z+"|"+U+"|"+q+"|"+$),n(n(L+"|"+t("[\\/\\?]",u))+"*")),H=n(n(L+"|[\\/\\?]")+"*"),V=n(n("\\/\\/"+M+B)+"|"+z+"|"+q+"|"+$),G=n(d+"\\:"+V+n("\\?"+W)+"?"+n("\\#"+H)+"?"),K=n(n("\\/\\/"+M+B)+"|"+z+"|"+U+"|"+$),Y=n(K+n("\\?"+W)+"?"+n("\\#"+H)+"?");return n(G+"|"+Y),n(d+"\\:"+V+n("\\?"+W)+"?"),n(n("\\/\\/("+n("("+p+")@")+"?("+R+")"+n("\\:("+I+")")+"?)")+"?("+B+"|"+z+"|"+q+"|"+$+")"),n("\\?("+W+")"),n("\\#("+H+")"),n(n("\\/\\/("+n("("+p+")@")+"?("+R+")"+n("\\:("+I+")")+"?)")+"?("+B+"|"+z+"|"+U+"|"+$+")"),n("\\?("+W+")"),n("\\#("+H+")"),n(n("\\/\\/("+n("("+p+")@")+"?("+R+")"+n("\\:("+I+")")+"?)")+"?("+B+"|"+z+"|"+q+"|"+$+")"),n("\\?("+W+")"),n("\\#("+H+")"),n("("+p+")@"),n("\\:("+I+")"),{NOT_SCHEME:new RegExp(t("[^]",r,i,"[\\+\\-\\.]"),"g"),NOT_USERINFO:new RegExp(t("[^\\%\\:]",c,s),"g"),NOT_HOST:new RegExp(t("[^\\%\\[\\]\\:]",c,s),"g"),NOT_PATH:new RegExp(t("[^\\%\\/\\:\\@]",c,s),"g"),NOT_PATH_NOSCHEME:new RegExp(t("[^\\%\\/\\@]",c,s),"g"),NOT_QUERY:new RegExp(t("[^\\%]",c,s,"[\\:\\@\\/\\?]",u),"g"),NOT_FRAGMENT:new RegExp(t("[^\\%]",c,s,"[\\:\\@\\/\\?]"),"g"),ESCAPE:new RegExp(t("[^]",c,s),"g"),UNRESERVED:new RegExp(c,"g"),OTHER_CHARS:new RegExp(t("[^\\%]",c,l),"g"),PCT_ENCODED:new RegExp(a,"g"),IPV4ADDRESS:new RegExp("^("+h+")$"),IPV6ADDRESS:new RegExp("^\\[?("+S+")"+n(n("\\%25|\\%(?!"+o+"{2})")+"("+A+")")+"?\\]?$")}}var a=o(!1),s=o(!0),l=function(e,t){if(Array.isArray(e))return e;if(Symbol.iterator in Object(e))return function(e,t){var n=[],r=!0,i=!1,o=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){i=!0,o=e}finally{try{!r&&s.return&&s.return()}finally{if(i)throw o}}return n}(e,t);throw new TypeError("Invalid attempt to destructure non-iterable instance")},u=2147483647,c=36,d=/^xn--/,p=/[^\0-\x7E]/,f=/[\x2E\u3002\uFF0E\uFF61]/g,h={overflow:"Overflow: input needs wider integers to process","not-basic":"Illegal input >= 0x80 (not a basic code point)","invalid-input":"Invalid input"},m=Math.floor,g=String.fromCharCode;function v(e){throw new RangeError(h[e])}function y(e,t){var n=e.split("@"),r="";return n.length>1&&(r=n[0]+"@",e=n[1]),r+function(e,t){for(var n=[],r=e.length;r--;)n[r]=t(e[r]);return n}((e=e.replace(f,".")).split("."),t).join(".")}function b(e){for(var t=[],n=0,r=e.length;n<r;){var i=e.charCodeAt(n++);if(i>=55296&&i<=56319&&n<r){var o=e.charCodeAt(n++);56320==(64512&o)?t.push(((1023&i)<<10)+(1023&o)+65536):(t.push(i),n--)}else t.push(i)}return t}var w=function(e,t){return e+22+75*(e<26)-((0!=t)<<5)},_=function(e,t,n){var r=0;for(e=n?m(e/700):e>>1,e+=m(e/t);e>455;r+=c)e=m(e/35);return m(r+36*e/(e+38))},x=function(e){var t,n=[],r=e.length,i=0,o=128,a=72,s=e.lastIndexOf("-");s<0&&(s=0);for(var l=0;l<s;++l)e.charCodeAt(l)>=128&&v("not-basic"),n.push(e.charCodeAt(l));for(var d=s>0?s+1:0;d<r;){for(var p=i,f=1,h=c;;h+=c){d>=r&&v("invalid-input");var g=(t=e.charCodeAt(d++))-48<10?t-22:t-65<26?t-65:t-97<26?t-97:c;(g>=c||g>m((u-i)/f))&&v("overflow"),i+=g*f;var y=h<=a?1:h>=a+26?26:h-a;if(g<y)break;var b=c-y;f>m(u/b)&&v("overflow"),f*=b}var w=n.length+1;a=_(i-p,w,0==p),m(i/w)>u-o&&v("overflow"),o+=m(i/w),i%=w,n.splice(i++,0,o)}return String.fromCodePoint.apply(String,n)},k=function(e){var t=[],n=(e=b(e)).length,r=128,i=0,o=72,a=!0,s=!1,l=void 0;try{for(var d,p=e[Symbol.iterator]();!(a=(d=p.next()).done);a=!0){var f=d.value;f<128&&t.push(g(f))}}catch(e){s=!0,l=e}finally{try{!a&&p.return&&p.return()}finally{if(s)throw l}}var h=t.length,y=h;for(h&&t.push("-");y<n;){var x=u,k=!0,E=!1,C=void 0;try{for(var S,A=e[Symbol.iterator]();!(k=(S=A.next()).done);k=!0){var T=S.value;T>=r&&T<x&&(x=T)}}catch(e){E=!0,C=e}finally{try{!k&&A.return&&A.return()}finally{if(E)throw C}}var O=y+1;x-r>m((u-i)/O)&&v("overflow"),i+=(x-r)*O,r=x;var P=!0,D=!1,R=void 0;try{for(var I,M=e[Symbol.iterator]();!(P=(I=M.next()).done);P=!0){var L=I.value;if(L<r&&++i>u&&v("overflow"),L==r){for(var N=i,j=c;;j+=c){var F=j<=o?1:j>=o+26?26:j-o;if(N<F)break;var B=N-F,z=c-F;t.push(g(w(F+B%z,0))),N=m(B/z)}t.push(g(w(N,0))),o=_(i,O,y==h),i=0,++y}}}catch(e){D=!0,R=e}finally{try{!P&&M.return&&M.return()}finally{if(D)throw R}}++i,++r}return t.join("")},E={version:"2.1.0",ucs2:{decode:b,encode:function(e){return String.fromCodePoint.apply(String,function(e){if(Array.isArray(e)){for(var t=0,n=Array(e.length);t<e.length;t++)n[t]=e[t];return n}return Array.from(e)}(e))}},decode:x,encode:k,toASCII:function(e){return y(e,(function(e){return p.test(e)?"xn--"+k(e):e}))},toUnicode:function(e){return y(e,(function(e){return d.test(e)?x(e.slice(4).toLowerCase()):e}))}},C={};function S(e){var t=e.charCodeAt(0);return t<16?"%0"+t.toString(16).toUpperCase():t<128?"%"+t.toString(16).toUpperCase():t<2048?"%"+(t>>6|192).toString(16).toUpperCase()+"%"+(63&t|128).toString(16).toUpperCase():"%"+(t>>12|224).toString(16).toUpperCase()+"%"+(t>>6&63|128).toString(16).toUpperCase()+"%"+(63&t|128).toString(16).toUpperCase()}function A(e){for(var t="",n=0,r=e.length;n<r;){var i=parseInt(e.substr(n+1,2),16);if(i<128)t+=String.fromCharCode(i),n+=3;else if(i>=194&&i<224){if(r-n>=6){var o=parseInt(e.substr(n+4,2),16);t+=String.fromCharCode((31&i)<<6|63&o)}else t+=e.substr(n,6);n+=6}else if(i>=224){if(r-n>=9){var a=parseInt(e.substr(n+4,2),16),s=parseInt(e.substr(n+7,2),16);t+=String.fromCharCode((15&i)<<12|(63&a)<<6|63&s)}else t+=e.substr(n,9);n+=9}else t+=e.substr(n,3),n+=3}return t}function T(e,t){function n(e){var n=A(e);return n.match(t.UNRESERVED)?n:e}return e.scheme&&(e.scheme=String(e.scheme).replace(t.PCT_ENCODED,n).toLowerCase().replace(t.NOT_SCHEME,"")),void 0!==e.userinfo&&(e.userinfo=String(e.userinfo).replace(t.PCT_ENCODED,n).replace(t.NOT_USERINFO,S).replace(t.PCT_ENCODED,i)),void 0!==e.host&&(e.host=String(e.host).replace(t.PCT_ENCODED,n).toLowerCase().replace(t.NOT_HOST,S).replace(t.PCT_ENCODED,i)),void 0!==e.path&&(e.path=String(e.path).replace(t.PCT_ENCODED,n).replace(e.scheme?t.NOT_PATH:t.NOT_PATH_NOSCHEME,S).replace(t.PCT_ENCODED,i)),void 0!==e.query&&(e.query=String(e.query).replace(t.PCT_ENCODED,n).replace(t.NOT_QUERY,S).replace(t.PCT_ENCODED,i)),void 0!==e.fragment&&(e.fragment=String(e.fragment).replace(t.PCT_ENCODED,n).replace(t.NOT_FRAGMENT,S).replace(t.PCT_ENCODED,i)),e}function O(e){return e.replace(/^0*(.*)/,"$1")||"0"}function P(e,t){var n=e.match(t.IPV4ADDRESS)||[],r=l(n,2)[1];return r?r.split(".").map(O).join("."):e}function D(e,t){var n=e.match(t.IPV6ADDRESS)||[],r=l(n,3),i=r[1],o=r[2];if(i){for(var a=i.toLowerCase().split("::").reverse(),s=l(a,2),u=s[0],c=s[1],d=c?c.split(":").map(O):[],p=u.split(":").map(O),f=t.IPV4ADDRESS.test(p[p.length-1]),h=f?7:8,m=p.length-h,g=Array(h),v=0;v<h;++v)g[v]=d[v]||p[m+v]||"";f&&(g[h-1]=P(g[h-1],t));var y=g.reduce((function(e,t,n){if(!t||"0"===t){var r=e[e.length-1];r&&r.index+r.length===n?r.length++:e.push({index:n,length:1})}return e}),[]).sort((function(e,t){return t.length-e.length}))[0],b=void 0;if(y&&y.length>1){var w=g.slice(0,y.index),_=g.slice(y.index+y.length);b=w.join(":")+"::"+_.join(":")}else b=g.join(":");return o&&(b+="%"+o),b}return e}var R=/^(?:([^:\/?#]+):)?(?:\/\/((?:([^\/?#@]*)@)?(\[[^\/?#\]]+\]|[^\/?#:]*)(?:\:(\d*))?))?([^?#]*)(?:\?([^#]*))?(?:#((?:.|\n|\r)*))?/i,I=void 0==="".match(/(){0}/)[1];function M(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},n={},r=!1!==t.iri?s:a;"suffix"===t.reference&&(e=(t.scheme?t.scheme+":":"")+"//"+e);var i=e.match(R);if(i){I?(n.scheme=i[1],n.userinfo=i[3],n.host=i[4],n.port=parseInt(i[5],10),n.path=i[6]||"",n.query=i[7],n.fragment=i[8],isNaN(n.port)&&(n.port=i[5])):(n.scheme=i[1]||void 0,n.userinfo=-1!==e.indexOf("@")?i[3]:void 0,n.host=-1!==e.indexOf("//")?i[4]:void 0,n.port=parseInt(i[5],10),n.path=i[6]||"",n.query=-1!==e.indexOf("?")?i[7]:void 0,n.fragment=-1!==e.indexOf("#")?i[8]:void 0,isNaN(n.port)&&(n.port=e.match(/\/\/(?:.|\n)*\:(?:\/|\?|\#|$)/)?i[4]:void 0)),n.host&&(n.host=D(P(n.host,r),r)),void 0!==n.scheme||void 0!==n.userinfo||void 0!==n.host||void 0!==n.port||n.path||void 0!==n.query?void 0===n.scheme?n.reference="relative":void 0===n.fragment?n.reference="absolute":n.reference="uri":n.reference="same-document",t.reference&&"suffix"!==t.reference&&t.reference!==n.reference&&(n.error=n.error||"URI is not a "+t.reference+" reference.");var o=C[(t.scheme||n.scheme||"").toLowerCase()];if(t.unicodeSupport||o&&o.unicodeSupport)T(n,r);else{if(n.host&&(t.domainHost||o&&o.domainHost))try{n.host=E.toASCII(n.host.replace(r.PCT_ENCODED,A).toLowerCase())}catch(e){n.error=n.error||"Host's domain name can not be converted to ASCII via punycode: "+e}T(n,a)}o&&o.parse&&o.parse(n,t)}else n.error=n.error||"URI can not be parsed.";return n}var L=/^\.\.?\//,N=/^\/\.(\/|$)/,j=/^\/\.\.(\/|$)/,F=/^\/?(?:.|\n)*?(?=\/|$)/;function B(e){for(var t=[];e.length;)if(e.match(L))e=e.replace(L,"");else if(e.match(N))e=e.replace(N,"/");else if(e.match(j))e=e.replace(j,"/"),t.pop();else if("."===e||".."===e)e="";else{var n=e.match(F);if(!n)throw new Error("Unexpected dot segment condition");var r=n[0];e=e.slice(r.length),t.push(r)}return t.join("")}function z(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},n=t.iri?s:a,r=[],i=C[(t.scheme||e.scheme||"").toLowerCase()];if(i&&i.serialize&&i.serialize(e,t),e.host)if(n.IPV6ADDRESS.test(e.host));else if(t.domainHost||i&&i.domainHost)try{e.host=t.iri?E.toUnicode(e.host):E.toASCII(e.host.replace(n.PCT_ENCODED,A).toLowerCase())}catch(n){e.error=e.error||"Host's domain name can not be converted to "+(t.iri?"Unicode":"ASCII")+" via punycode: "+n}T(e,n),"suffix"!==t.reference&&e.scheme&&(r.push(e.scheme),r.push(":"));var o=function(e,t){var n=!1!==t.iri?s:a,r=[];return void 0!==e.userinfo&&(r.push(e.userinfo),r.push("@")),void 0!==e.host&&r.push(D(P(String(e.host),n),n).replace(n.IPV6ADDRESS,(function(e,t,n){return"["+t+(n?"%25"+n:"")+"]"}))),"number"!=typeof e.port&&"string"!=typeof e.port||(r.push(":"),r.push(String(e.port))),r.length?r.join(""):void 0}(e,t);if(void 0!==o&&("suffix"!==t.reference&&r.push("//"),r.push(o),e.path&&"/"!==e.path.charAt(0)&&r.push("/")),void 0!==e.path){var l=e.path;t.absolutePath||i&&i.absolutePath||(l=B(l)),void 0===o&&(l=l.replace(/^\/\//,"/%2F")),r.push(l)}return void 0!==e.query&&(r.push("?"),r.push(e.query)),void 0!==e.fragment&&(r.push("#"),r.push(e.fragment)),r.join("")}function U(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},r={};return arguments[3]||(e=M(z(e,n),n),t=M(z(t,n),n)),!(n=n||{}).tolerant&&t.scheme?(r.scheme=t.scheme,r.userinfo=t.userinfo,r.host=t.host,r.port=t.port,r.path=B(t.path||""),r.query=t.query):(void 0!==t.userinfo||void 0!==t.host||void 0!==t.port?(r.userinfo=t.userinfo,r.host=t.host,r.port=t.port,r.path=B(t.path||""),r.query=t.query):(t.path?("/"===t.path.charAt(0)?r.path=B(t.path):(void 0===e.userinfo&&void 0===e.host&&void 0===e.port||e.path?e.path?r.path=e.path.slice(0,e.path.lastIndexOf("/")+1)+t.path:r.path=t.path:r.path="/"+t.path,r.path=B(r.path)),r.query=t.query):(r.path=e.path,void 0!==t.query?r.query=t.query:r.query=e.query),r.userinfo=e.userinfo,r.host=e.host,r.port=e.port),r.scheme=e.scheme),r.fragment=t.fragment,r}function q(e,t){return e&&e.toString().replace(t&&t.iri?s.PCT_ENCODED:a.PCT_ENCODED,A)}var $={scheme:"http",domainHost:!0,parse:function(e,t){return e.host||(e.error=e.error||"HTTP URIs must have a host."),e},serialize:function(e,t){var n="https"===String(e.scheme).toLowerCase();return e.port!==(n?443:80)&&""!==e.port||(e.port=void 0),e.path||(e.path="/"),e}},W={scheme:"https",domainHost:$.domainHost,parse:$.parse,serialize:$.serialize};function H(e){return"boolean"==typeof e.secure?e.secure:"wss"===String(e.scheme).toLowerCase()}var V={scheme:"ws",domainHost:!0,parse:function(e,t){var n=e;return n.secure=H(n),n.resourceName=(n.path||"/")+(n.query?"?"+n.query:""),n.path=void 0,n.query=void 0,n},serialize:function(e,t){if(e.port!==(H(e)?443:80)&&""!==e.port||(e.port=void 0),"boolean"==typeof e.secure&&(e.scheme=e.secure?"wss":"ws",e.secure=void 0),e.resourceName){var n=e.resourceName.split("?"),r=l(n,2),i=r[0],o=r[1];e.path=i&&"/"!==i?i:void 0,e.query=o,e.resourceName=void 0}return e.fragment=void 0,e}},G={scheme:"wss",domainHost:V.domainHost,parse:V.parse,serialize:V.serialize},K={},Y="[A-Za-z0-9\\-\\.\\_\\~\\xA0-\\u200D\\u2010-\\u2029\\u202F-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFEF]",Z="[0-9A-Fa-f]",X=n(n("%[EFef]"+Z+"%"+Z+Z+"%"+Z+Z)+"|"+n("%[89A-Fa-f]"+Z+"%"+Z+Z)+"|"+n("%"+Z+Z)),Q=t("[\\!\\$\\%\\'\\(\\)\\*\\+\\,\\-\\.0-9\\<\\>A-Z\\x5E-\\x7E]",'[\\"\\\\]'),J=new RegExp(Y,"g"),ee=new RegExp(X,"g"),te=new RegExp(t("[^]","[A-Za-z0-9\\!\\$\\%\\'\\*\\+\\-\\^\\_\\`\\{\\|\\}\\~]","[\\.]",'[\\"]',Q),"g"),ne=new RegExp(t("[^]",Y,"[\\!\\$\\'\\(\\)\\*\\+\\,\\;\\:\\@]"),"g"),re=ne;function ie(e){var t=A(e);return t.match(J)?t:e}var oe={scheme:"mailto",parse:function(e,t){var n=e,r=n.to=n.path?n.path.split(","):[];if(n.path=void 0,n.query){for(var i=!1,o={},a=n.query.split("&"),s=0,l=a.length;s<l;++s){var u=a[s].split("=");switch(u[0]){case"to":for(var c=u[1].split(","),d=0,p=c.length;d<p;++d)r.push(c[d]);break;case"subject":n.subject=q(u[1],t);break;case"body":n.body=q(u[1],t);break;default:i=!0,o[q(u[0],t)]=q(u[1],t)}}i&&(n.headers=o)}n.query=void 0;for(var f=0,h=r.length;f<h;++f){var m=r[f].split("@");if(m[0]=q(m[0]),t.unicodeSupport)m[1]=q(m[1],t).toLowerCase();else try{m[1]=E.toASCII(q(m[1],t).toLowerCase())}catch(e){n.error=n.error||"Email address's domain name can not be converted to ASCII via punycode: "+e}r[f]=m.join("@")}return n},serialize:function(e,t){var n,r=e,o=null!=(n=e.to)?n instanceof Array?n:"number"!=typeof n.length||n.split||n.setInterval||n.call?[n]:Array.prototype.slice.call(n):[];if(o){for(var a=0,s=o.length;a<s;++a){var l=String(o[a]),u=l.lastIndexOf("@"),c=l.slice(0,u).replace(ee,ie).replace(ee,i).replace(te,S),d=l.slice(u+1);try{d=t.iri?E.toUnicode(d):E.toASCII(q(d,t).toLowerCase())}catch(e){r.error=r.error||"Email address's domain name can not be converted to "+(t.iri?"Unicode":"ASCII")+" via punycode: "+e}o[a]=c+"@"+d}r.path=o.join(",")}var p=e.headers=e.headers||{};e.subject&&(p.subject=e.subject),e.body&&(p.body=e.body);var f=[];for(var h in p)p[h]!==K[h]&&f.push(h.replace(ee,ie).replace(ee,i).replace(ne,S)+"="+p[h].replace(ee,ie).replace(ee,i).replace(re,S));return f.length&&(r.query=f.join("&")),r}},ae=/^([^\:]+)\:(.*)/,se={scheme:"urn",parse:function(e,t){var n=e.path&&e.path.match(ae),r=e;if(n){var i=t.scheme||r.scheme||"urn",o=n[1].toLowerCase(),a=n[2],s=i+":"+(t.nid||o),l=C[s];r.nid=o,r.nss=a,r.path=void 0,l&&(r=l.parse(r,t))}else r.error=r.error||"URN can not be parsed.";return r},serialize:function(e,t){var n=t.scheme||e.scheme||"urn",r=e.nid,i=n+":"+(t.nid||r),o=C[i];o&&(e=o.serialize(e,t));var a=e,s=e.nss;return a.path=(r||t.nid)+":"+s,a}},le=/^[0-9A-Fa-f]{8}(?:\-[0-9A-Fa-f]{4}){3}\-[0-9A-Fa-f]{12}$/,ue={scheme:"urn:uuid",parse:function(e,t){var n=e;return n.uuid=n.nss,n.nss=void 0,t.tolerant||n.uuid&&n.uuid.match(le)||(n.error=n.error||"UUID is not valid."),n},serialize:function(e,t){var n=e;return n.nss=(e.uuid||"").toLowerCase(),n}};C[$.scheme]=$,C[W.scheme]=W,C[V.scheme]=V,C[G.scheme]=G,C[oe.scheme]=oe,C[se.scheme]=se,C[ue.scheme]=ue,e.SCHEMES=C,e.pctEncChar=S,e.pctDecChars=A,e.parse=M,e.removeDotSegments=B,e.serialize=z,e.resolveComponents=U,e.resolve=function(e,t,n){var r=function(e,t){var n=e;if(t)for(var r in t)n[r]=t[r];return n}({scheme:"null"},n);return z(U(M(e,r),M(t,r),r,!0),r)},e.normalize=function(e,t){return"string"==typeof e?e=z(M(e,t),t):"object"===r(e)&&(e=M(z(e,t),t)),e},e.equal=function(e,t,n){return"string"==typeof e?e=z(M(e,n),n):"object"===r(e)&&(e=z(e,n)),"string"==typeof t?t=z(M(t,n),n):"object"===r(t)&&(t=z(t,n)),e===t},e.escapeComponent=function(e,t){return e&&e.toString().replace(t&&t.iri?s.ESCAPE:a.ESCAPE,S)},e.unescapeComponent=q,Object.defineProperty(e,"__esModule",{value:!0})}(t)},49705:function(e,t,n){var r,i,o;o=function(){return function(){return function(e){var t=[];if(0===e.length)return"";if("string"!=typeof e[0])throw new TypeError("Url must be a string. Received "+e[0]);if(e[0].match(/^[^/:]+:\/*$/)&&e.length>1){var n=e.shift();e[0]=n+e[0]}e[0].match(/^file:\/\/\//)?e[0]=e[0].replace(/^([^/:]+):\/*/,"$1:///"):e[0]=e[0].replace(/^([^/:]+):\/*/,"$1://");for(var r=0;r<e.length;r++){var i=e[r];if("string"!=typeof i)throw new TypeError("Url must be a string. Received "+i);""!==i&&(r>0&&(i=i.replace(/^[\/]+/,"")),i=r<e.length-1?i.replace(/[\/]+$/,""):i.replace(/[\/]+$/,"/"),t.push(i))}var o=t.join("/"),a=(o=o.replace(/\/(\?|&|#[^!])/g,"$1")).split("?");return a.shift()+(a.length>0?"?":"")+a.join("&")}("object"==typeof arguments[0]?arguments[0]:[].slice.call(arguments))}},e.exports?e.exports=o():void 0===(i="function"==typeof(r=o)?r.call(t,n,t,e):r)||(e.exports=i)},59639:function(e,t,n){var r;e=n.nmd(e),function(i){t&&t.nodeType,e&&e.nodeType;var o="object"==typeof n.g&&n.g;o.global!==o&&o.window!==o&&o.self;var a,s=2147483647,l=36,u=26,c=38,d=700,p=/^xn--/,f=/[^\x20-\x7E]/,h=/[\x2E\u3002\uFF0E\uFF61]/g,m={overflow:"Overflow: input needs wider integers to process","not-basic":"Illegal input >= 0x80 (not a basic code point)","invalid-input":"Invalid input"},g=l-1,v=Math.floor,y=String.fromCharCode;function b(e){throw new RangeError(m[e])}function w(e,t){for(var n=e.length,r=[];n--;)r[n]=t(e[n]);return r}function _(e,t){var n=e.split("@"),r="";return n.length>1&&(r=n[0]+"@",e=n[1]),r+w((e=e.replace(h,".")).split("."),t).join(".")}function x(e){for(var t,n,r=[],i=0,o=e.length;i<o;)(t=e.charCodeAt(i++))>=55296&&t<=56319&&i<o?56320==(64512&(n=e.charCodeAt(i++)))?r.push(((1023&t)<<10)+(1023&n)+65536):(r.push(t),i--):r.push(t);return r}function k(e){return w(e,(function(e){var t="";return e>65535&&(t+=y((e-=65536)>>>10&1023|55296),e=56320|1023&e),t+y(e)})).join("")}function E(e,t){return e+22+75*(e<26)-((0!=t)<<5)}function C(e,t,n){var r=0;for(e=n?v(e/d):e>>1,e+=v(e/t);e>g*u>>1;r+=l)e=v(e/g);return v(r+(g+1)*e/(e+c))}function S(e){var t,n,r,i,o,a,c,d,p,f,h,m=[],g=e.length,y=0,w=128,_=72;for((n=e.lastIndexOf("-"))<0&&(n=0),r=0;r<n;++r)e.charCodeAt(r)>=128&&b("not-basic"),m.push(e.charCodeAt(r));for(i=n>0?n+1:0;i<g;){for(o=y,a=1,c=l;i>=g&&b("invalid-input"),((d=(h=e.charCodeAt(i++))-48<10?h-22:h-65<26?h-65:h-97<26?h-97:l)>=l||d>v((s-y)/a))&&b("overflow"),y+=d*a,!(d<(p=c<=_?1:c>=_+u?u:c-_));c+=l)a>v(s/(f=l-p))&&b("overflow"),a*=f;_=C(y-o,t=m.length+1,0==o),v(y/t)>s-w&&b("overflow"),w+=v(y/t),y%=t,m.splice(y++,0,w)}return k(m)}function A(e){var t,n,r,i,o,a,c,d,p,f,h,m,g,w,_,k=[];for(m=(e=x(e)).length,t=128,n=0,o=72,a=0;a<m;++a)(h=e[a])<128&&k.push(y(h));for(r=i=k.length,i&&k.push("-");r<m;){for(c=s,a=0;a<m;++a)(h=e[a])>=t&&h<c&&(c=h);for(c-t>v((s-n)/(g=r+1))&&b("overflow"),n+=(c-t)*g,t=c,a=0;a<m;++a)if((h=e[a])<t&&++n>s&&b("overflow"),h==t){for(d=n,p=l;!(d<(f=p<=o?1:p>=o+u?u:p-o));p+=l)_=d-f,w=l-f,k.push(y(E(f+_%w,0))),d=v(_/w);k.push(y(E(d,0))),o=C(n,g,r==i),n=0,++r}++n,++t}return k.join("")}a={version:"1.4.1",ucs2:{decode:x,encode:k},decode:S,encode:A,toASCII:function(e){return _(e,(function(e){return f.test(e)?"xn--"+A(e):e}))},toUnicode:function(e){return _(e,(function(e){return p.test(e)?S(e.slice(4).toLowerCase()):e}))}},void 0===(r=function(){return a}.call(t,n,t,e))||(e.exports=r)}()},883:(e,t,n)=>{"use strict";var r=n(59639);function i(){this.protocol=null,this.slashes=null,this.auth=null,this.host=null,this.port=null,this.hostname=null,this.hash=null,this.search=null,this.query=null,this.pathname=null,this.path=null,this.href=null}var o=/^([a-z0-9.+-]+:)/i,a=/:[0-9]*$/,s=/^(\/\/?(?!\/)[^?\s]*)(\?[^\s]*)?$/,l=["{","}","|","\\","^","`"].concat(["<",">",'"',"`"," ","\r","\n","\t"]),u=["'"].concat(l),c=["%","/","?",";","#"].concat(u),d=["/","?","#"],p=/^[+a-z0-9A-Z_-]{0,63}$/,f=/^([+a-z0-9A-Z_-]{0,63})(.*)$/,h={javascript:!0,"javascript:":!0},m={javascript:!0,"javascript:":!0},g={http:!0,https:!0,ftp:!0,gopher:!0,file:!0,"http:":!0,"https:":!0,"ftp:":!0,"gopher:":!0,"file:":!0},v=n(19126);function y(e,t,n){if(e&&"object"==typeof e&&e instanceof i)return e;var r=new i;return r.parse(e,t,n),r}i.prototype.parse=function(e,t,n){if("string"!=typeof e)throw new TypeError("Parameter 'url' must be a string, not "+typeof e);var i=e.indexOf("?"),a=-1!==i&&i<e.indexOf("#")?"?":"#",l=e.split(a);l[0]=l[0].replace(/\\/g,"/");var y=e=l.join(a);if(y=y.trim(),!n&&1===e.split("#").length){var b=s.exec(y);if(b)return this.path=y,this.href=y,this.pathname=b[1],b[2]?(this.search=b[2],this.query=t?v.parse(this.search.substr(1)):this.search.substr(1)):t&&(this.search="",this.query={}),this}var w=o.exec(y);if(w){var _=(w=w[0]).toLowerCase();this.protocol=_,y=y.substr(w.length)}if(n||w||y.match(/^\/\/[^@/]+@[^@/]+/)){var x="//"===y.substr(0,2);!x||w&&m[w]||(y=y.substr(2),this.slashes=!0)}if(!m[w]&&(x||w&&!g[w])){for(var k,E,C=-1,S=0;S<d.length;S++)-1!==(A=y.indexOf(d[S]))&&(-1===C||A<C)&&(C=A);for(-1!==(E=-1===C?y.lastIndexOf("@"):y.lastIndexOf("@",C))&&(k=y.slice(0,E),y=y.slice(E+1),this.auth=decodeURIComponent(k)),C=-1,S=0;S<c.length;S++){var A;-1!==(A=y.indexOf(c[S]))&&(-1===C||A<C)&&(C=A)}-1===C&&(C=y.length),this.host=y.slice(0,C),y=y.slice(C),this.parseHost(),this.hostname=this.hostname||"";var T="["===this.hostname[0]&&"]"===this.hostname[this.hostname.length-1];if(!T)for(var O=this.hostname.split(/\./),P=(S=0,O.length);S<P;S++){var D=O[S];if(D&&!D.match(p)){for(var R="",I=0,M=D.length;I<M;I++)D.charCodeAt(I)>127?R+="x":R+=D[I];if(!R.match(p)){var L=O.slice(0,S),N=O.slice(S+1),j=D.match(f);j&&(L.push(j[1]),N.unshift(j[2])),N.length&&(y="/"+N.join(".")+y),this.hostname=L.join(".");break}}}this.hostname.length>255?this.hostname="":this.hostname=this.hostname.toLowerCase(),T||(this.hostname=r.toASCII(this.hostname));var F=this.port?":"+this.port:"",B=this.hostname||"";this.host=B+F,this.href+=this.host,T&&(this.hostname=this.hostname.substr(1,this.hostname.length-2),"/"!==y[0]&&(y="/"+y))}if(!h[_])for(S=0,P=u.length;S<P;S++){var z=u[S];if(-1!==y.indexOf(z)){var U=encodeURIComponent(z);U===z&&(U=escape(z)),y=y.split(z).join(U)}}var q=y.indexOf("#");-1!==q&&(this.hash=y.substr(q),y=y.slice(0,q));var $=y.indexOf("?");if(-1!==$?(this.search=y.substr($),this.query=y.substr($+1),t&&(this.query=v.parse(this.query)),y=y.slice(0,$)):t&&(this.search="",this.query={}),y&&(this.pathname=y),g[_]&&this.hostname&&!this.pathname&&(this.pathname="/"),this.pathname||this.search){F=this.pathname||"";var W=this.search||"";this.path=F+W}return this.href=this.format(),this},i.prototype.format=function(){var e=this.auth||"";e&&(e=(e=encodeURIComponent(e)).replace(/%3A/i,":"),e+="@");var t=this.protocol||"",n=this.pathname||"",r=this.hash||"",i=!1,o="";this.host?i=e+this.host:this.hostname&&(i=e+(-1===this.hostname.indexOf(":")?this.hostname:"["+this.hostname+"]"),this.port&&(i+=":"+this.port)),this.query&&"object"==typeof this.query&&Object.keys(this.query).length&&(o=v.stringify(this.query,{arrayFormat:"repeat",addQueryPrefix:!1}));var a=this.search||o&&"?"+o||"";return t&&":"!==t.substr(-1)&&(t+=":"),this.slashes||(!t||g[t])&&!1!==i?(i="//"+(i||""),n&&"/"!==n.charAt(0)&&(n="/"+n)):i||(i=""),r&&"#"!==r.charAt(0)&&(r="#"+r),a&&"?"!==a.charAt(0)&&(a="?"+a),t+i+(n=n.replace(/[?#]/g,(function(e){return encodeURIComponent(e)})))+(a=a.replace("#","%23"))+r},i.prototype.resolve=function(e){return this.resolveObject(y(e,!1,!0)).format()},i.prototype.resolveObject=function(e){if("string"==typeof e){var t=new i;t.parse(e,!1,!0),e=t}for(var n=new i,r=Object.keys(this),o=0;o<r.length;o++){var a=r[o];n[a]=this[a]}if(n.hash=e.hash,""===e.href)return n.href=n.format(),n;if(e.slashes&&!e.protocol){for(var s=Object.keys(e),l=0;l<s.length;l++){var u=s[l];"protocol"!==u&&(n[u]=e[u])}return g[n.protocol]&&n.hostname&&!n.pathname&&(n.pathname="/",n.path=n.pathname),n.href=n.format(),n}if(e.protocol&&e.protocol!==n.protocol){if(!g[e.protocol]){for(var c=Object.keys(e),d=0;d<c.length;d++){var p=c[d];n[p]=e[p]}return n.href=n.format(),n}if(n.protocol=e.protocol,e.host||m[e.protocol])n.pathname=e.pathname;else{for(var f=(e.pathname||"").split("/");f.length&&!(e.host=f.shift()););e.host||(e.host=""),e.hostname||(e.hostname=""),""!==f[0]&&f.unshift(""),f.length<2&&f.unshift(""),n.pathname=f.join("/")}if(n.search=e.search,n.query=e.query,n.host=e.host||"",n.auth=e.auth,n.hostname=e.hostname||e.host,n.port=e.port,n.pathname||n.search){var h=n.pathname||"",v=n.search||"";n.path=h+v}return n.slashes=n.slashes||e.slashes,n.href=n.format(),n}var y=n.pathname&&"/"===n.pathname.charAt(0),b=e.host||e.pathname&&"/"===e.pathname.charAt(0),w=b||y||n.host&&e.pathname,_=w,x=n.pathname&&n.pathname.split("/")||[],k=(f=e.pathname&&e.pathname.split("/")||[],n.protocol&&!g[n.protocol]);if(k&&(n.hostname="",n.port=null,n.host&&(""===x[0]?x[0]=n.host:x.unshift(n.host)),n.host="",e.protocol&&(e.hostname=null,e.port=null,e.host&&(""===f[0]?f[0]=e.host:f.unshift(e.host)),e.host=null),w=w&&(""===f[0]||""===x[0])),b)n.host=e.host||""===e.host?e.host:n.host,n.hostname=e.hostname||""===e.hostname?e.hostname:n.hostname,n.search=e.search,n.query=e.query,x=f;else if(f.length)x||(x=[]),x.pop(),x=x.concat(f),n.search=e.search,n.query=e.query;else if(null!=e.search)return k&&(n.host=x.shift(),n.hostname=n.host,(T=!!(n.host&&n.host.indexOf("@")>0)&&n.host.split("@"))&&(n.auth=T.shift(),n.hostname=T.shift(),n.host=n.hostname)),n.search=e.search,n.query=e.query,null===n.pathname&&null===n.search||(n.path=(n.pathname?n.pathname:"")+(n.search?n.search:"")),n.href=n.format(),n;if(!x.length)return n.pathname=null,n.search?n.path="/"+n.search:n.path=null,n.href=n.format(),n;for(var E=x.slice(-1)[0],C=(n.host||e.host||x.length>1)&&("."===E||".."===E)||""===E,S=0,A=x.length;A>=0;A--)"."===(E=x[A])?x.splice(A,1):".."===E?(x.splice(A,1),S++):S&&(x.splice(A,1),S--);if(!w&&!_)for(;S--;S)x.unshift("..");!w||""===x[0]||x[0]&&"/"===x[0].charAt(0)||x.unshift(""),C&&"/"!==x.join("/").substr(-1)&&x.push("");var T,O=""===x[0]||x[0]&&"/"===x[0].charAt(0);return k&&(n.hostname=O?"":x.length?x.shift():"",n.host=n.hostname,(T=!!(n.host&&n.host.indexOf("@")>0)&&n.host.split("@"))&&(n.auth=T.shift(),n.hostname=T.shift(),n.host=n.hostname)),(w=w||n.host&&x.length)&&!O&&x.unshift(""),x.length>0?n.pathname=x.join("/"):(n.pathname=null,n.path=null),null===n.pathname&&null===n.search||(n.path=(n.pathname?n.pathname:"")+(n.search?n.search:"")),n.auth=e.auth||n.auth,n.slashes=n.slashes||e.slashes,n.href=n.format(),n},i.prototype.parseHost=function(){var e=this.host,t=a.exec(e);t&&(":"!==(t=t[0])&&(this.port=t.substr(1)),e=e.substr(0,e.length-t.length)),e&&(this.hostname=e)},t.parse=y,t.resolve=function(e,t){return y(e,!1,!0).resolve(t)},t.resolveObject=function(e,t){return e?y(e,!1,!0).resolveObject(t):t},t.format=function(e){return"string"==typeof e&&(e=y(e)),e instanceof i?e.format():i.prototype.format.call(e)},t.Url=i},67343:e=>{"use strict";function t(e){return e>=55296&&e<=56319}function n(e){return e>=56320&&e<=57343}e.exports=function(e){if("string"!=typeof e)throw new Error("Input must be string");for(var r=e.length,i=0,o=null,a=null,s=0;s<r;s++)n(o=e.charCodeAt(s))?null!=a&&t(a)?i+=1:i+=3:o<=127?i+=1:o>=128&&o<=2047?i+=2:o>=2048&&o<=65535&&(i+=3),a=o;return i}},5803:(e,t,n)=>{function r(e){try{if(!n.g.localStorage)return!1}catch(e){return!1}var t=n.g.localStorage[e];return null!=t&&"true"===String(t).toLowerCase()}e.exports=function(e,t){if(r("noDeprecation"))return e;var n=!1;return function(){if(!n){if(r("throwDeprecation"))throw new Error(t);r("traceDeprecation")?console.trace(t):console.warn(t),n=!0}return e.apply(this,arguments)}}},31982:e=>{"use strict";function t(e){return function(t){var n=t&&t.line,r=t&&t.column;return isNaN(n)||isNaN(r)||!(n-1 in e)?-1:(e[n-2]||0)+r-1||0}}e.exports=function(e){var n,r=function(e){for(var t=[],n=e.indexOf("\n");-1!==n;)t.push(n+1),n=e.indexOf("\n",n+1);return t.push(e.length+1),t}(String(e));return{toPosition:(n=r,function(e){var t=-1,r=n.length;if(e<0)return{};for(;++t<r;)if(n[t]>e)return{line:t+1,column:e-(n[t-1]||0)+1,offset:e};return{}}),toOffset:t(r)}}},90:(e,t,n)=>{"use strict";var r=n(88062);function i(){}e.exports=a,i.prototype=Error.prototype,a.prototype=new i;var o=a.prototype;function a(e,t,n){var i,o,a;"string"==typeof t&&(n=t,t=null),i=function(e){var t,n=[null,null];return"string"==typeof e&&(-1===(t=e.indexOf(":"))?n[1]=e:(n[0]=e.slice(0,t),n[1]=e.slice(t+1))),n}(n),o=r(t)||"1:1",a={start:{line:null,column:null},end:{line:null,column:null}},t&&t.position&&(t=t.position),t&&(t.start?(a=t,t=t.start):a.start=t),e.stack&&(this.stack=e.stack,e=e.message),this.message=e,this.name=o,this.reason=e,this.line=t?t.line:null,this.column=t?t.column:null,this.location=a,this.source=i[0],this.ruleId=i[1]}o.file="",o.name="",o.reason="",o.message="",o.stack="",o.fatal=null,o.column=null,o.line=null},21142:(e,t,n)=>{"use strict";e.exports=n(32805)},48791:(e,t,n)=>{"use strict";var r=n(16662),i=n(41345),o=n(97033);e.exports=l;var a={}.hasOwnProperty,s=["history","path","basename","stem","extname","dirname"];function l(e){var t,n;if(e){if("string"==typeof e||o(e))e={contents:e};else if("message"in e&&"messages"in e)return e}else e={};if(!(this instanceof l))return new l(e);for(this.data={},this.messages=[],this.history=[],this.cwd=i.cwd(),n=-1;++n<s.length;)t=s[n],a.call(e,t)&&(this[t]=e[t]);for(t in e)s.indexOf(t)<0&&(this[t]=e[t])}function u(e,t){if(e&&e.indexOf(r.sep)>-1)throw new Error("`"+t+"` cannot be a path: did not expect `"+r.sep+"`")}function c(e,t){if(!e)throw new Error("`"+t+"` cannot be empty")}function d(e,t){if(!e)throw new Error("Setting `"+t+"` requires `path` to be set too")}l.prototype.toString=function(e){return(this.contents||"").toString(e)},Object.defineProperty(l.prototype,"path",{get:function(){return this.history[this.history.length-1]},set:function(e){c(e,"path"),this.path!==e&&this.history.push(e)}}),Object.defineProperty(l.prototype,"dirname",{get:function(){return"string"==typeof this.path?r.dirname(this.path):void 0},set:function(e){d(this.path,"dirname"),this.path=r.join(e||"",this.basename)}}),Object.defineProperty(l.prototype,"basename",{get:function(){return"string"==typeof this.path?r.basename(this.path):void 0},set:function(e){c(e,"basename"),u(e,"basename"),this.path=r.join(this.dirname||"",e)}}),Object.defineProperty(l.prototype,"extname",{get:function(){return"string"==typeof this.path?r.extname(this.path):void 0},set:function(e){if(u(e,"extname"),d(this.path,"extname"),e){if(46!==e.charCodeAt(0))throw new Error("`extname` must start with `.`");if(e.indexOf(".",1)>-1)throw new Error("`extname` cannot contain multiple dots")}this.path=r.join(this.dirname,this.stem+(e||""))}}),Object.defineProperty(l.prototype,"stem",{get:function(){return"string"==typeof this.path?r.basename(this.path,this.extname):void 0},set:function(e){c(e,"stem"),u(e,"stem"),this.path=r.join(this.dirname||"",e+(this.extname||""))}})},32805:(e,t,n)=>{"use strict";var r=n(90),i=n(48791);e.exports=i,i.prototype.message=function(e,t,n){var i=new r(e,t,n);return this.path&&(i.name=this.path+":"+i.name,i.file=this.path),i.fatal=!1,this.messages.push(i),i},i.prototype.info=function(){var e=this.message.apply(this,arguments);return e.fatal=null,e},i.prototype.fail=function(){var e=this.message.apply(this,arguments);throw e.fatal=!0,e}},16662:(e,t)=>{"use strict";function n(e){if("string"!=typeof e)throw new TypeError("Path must be a string. Received "+JSON.stringify(e))}t.basename=function(e,t){var r,i,o,a,s=0,l=-1;if(void 0!==t&&"string"!=typeof t)throw new TypeError('"ext" argument must be a string');if(n(e),r=e.length,void 0===t||!t.length||t.length>e.length){for(;r--;)if(47===e.charCodeAt(r)){if(o){s=r+1;break}}else l<0&&(o=!0,l=r+1);return l<0?"":e.slice(s,l)}if(t===e)return"";for(i=-1,a=t.length-1;r--;)if(47===e.charCodeAt(r)){if(o){s=r+1;break}}else i<0&&(o=!0,i=r+1),a>-1&&(e.charCodeAt(r)===t.charCodeAt(a--)?a<0&&(l=r):(a=-1,l=i));return s===l?l=i:l<0&&(l=e.length),e.slice(s,l)},t.dirname=function(e){var t,r,i;if(n(e),!e.length)return".";for(t=-1,i=e.length;--i;)if(47===e.charCodeAt(i)){if(r){t=i;break}}else r||(r=!0);return t<0?47===e.charCodeAt(0)?"/":".":1===t&&47===e.charCodeAt(0)?"//":e.slice(0,t)},t.extname=function(e){var t,r,i,o=-1,a=0,s=-1,l=0;for(n(e),i=e.length;i--;)if(47!==(r=e.charCodeAt(i)))s<0&&(t=!0,s=i+1),46===r?o<0?o=i:1!==l&&(l=1):o>-1&&(l=-1);else if(t){a=i+1;break}return o<0||s<0||0===l||1===l&&o===s-1&&o===a+1?"":e.slice(o,s)},t.join=function(){for(var e,t,r,i,o=-1;++o<arguments.length;)n(arguments[o]),arguments[o]&&(e=void 0===e?arguments[o]:e+"/"+arguments[o]);return void 0===e?".":(n(t=e),r=47===t.charCodeAt(0),i=function(e,t){for(var n,r,i="",o=0,a=-1,s=0,l=-1;++l<=e.length;){if(l<e.length)n=e.charCodeAt(l);else{if(47===n)break;n=47}if(47===n){if(a===l-1||1===s);else if(a!==l-1&&2===s){if(i.length<2||2!==o||46!==i.charCodeAt(i.length-1)||46!==i.charCodeAt(i.length-2))if(i.length>2){if((r=i.lastIndexOf("/"))!==i.length-1){r<0?(i="",o=0):o=(i=i.slice(0,r)).length-1-i.lastIndexOf("/"),a=l,s=0;continue}}else if(i.length){i="",o=0,a=l,s=0;continue}t&&(i=i.length?i+"/..":"..",o=2)}else i.length?i+="/"+e.slice(a+1,l):i=e.slice(a+1,l),o=l-a-1;a=l,s=0}else 46===n&&s>-1?s++:s=-1}return i}(t,!r),i.length||r||(i="."),i.length&&47===t.charCodeAt(t.length-1)&&(i+="/"),r?"/"+i:i)},t.sep="/"},41345:(e,t)=>{"use strict";t.cwd=function(){return"/"}},97033:e=>{e.exports=function(e){return null!=e&&null!=e.constructor&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)}},45982:e=>{"use strict";e.exports=function(){}},30762:function(e){var t;t=function(){return function(e){var t={};function n(r){if(t[r])return t[r].exports;var i=t[r]={exports:{},id:r,loaded:!1};return e[r].call(i.exports,i,i.exports,n),i.loaded=!0,i.exports}return n.m=e,n.c=t,n.p="",n(0)}([function(e,t){"use strict";e.exports=function(){if("undefined"==typeof document||"undefined"==typeof window)return{ask:function(){return"initial"},element:function(){return null},ignoreKeys:function(){},specificKeys:function(){},registerOnChange:function(){},unRegisterOnChange:function(){}};var e=document.documentElement,t=null,n="initial",r=n,i=Date.now(),o=!1,a=["button","input","select","textarea"],s=[],l=[16,17,18,91,93],u=[],c={keydown:"keyboard",keyup:"keyboard",mousedown:"mouse",mousemove:"mouse",MSPointerDown:"pointer",MSPointerMove:"pointer",pointerdown:"pointer",pointermove:"pointer",touchstart:"touch",touchend:"touch"},d=!1,p={x:null,y:null},f={2:"touch",3:"touch",4:"mouse"},h=!1;try{var m=Object.defineProperty({},"passive",{get:function(){h=!0}});window.addEventListener("test",null,m)}catch(e){}var g,v=function(){if(o=!("false"===e.getAttribute("data-whatpersist")||"false"===document.body.getAttribute("data-whatpersist")))try{window.sessionStorage.getItem("what-input")&&(n=window.sessionStorage.getItem("what-input")),window.sessionStorage.getItem("what-intent")&&(r=window.sessionStorage.getItem("what-intent"))}catch(e){}b("input"),b("intent")},y=function(e){var t=e.which,i=c[e.type];"pointer"===i&&(i=E(e));var o=!u.length&&-1===l.indexOf(t),s=u.length&&-1!==u.indexOf(t),d="keyboard"===i&&t&&(o||s)||"mouse"===i||"touch"===i;if(C(i)&&(d=!1),d&&n!==i&&(k("input",n=i),b("input")),d&&r!==i){var p=document.activeElement;p&&p.nodeName&&(-1===a.indexOf(p.nodeName.toLowerCase())||"button"===p.nodeName.toLowerCase()&&!O(p,"form"))&&(k("intent",r=i),b("intent"))}},b=function(t){e.setAttribute("data-what"+t,"input"===t?n:r),A(t)},w=function(e){var t=c[e.type];"pointer"===t&&(t=E(e)),T(e),(!d&&!C(t)||d&&"wheel"===e.type||"mousewheel"===e.type||"DOMMouseScroll"===e.type)&&r!==t&&(k("intent",r=t),b("intent"))},_=function(n){n.target.nodeName?(t=n.target.nodeName.toLowerCase(),e.setAttribute("data-whatelement",t),n.target.classList&&n.target.classList.length&&e.setAttribute("data-whatclasses",n.target.classList.toString().replace(" ",","))):x()},x=function(){t=null,e.removeAttribute("data-whatelement"),e.removeAttribute("data-whatclasses")},k=function(e,t){if(o)try{window.sessionStorage.setItem("what-"+e,t)}catch(e){}},E=function(e){return"number"==typeof e.pointerType?f[e.pointerType]:"pen"===e.pointerType?"touch":e.pointerType},C=function(e){var t=Date.now(),r="mouse"===e&&"touch"===n&&t-i<200;return i=t,r},S=function(){return"onwheel"in document.createElement("div")?"wheel":void 0!==document.onmousewheel?"mousewheel":"DOMMouseScroll"},A=function(e){for(var t=0,i=s.length;t<i;t++)s[t].type===e&&s[t].fn.call(void 0,"input"===e?n:r)},T=function(e){p.x!==e.screenX||p.y!==e.screenY?(d=!1,p.x=e.screenX,p.y=e.screenY):d=!0},O=function(e,t){var n=window.Element.prototype;if(n.matches||(n.matches=n.msMatchesSelector||n.webkitMatchesSelector),n.closest)return e.closest(t);do{if(e.matches(t))return e;e=e.parentElement||e.parentNode}while(null!==e&&1===e.nodeType);return null};return"addEventListener"in window&&Array.prototype.indexOf&&(c[S()]="mouse",g=!h||{passive:!0,capture:!0},document.addEventListener("DOMContentLoaded",v,!0),window.PointerEvent?(window.addEventListener("pointerdown",y,!0),window.addEventListener("pointermove",w,!0)):window.MSPointerEvent?(window.addEventListener("MSPointerDown",y,!0),window.addEventListener("MSPointerMove",w,!0)):(window.addEventListener("mousedown",y,!0),window.addEventListener("mousemove",w,!0),"ontouchstart"in window&&(window.addEventListener("touchstart",y,g),window.addEventListener("touchend",y,!0))),window.addEventListener(S(),w,g),window.addEventListener("keydown",y,!0),window.addEventListener("keyup",y,!0),window.addEventListener("focusin",_,!0),window.addEventListener("focusout",x,!0)),{ask:function(e){return"intent"===e?r:n},element:function(){return t},ignoreKeys:function(e){l=e},specificKeys:function(e){u=e},registerOnChange:function(e,t){s.push({fn:e,type:t||"input"})},unRegisterOnChange:function(e){var t=function(e){for(var t=0,n=s.length;t<n;t++)if(s[t].fn===e)return t}(e);(t||0===t)&&s.splice(t,1)},clearStorage:function(){window.sessionStorage.clear()}}}()}])},e.exports=t()},99776:(e,t,n)=>{var r=n(77186);e.exports={parse:function(e){return r.parse(e.toString())}}},77186:e=>{"use strict";function t(e,n,r,i){this.message=e,this.expected=n,this.found=r,this.location=i,this.name="SyntaxError","function"==typeof Error.captureStackTrace&&Error.captureStackTrace(this,t)}!function(e,t){function n(){this.constructor=e}n.prototype=t.prototype,e.prototype=new n}(t,Error),t.buildMessage=function(e,t){var n={literal:function(e){return'"'+i(e.text)+'"'},class:function(e){var t,n="";for(t=0;t<e.parts.length;t++)n+=e.parts[t]instanceof Array?o(e.parts[t][0])+"-"+o(e.parts[t][1]):o(e.parts[t]);return"["+(e.inverted?"^":"")+n+"]"},any:function(e){return"any character"},end:function(e){return"end of input"},other:function(e){return e.description}};function r(e){return e.charCodeAt(0).toString(16).toUpperCase()}function i(e){return e.replace(/\\/g,"\\\\").replace(/"/g,'\\"').replace(/\0/g,"\\0").replace(/\t/g,"\\t").replace(/\n/g,"\\n").replace(/\r/g,"\\r").replace(/[\x00-\x0F]/g,(function(e){return"\\x0"+r(e)})).replace(/[\x10-\x1F\x7F-\x9F]/g,(function(e){return"\\x"+r(e)}))}function o(e){return e.replace(/\\/g,"\\\\").replace(/\]/g,"\\]").replace(/\^/g,"\\^").replace(/-/g,"\\-").replace(/\0/g,"\\0").replace(/\t/g,"\\t").replace(/\n/g,"\\n").replace(/\r/g,"\\r").replace(/[\x00-\x0F]/g,(function(e){return"\\x0"+r(e)})).replace(/[\x10-\x1F\x7F-\x9F]/g,(function(e){return"\\x"+r(e)}))}return"Expected "+function(e){var t,r,i,o=new Array(e.length);for(t=0;t<e.length;t++)o[t]=(i=e[t],n[i.type](i));if(o.sort(),o.length>0){for(t=1,r=1;t<o.length;t++)o[t-1]!==o[t]&&(o[r]=o[t],r++);o.length=r}switch(o.length){case 1:return o[0];case 2:return o[0]+" or "+o[1];default:return o.slice(0,-1).join(", ")+", or "+o[o.length-1]}}(e)+" but "+function(e){return e?'"'+i(e)+'"':"end of input"}(t)+" found."},e.exports={SyntaxError:t,parse:function(e,n){n=void 0!==n?n:{};var r,i={},o={diffs:it},a=it,s=function(e){return e},l=function(e,t){return _t(e,t,void 0,!0)},u="Binary files ",c=Je("Binary files ",!1),d=function(e,t,n){return _t(e,t,n)},p=function(e){return xt(e,void 0,!0)},f=function(e,t,n,r,i,o){return function(e,t,n,r,i,o){const a={oldPath:n,newPath:r,hunks:o?o.hunks:[],status:"copy"===e?"copied":"renamed",similarity:t};return i&&(a.oldMode=i.old_mode,a.newMode=i.new_mode),a}(n.operation,t,n.file,r.file,e||i,o)},h=function(e,t){return xt(e,t)},m="diff --cc ",g=Je("diff --cc ",!1),v=function(e){return e},y="* Unmerged path ",b=Je("* Unmerged path ",!1),w=function(e){return xt(e)},_=function(e,t){return{hunks:t}},x="--- ",k=Je("--- ",!1),E="+++ ",C=Je("+++ ",!1),S=function(e,t){return{old_file_name:e,new_file_name:t}},A=function(e,t){return Object.assign({},e,{lines:t})},T="@@@ -",O=Je("@@@ -",!1),P=" -",D=Je(" -",!1),R=" +",I=Je(" +",!1),M=" @@@",L=Je(" @@@",!1),N=function(e,t,n,r){return{ourStartLine:e.start,ourLineCount:e.count,theirStartLine:n.start,theirLineCount:n.count,baseStartLine:t.start,baseLineCount:t.count,heading:r?r.trim():""}},j="@@ -",F=Je("@@ -",!1),B=" @@",z=Je(" @@",!1),U=function(e,t,n){return{oldStartLine:e.start,oldLineCount:e.count,newStartLine:t.start,newLineCount:t.count,heading:n?n.trim():""}},q=",",$=Je(",",!1),W=function(e,t){return{start:e,count:t}},H=function(e){return{start:e,count:1}},V="+",G=Je("+",!1),K="-",Y=Je("-",!1),Z=" ",X=Je(" ",!1),Q="\\",J=Je("\\",!1),ee=function(e){return e.join("")},te="diff ",ne=Je("diff ",!1),re=function(e,t){return{file_name:t}},ie=function(e){return e.substr(e.length/2+1)},oe="similarity index ",ae=Je("similarity index ",!1),se="%",le=Je("%",!1),ue=function(e){return e},ce=function(e,t){return e||t},de="new",pe=Je("new",!1),fe="deleted",he=Je("deleted",!1),me=" file mode ",ge=Je(" file mode ",!1),ve=function(e,t){return"new"===e?{old_mode:null,new_mode:t}:{old_mode:t,new_mode:null}},ye="old mode ",be=Je("old mode ",!1),we="new mode ",_e=Je("new mode ",!1),xe=function(e,t){return{old_mode:e,new_mode:t}},ke="rename",Ee=Je("rename",!1),Ce="copy",Se=Je("copy",!1),Ae=" from ",Te=Je(" from ",!1),Oe=function(e,t){return{operation:e,file:t}},Pe=" to ",De=Je(" to ",!1),Re="index ",Ie=Je("index ",!1),Me=function(e){return{old_mode:e,new_mode:e}},Le=(et([" ","\t"],!1,!1),"\n"),Ne=Je("\n",!1),je="\r\n",Fe=Je("\r\n",!1),Be={type:"any"},ze=/^[^\r\n]/,Ue=et(["\r","\n"],!0,!1),qe=/^[^ \t\r\n]/,$e=et([" ","\t","\r","\n"],!0,!1),We=/^[0-9]/,He=et([["0","9"]],!1,!1),Ve=function(e){return parseInt(e.join(""),10)},Ge=0,Ke=[{line:1,column:1}],Ye=0,Ze=[],Xe=0,Qe={};if("startRule"in n){if(!(n.startRule in o))throw new Error("Can't start parsing from rule \""+n.startRule+'".');a=o[n.startRule]}function Je(e,t){return{type:"literal",text:e,ignoreCase:t}}function et(e,t,n){return{type:"class",parts:e,inverted:t,ignoreCase:n}}function tt(t){var n,r=Ke[t];if(r)return r;for(n=t-1;!Ke[n];)n--;for(r={line:(r=Ke[n]).line,column:r.column};n<t;)10===e.charCodeAt(n)?(r.line++,r.column=1):r.column++,n++;return Ke[t]=r,r}function nt(e,t){var n=tt(e),r=tt(t);return{start:{offset:e,line:n.line,column:n.column},end:{offset:t,line:r.line,column:r.column}}}function rt(e){Ge<Ye||(Ge>Ye&&(Ye=Ge,Ze=[]),Ze.push(e))}function it(){var e,t,n,r=35*Ge+0,o=Qe[r];if(o)return Ge=o.nextPos,o.result;for(e=Ge,t=[],n=ot();n!==i;)t.push(n),n=ot();return t!==i&&(t=s(t)),e=t,Qe[r]={nextPos:Ge,result:e},e}function ot(){var t,n=35*Ge+1,r=Qe[n];return r?(Ge=r.nextPos,r.result):(t=function(){var e,t,n=35*Ge+5,r=Qe[n];return r?(Ge=r.nextPos,r.result):(e=Ge,(t=st())!==i&&gt()!==i&&at()!==i?e=t=p(t):(Ge=e,e=i),Qe[n]={nextPos:Ge,result:e},e)}(),t===i&&(t=function(){var t,n,r,o,a,s,l,u,c=35*Ge+6,d=Qe[c];return d?(Ge=d.nextPos,d.result):(t=Ge,n=function(){var t,n,r,o,a,s,l=35*Ge+19,u=Qe[l];return u?(Ge=u.nextPos,u.result):(t=Ge,e.substr(Ge,5)===te?(n=te,Ge+=5):(n=i,0===Xe&&rt(ne)),n!==i&&(r=bt())!==i?(32===e.charCodeAt(Ge)?(o=Z,Ge++):(o=i,0===Xe&&rt(X)),o!==i&&(a=yt())!==i&&(s=vt())!==i?t=n=[n,r,o,a,s]:(Ge=t,t=i)):(Ge=t,t=i),Qe[l]={nextPos:Ge,result:t},t)}(),n!==i?((r=mt())===i&&(r=null),r!==i?(o=function(){var t,n,r,o,a=35*Ge+21,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,e.substr(Ge,17)===oe?(n=oe,Ge+=17):(n=i,0===Xe&&rt(ae)),n!==i&&(r=wt())!==i?(37===e.charCodeAt(Ge)?(o=se,Ge++):(o=i,0===Xe&&rt(le)),o!==i&&vt()!==i?t=n=ue(r):(Ge=t,t=i)):(Ge=t,t=i),Qe[a]={nextPos:Ge,result:t},t)}(),o!==i?(a=function(){var t,n,r,o,a=35*Ge+25,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,e.substr(Ge,6)===ke?(n=ke,Ge+=6):(n=i,0===Xe&&rt(Ee)),n===i&&(e.substr(Ge,4)===Ce?(n=Ce,Ge+=4):(n=i,0===Xe&&rt(Se))),n!==i?(e.substr(Ge,6)===Ae?(r=Ae,Ge+=6):(r=i,0===Xe&&rt(Te)),r!==i&&(o=yt())!==i&&vt()!==i?t=n=Oe(n,o):(Ge=t,t=i)):(Ge=t,t=i),Qe[a]={nextPos:Ge,result:t},t)}(),a!==i?(s=function(){var t,n,r,o,a=35*Ge+26,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,e.substr(Ge,6)===ke?(n=ke,Ge+=6):(n=i,0===Xe&&rt(Ee)),n===i&&(e.substr(Ge,4)===Ce?(n=Ce,Ge+=4):(n=i,0===Xe&&rt(Se))),n!==i?(e.substr(Ge,4)===Pe?(r=Pe,Ge+=4):(r=i,0===Xe&&rt(De)),r!==i&&(o=yt())!==i&&vt()!==i?t=n=Oe(n,o):(Ge=t,t=i)):(Ge=t,t=i),Qe[a]={nextPos:Ge,result:t},t)}(),s!==i?((l=gt())===i&&(l=null),l!==i?((u=at())===i&&(u=lt()),u===i&&(u=null),u!==i?t=n=f(r,o,a,s,l,u):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i),Qe[c]={nextPos:Ge,result:t},t)}(),t===i&&(t=function(){var e,t,n,r=35*Ge+7,o=Qe[r];return o?(Ge=o.nextPos,o.result):(e=Ge,(t=st())!==i&&gt()!==i?((n=lt())===i&&(n=null),n!==i?e=t=h(t,n):(Ge=e,e=i)):(Ge=e,e=i),Qe[r]={nextPos:Ge,result:e},e)}(),t===i&&(t=function(){var t,n,r,o=35*Ge+9,a=Qe[o];return a?(Ge=a.nextPos,a.result):(t=Ge,e.substr(Ge,16)===y?(n=y,Ge+=16):(n=i,0===Xe&&rt(b)),n!==i&&(r=yt())!==i&&vt()!==i?t=n=w(r):(Ge=t,t=i),Qe[o]={nextPos:Ge,result:t},t)}(),t===i&&(t=function(){var e,t,n,r,o=35*Ge+2,a=Qe[o];return a?(Ge=a.nextPos,a.result):(e=Ge,(t=ft())!==i?((n=ht())===i&&(n=null),n!==i?((r=ut())===i&&(r=null),r!==i&&at()!==i?e=t=l(t,n):(Ge=e,e=i)):(Ge=e,e=i)):(Ge=e,e=i),Qe[o]={nextPos:Ge,result:e},e)}(),t===i&&(t=function(){var e,t,n,r,o=35*Ge+4,a=Qe[o];return a?(Ge=a.nextPos,a.result):(e=Ge,(t=ft())!==i?((n=ht())===i&&(n=null),n!==i?((r=lt())===i&&(r=null),r!==i?e=t=d(t,n,r):(Ge=e,e=i)):(Ge=e,e=i)):(Ge=e,e=i),Qe[o]={nextPos:Ge,result:e},e)}()))))),Qe[n]={nextPos:Ge,result:t},t)}function at(){var t,n,r,o,a=35*Ge+3,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,e.substr(Ge,13)===u?(n=u,Ge+=13):(n=i,0===Xe&&rt(c)),n!==i&&(r=yt())!==i&&(o=vt())!==i?t=n=[n,r,o]:(Ge=t,t=i),Qe[a]={nextPos:Ge,result:t},t)}function st(){var t,n,r,o=35*Ge+8,a=Qe[o];return a?(Ge=a.nextPos,a.result):(t=Ge,e.substr(Ge,10)===m?(n=m,Ge+=10):(n=i,0===Xe&&rt(g)),n!==i&&(r=yt())!==i&&vt()!==i?t=n=v(r):(Ge=t,t=i),Qe[o]={nextPos:Ge,result:t},t)}function lt(){var e,t,n,r,o=35*Ge+10,a=Qe[o];if(a)return Ge=a.nextPos,a.result;if(e=Ge,(t=ut())!==i){for(n=[],r=ct();r!==i;)n.push(r),r=ct();n!==i?e=t=_(t,n):(Ge=e,e=i)}else Ge=e,e=i;return Qe[o]={nextPos:Ge,result:e},e}function ut(){var t,n,r,o,a,s=35*Ge+11,l=Qe[s];return l?(Ge=l.nextPos,l.result):(t=Ge,e.substr(Ge,4)===x?(n=x,Ge+=4):(n=i,0===Xe&&rt(k)),n!==i&&(r=yt())!==i&&vt()!==i?(e.substr(Ge,4)===E?(o=E,Ge+=4):(o=i,0===Xe&&rt(C)),o!==i&&(a=yt())!==i&&vt()!==i?t=n=S(r,a):(Ge=t,t=i)):(Ge=t,t=i),Qe[s]={nextPos:Ge,result:t},t)}function ct(){var t,n,r,o,a=35*Ge+12,s=Qe[a];if(s)return Ge=s.nextPos,s.result;if(t=Ge,n=function(){var t,n=35*Ge+13,r=Qe[n];return r?(Ge=r.nextPos,r.result):(t=function(){var t,n,r,o,a,s,l,u,c,d=35*Ge+14,p=Qe[d];return p?(Ge=p.nextPos,p.result):(t=Ge,e.substr(Ge,5)===T?(n=T,Ge+=5):(n=i,0===Xe&&rt(O)),n!==i&&(r=dt())!==i?(e.substr(Ge,2)===P?(o=P,Ge+=2):(o=i,0===Xe&&rt(D)),o!==i&&(a=dt())!==i?(e.substr(Ge,2)===R?(s=R,Ge+=2):(s=i,0===Xe&&rt(I)),s!==i&&(l=dt())!==i?(e.substr(Ge,4)===M?(u=M,Ge+=4):(u=i,0===Xe&&rt(L)),u!==i?((c=yt())===i&&(c=null),c!==i&&vt()!==i?t=n=N(r,a,l,c):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i),Qe[d]={nextPos:Ge,result:t},t)}(),t===i&&(t=function(){var t,n,r,o,a,s,l,u=35*Ge+15,c=Qe[u];return c?(Ge=c.nextPos,c.result):(t=Ge,e.substr(Ge,4)===j?(n=j,Ge+=4):(n=i,0===Xe&&rt(F)),n!==i&&(r=dt())!==i?(e.substr(Ge,2)===R?(o=R,Ge+=2):(o=i,0===Xe&&rt(I)),o!==i&&(a=dt())!==i?(e.substr(Ge,3)===B?(s=B,Ge+=3):(s=i,0===Xe&&rt(z)),s!==i?((l=yt())===i&&(l=null),l!==i&&vt()!==i?t=n=U(r,a,l):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i),Qe[u]={nextPos:Ge,result:t},t)}()),Qe[n]={nextPos:Ge,result:t},t)}(),n!==i){if(r=[],(o=pt())!==i)for(;o!==i;)r.push(o),o=pt();else r=i;r!==i?t=n=A(n,r):(Ge=t,t=i)}else Ge=t,t=i;return Qe[a]={nextPos:Ge,result:t},t}function dt(){var t,n,r,o,a=35*Ge+16,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,(n=wt())!==i?(44===e.charCodeAt(Ge)?(r=q,Ge++):(r=i,0===Xe&&rt($)),r!==i&&(o=wt())!==i?t=n=W(n,o):(Ge=t,t=i)):(Ge=t,t=i),t===i&&(t=Ge,(n=wt())!==i&&(n=H(n)),t=n),Qe[a]={nextPos:Ge,result:t},t)}function pt(){var t,n,r,o,a=35*Ge+17,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,n=Ge,43===e.charCodeAt(Ge)?(r=V,Ge++):(r=i,0===Xe&&rt(G)),r===i&&(45===e.charCodeAt(Ge)?(r=K,Ge++):(r=i,0===Xe&&rt(Y)),r===i&&(32===e.charCodeAt(Ge)?(r=Z,Ge++):(r=i,0===Xe&&rt(X)),r===i&&(92===e.charCodeAt(Ge)?(r=Q,Ge++):(r=i,0===Xe&&rt(J))))),r!==i?((o=yt())===i&&(o=null),o!==i?n=r=[r,o]:(Ge=n,n=i)):(Ge=n,n=i),n!==i&&(r=vt())!==i?t=n=ee(n):(Ge=t,t=i),Qe[a]={nextPos:Ge,result:t},t)}function ft(){var t,n,r,o,a,s=35*Ge+18,l=Qe[s];return l?(Ge=l.nextPos,l.result):(t=Ge,e.substr(Ge,5)===te?(n=te,Ge+=5):(n=i,0===Xe&&rt(ne)),n!==i&&(r=bt())!==i?(32===e.charCodeAt(Ge)?(o=Z,Ge++):(o=i,0===Xe&&rt(X)),o!==i?(a=function(){var e,t,n=35*Ge+20,r=Qe[n];return r?(Ge=r.nextPos,r.result):(e=Ge,(t=yt())!==i&&(t=ie(t)),e=t,Qe[n]={nextPos:Ge,result:e},e)}(),a!==i&&vt()!==i?t=n=re(r,a):(Ge=t,t=i)):(Ge=t,t=i)):(Ge=t,t=i),Qe[s]={nextPos:Ge,result:t},t)}function ht(){var t,n,r,o=35*Ge+22,a=Qe[o];return a?(Ge=a.nextPos,a.result):(t=Ge,n=function(){var t,n,r,o,a=35*Ge+23,s=Qe[a];return s?(Ge=s.nextPos,s.result):(t=Ge,e.substr(Ge,3)===de?(n=de,Ge+=3):(n=i,0===Xe&&rt(pe)),n===i&&(e.substr(Ge,7)===fe?(n=fe,Ge+=7):(n=i,0===Xe&&rt(he))),n!==i?(e.substr(Ge,11)===me?(r=me,Ge+=11):(r=i,0===Xe&&rt(ge)),r!==i&&(o=yt())!==i&&vt()!==i?t=n=ve(n,o):(Ge=t,t=i)):(Ge=t,t=i),Qe[a]={nextPos:Ge,result:t},t)}(),n===i&&(n=mt()),n===i&&(n=null),n!==i?((r=gt())===i&&(r=null),r!==i?t=n=ce(n,r):(Ge=t,t=i)):(Ge=t,t=i),Qe[o]={nextPos:Ge,result:t},t)}function mt(){var t,n,r,o,a,s=35*Ge+24,l=Qe[s];return l?(Ge=l.nextPos,l.result):(t=Ge,e.substr(Ge,9)===ye?(n=ye,Ge+=9):(n=i,0===Xe&&rt(be)),n!==i&&(r=yt())!==i&&vt()!==i?(e.substr(Ge,9)===we?(o=we,Ge+=9):(o=i,0===Xe&&rt(_e)),o!==i&&(a=yt())!==i&&vt()!==i?t=n=xe(r,a):(Ge=t,t=i)):(Ge=t,t=i),Qe[s]={nextPos:Ge,result:t},t)}function gt(){var t,n,r,o,a,s=35*Ge+27,l=Qe[s];return l?(Ge=l.nextPos,l.result):(t=Ge,e.substr(Ge,6)===Re?(n=Re,Ge+=6):(n=i,0===Xe&&rt(Ie)),n!==i&&(r=bt())!==i?(32===e.charCodeAt(Ge)?(o=Z,Ge++):(o=i,0===Xe&&rt(X)),o!==i&&(a=yt())!==i&&vt()!==i?t=n=Me(a):(Ge=t,t=i)):(Ge=t,t=i),t===i&&(t=Ge,e.substr(Ge,6)===Re?(n=Re,Ge+=6):(n=i,0===Xe&&rt(Ie)),n!==i&&(r=bt())!==i&&(o=vt())!==i?t=n=[n,r,o]:(Ge=t,t=i)),Qe[s]={nextPos:Ge,result:t},t)}function vt(){var t,n=35*Ge+29,r=Qe[n];return r?(Ge=r.nextPos,r.result):(10===e.charCodeAt(Ge)?(t=Le,Ge++):(t=i,0===Xe&&rt(Ne)),t===i&&(e.substr(Ge,2)===je?(t=je,Ge+=2):(t=i,0===Xe&&rt(Fe))),t===i&&(t=function(){var t,n,r=35*Ge+31,o=Qe[r];return o?(Ge=o.nextPos,o.result):(t=Ge,Xe++,e.length>Ge?(n=e.charAt(Ge),Ge++):(n=i,0===Xe&&rt(Be)),Xe--,n===i?t=void 0:(Ge=t,t=i),Qe[r]={nextPos:Ge,result:t},t)}()),Qe[n]={nextPos:Ge,result:t},t)}function yt(){var t,n,r,o=35*Ge+32,a=Qe[o];if(a)return Ge=a.nextPos,a.result;if(t=Ge,n=[],ze.test(e.charAt(Ge))?(r=e.charAt(Ge),Ge++):(r=i,0===Xe&&rt(Ue)),r!==i)for(;r!==i;)n.push(r),ze.test(e.charAt(Ge))?(r=e.charAt(Ge),Ge++):(r=i,0===Xe&&rt(Ue));else n=i;return n!==i&&(n=ee(n)),t=n,Qe[o]={nextPos:Ge,result:t},t}function bt(){var t,n,r,o=35*Ge+33,a=Qe[o];if(a)return Ge=a.nextPos,a.result;if(t=Ge,n=[],qe.test(e.charAt(Ge))?(r=e.charAt(Ge),Ge++):(r=i,0===Xe&&rt($e)),r!==i)for(;r!==i;)n.push(r),qe.test(e.charAt(Ge))?(r=e.charAt(Ge),Ge++):(r=i,0===Xe&&rt($e));else n=i;return n!==i&&(n=ee(n)),t=n,Qe[o]={nextPos:Ge,result:t},t}function wt(){var t,n,r,o=35*Ge+34,a=Qe[o];if(a)return Ge=a.nextPos,a.result;if(t=Ge,n=[],We.test(e.charAt(Ge))?(r=e.charAt(Ge),Ge++):(r=i,0===Xe&&rt(He)),r!==i)for(;r!==i;)n.push(r),We.test(e.charAt(Ge))?(r=e.charAt(Ge),Ge++):(r=i,0===Xe&&rt(He));else n=i;return n!==i&&(n=Ve(n)),t=n,Qe[o]={nextPos:Ge,result:t},t}function _t(e,t,n,r){if(t.old_mode&&!t.new_mode)return{newPath:null,oldPath:e.file_name,newMode:null,oldMode:t.old_mode,hunks:n?n.hunks:[],status:"deleted",binary:!!r};if(!t.old_mode&&t.new_mode)return{oldPath:null,newPath:e.file_name,oldMode:null,newMode:t.new_mode,hunks:n?n.hunks:[],status:"added",binary:!!r};if(t.old_mode&&t.new_mode)return{newPath:e.file_name,oldPath:e.file_name,oldMode:t.old_mode,newMode:t.new_mode,hunks:n?n.hunks:[],status:"modified",binary:!!r};throw new Error("file modes missing")}function xt(e,t,n){return(t=t||{}).filePath=e,t.status="unmerged",t.binary=!!n,t}if((r=a())!==i&&Ge===e.length)return r;throw r!==i&&Ge<e.length&&rt({type:"end"}),function(e,n,r){return new t(t.buildMessage(e,n),e,n,r)}(Ze,Ye<e.length?e.charAt(Ye):null,Ye<e.length?nt(Ye,Ye+1):nt(Ye,Ye))}}},51960:e=>{e.exports=function(){for(var e={},n=0;n<arguments.length;n++){var r=arguments[n];for(var i in r)t.call(r,i)&&(e[i]=r[i])}return e};var t=Object.prototype.hasOwnProperty},82394:(e,t,n)=>{e.exports=n(85757).Observable},85757:(e,t)=>{"use strict";function n(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function i(e,t,n){return t&&r(e.prototype,t),n&&r(e,n),e}t.Observable=void 0;var o=function(){return"function"==typeof Symbol},a=function(e){return o()&&Boolean(Symbol[e])},s=function(e){return a(e)?Symbol[e]:"@@"+e};o()&&!a("observable")&&(Symbol.observable=Symbol("observable"));var l=s("iterator"),u=s("observable"),c=s("species");function d(e,t){var n=e[t];if(null!=n){if("function"!=typeof n)throw new TypeError(n+" is not a function");return n}}function p(e){var t=e.constructor;return void 0!==t&&null===(t=t[c])&&(t=void 0),void 0!==t?t:x}function f(e){return e instanceof x}function h(e){h.log?h.log(e):setTimeout((function(){throw e}))}function m(e){Promise.resolve().then((function(){try{e()}catch(e){h(e)}}))}function g(e){var t=e._cleanup;if(void 0!==t&&(e._cleanup=void 0,t))try{if("function"==typeof t)t();else{var n=d(t,"unsubscribe");n&&n.call(t)}}catch(e){h(e)}}function v(e){e._observer=void 0,e._queue=void 0,e._state="closed"}function y(e,t,n){e._state="running";var r=e._observer;try{var i=d(r,t);switch(t){case"next":i&&i.call(r,n);break;case"error":if(v(e),!i)throw n;i.call(r,n);break;case"complete":v(e),i&&i.call(r)}}catch(e){h(e)}"closed"===e._state?g(e):"running"===e._state&&(e._state="ready")}function b(e,t,n){if("closed"!==e._state){if("buffering"!==e._state)return"ready"!==e._state?(e._state="buffering",e._queue=[{type:t,value:n}],void m((function(){return function(e){var t=e._queue;if(t){e._queue=void 0,e._state="ready";for(var n=0;n<t.length&&(y(e,t[n].type,t[n].value),"closed"!==e._state);++n);}}(e)}))):void y(e,t,n);e._queue.push({type:t,value:n})}}var w=function(){function e(t,r){n(this,e),this._cleanup=void 0,this._observer=t,this._queue=void 0,this._state="initializing";var i=new _(this);try{this._cleanup=r.call(void 0,i)}catch(e){i.error(e)}"initializing"===this._state&&(this._state="ready")}return i(e,[{key:"unsubscribe",value:function(){"closed"!==this._state&&(v(this),g(this))}},{key:"closed",get:function(){return"closed"===this._state}}]),e}(),_=function(){function e(t){n(this,e),this._subscription=t}return i(e,[{key:"next",value:function(e){b(this._subscription,"next",e)}},{key:"error",value:function(e){b(this._subscription,"error",e)}},{key:"complete",value:function(){b(this._subscription,"complete")}},{key:"closed",get:function(){return"closed"===this._subscription._state}}]),e}(),x=function(){function e(t){if(n(this,e),!(this instanceof e))throw new TypeError("Observable cannot be called as a function");if("function"!=typeof t)throw new TypeError("Observable initializer must be a function");this._subscriber=t}return i(e,[{key:"subscribe",value:function(e){return"object"==typeof e&&null!==e||(e={next:e,error:arguments[1],complete:arguments[2]}),new w(e,this._subscriber)}},{key:"forEach",value:function(e){var t=this;return new Promise((function(n,r){if("function"==typeof e)var i=t.subscribe({next:function(t){try{e(t,o)}catch(e){r(e),i.unsubscribe()}},error:r,complete:n});else r(new TypeError(e+" is not a function"));function o(){i.unsubscribe(),n()}}))}},{key:"map",value:function(e){var t=this;if("function"!=typeof e)throw new TypeError(e+" is not a function");return new(p(this))((function(n){return t.subscribe({next:function(t){try{t=e(t)}catch(e){return n.error(e)}n.next(t)},error:function(e){n.error(e)},complete:function(){n.complete()}})}))}},{key:"filter",value:function(e){var t=this;if("function"!=typeof e)throw new TypeError(e+" is not a function");return new(p(this))((function(n){return t.subscribe({next:function(t){try{if(!e(t))return}catch(e){return n.error(e)}n.next(t)},error:function(e){n.error(e)},complete:function(){n.complete()}})}))}},{key:"reduce",value:function(e){var t=this;if("function"!=typeof e)throw new TypeError(e+" is not a function");var n=p(this),r=arguments.length>1,i=!1,o=arguments[1];return new n((function(n){return t.subscribe({next:function(t){var a=!i;if(i=!0,!a||r)try{o=e(o,t)}catch(e){return n.error(e)}else o=t},error:function(e){n.error(e)},complete:function(){if(!i&&!r)return n.error(new TypeError("Cannot reduce an empty sequence"));n.next(o),n.complete()}})}))}},{key:"concat",value:function(){for(var e=this,t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];var i=p(this);return new i((function(t){var r,o=0;return function e(a){r=a.subscribe({next:function(e){t.next(e)},error:function(e){t.error(e)},complete:function(){o===n.length?(r=void 0,t.complete()):e(i.from(n[o++]))}})}(e),function(){r&&(r.unsubscribe(),r=void 0)}}))}},{key:"flatMap",value:function(e){var t=this;if("function"!=typeof e)throw new TypeError(e+" is not a function");var n=p(this);return new n((function(r){var i=[],o=t.subscribe({next:function(t){if(e)try{t=e(t)}catch(e){return r.error(e)}var o=n.from(t).subscribe({next:function(e){r.next(e)},error:function(e){r.error(e)},complete:function(){var e=i.indexOf(o);e>=0&&i.splice(e,1),a()}});i.push(o)},error:function(e){r.error(e)},complete:function(){a()}});function a(){o.closed&&0===i.length&&r.complete()}return function(){i.forEach((function(e){return e.unsubscribe()})),o.unsubscribe()}}))}},{key:u,value:function(){return this}}],[{key:"from",value:function(t){var n="function"==typeof this?this:e;if(null==t)throw new TypeError(t+" is not an object");var r=d(t,u);if(r){var i=r.call(t);if(Object(i)!==i)throw new TypeError(i+" is not an object");return f(i)&&i.constructor===n?i:new n((function(e){return i.subscribe(e)}))}if(a("iterator")&&(r=d(t,l)))return new n((function(e){m((function(){if(!e.closed){var n=!0,i=!1,o=void 0;try{for(var a,s=r.call(t)[Symbol.iterator]();!(n=(a=s.next()).done);n=!0){var l=a.value;if(e.next(l),e.closed)return}}catch(e){i=!0,o=e}finally{try{n||null==s.return||s.return()}finally{if(i)throw o}}e.complete()}}))}));if(Array.isArray(t))return new n((function(e){m((function(){if(!e.closed){for(var n=0;n<t.length;++n)if(e.next(t[n]),e.closed)return;e.complete()}}))}));throw new TypeError(t+" is not observable")}},{key:"of",value:function(){for(var t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];return new("function"==typeof this?this:e)((function(e){m((function(){if(!e.closed){for(var t=0;t<n.length;++t)if(e.next(n[t]),e.closed)return;e.complete()}}))}))}},{key:c,get:function(){return this}}]),e}();t.Observable=x,o()&&Object.defineProperty(x,Symbol("extensions"),{value:{symbol:u,hostReportError:h},configurable:!0})},52939:e=>{const{hasOwnProperty:t}=Object.prototype,n="undefined"!=typeof process&&"win32"===process.platform?"\r\n":"\n",r=(e,t)=>{const o=[];let a="";"string"==typeof t?t={section:t,whitespace:!1}:(t=t||Object.create(null)).whitespace=!0===t.whitespace;const l=t.whitespace?" = ":"=";for(const t of Object.keys(e)){const r=e[t];if(r&&Array.isArray(r))for(const e of r)a+=s(t+"[]")+l+s(e)+"\n";else r&&"object"==typeof r?o.push(t):a+=s(t)+l+s(r)+n}t.section&&a.length&&(a="["+s(t.section)+"]"+n+a);for(const s of o){const o=i(s).join("\\."),l=(t.section?t.section+".":"")+o,{whitespace:u}=t,c=r(e[s],{section:l,whitespace:u});a.length&&c.length&&(a+=n),a+=c}return a},i=e=>e.replace(/\1/g,"LITERAL\\1LITERAL").replace(/\\\./g,"").split(/\./).map((e=>e.replace(/\1/g,"\\.").replace(/\2LITERAL\\1LITERAL\2/g,""))),o=e=>{const n=Object.create(null);let r=n,o=null;const a=/^\[([^\]]*)\]$|^([^=]+)(=(.*))?$/i,s=e.split(/[\r\n]+/g);for(const e of s){if(!e||e.match(/^\s*[;#]/))continue;const i=e.match(a);if(!i)continue;if(void 0!==i[1]){if(o=l(i[1]),"__proto__"===o){r=Object.create(null);continue}r=n[o]=n[o]||Object.create(null);continue}const s=l(i[2]),u=s.length>2&&"[]"===s.slice(-2),c=u?s.slice(0,-2):s;if("__proto__"===c)continue;const d=!i[3]||l(i[4]),p="true"===d||"false"===d||"null"===d?JSON.parse(d):d;u&&(t.call(r,c)?Array.isArray(r[c])||(r[c]=[r[c]]):r[c]=[]),Array.isArray(r[c])?r[c].push(p):r[c]=p}const u=[];for(const e of Object.keys(n)){if(!t.call(n,e)||"object"!=typeof n[e]||Array.isArray(n[e]))continue;const r=i(e);let o=n;const a=r.pop(),s=a.replace(/\\\./g,".");for(const e of r)"__proto__"!==e&&(t.call(o,e)&&"object"==typeof o[e]||(o[e]=Object.create(null)),o=o[e]);o===n&&s===a||(o[s]=n[e],u.push(e))}for(const e of u)delete n[e];return n},a=e=>'"'===e.charAt(0)&&'"'===e.slice(-1)||"'"===e.charAt(0)&&"'"===e.slice(-1),s=e=>"string"!=typeof e||e.match(/[=\r\n]/)||e.match(/^\[/)||e.length>1&&a(e)||e!==e.trim()?JSON.stringify(e):e.replace(/;/g,"\\;").replace(/#/g,"\\#"),l=(e,t)=>{if(e=(e||"").trim(),!a(e)){let t=!1,n="";for(let r=0,i=e.length;r<i;r++){const i=e.charAt(r);if(t)-1!=="\\;#".indexOf(i)?n+=i:n+="\\"+i,t=!1;else{if(-1!==";#".indexOf(i))break;"\\"===i?t=!0:n+=i}}return t&&(n+="\\"),n.trim()}"'"===e.charAt(0)&&(e=e.substr(1,e.length-2));try{e=JSON.parse(e)}catch(e){}return e};e.exports={parse:o,decode:o,stringify:r,encode:r,safe:s,unsafe:l}},53521:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(96477),i=n(36313),o=n(68060),a=n(49029),s=n(84101),l=n(73861),u="errorMessage",c=new r.Name("emUsed"),d={required:"missingProperty",dependencies:"property",dependentRequired:"property"},p=/\$\{[^}]+\}/,f=/\$\{([^}]+)\}/g,h=/^""\s*\+\s*|\s*\+\s*""$/g;const m=(e,t={})=>{if(!e.opts.allErrors)throw new Error("ajv-errors: Ajv option allErrors must be true");if(e.opts.jsPropertySyntax)throw new Error("ajv-errors: ajv option jsPropertySyntax is not supported");return e.addKeyword(function(e){return{keyword:u,schemaType:["string","object"],post:!0,code(t){const{gen:n,data:m,schema:g,schemaValue:v,it:y}=t;if(!1===y.createErrors)return;const b=g,w=i.strConcat(l.default.instancePath,y.errorPath);function _(e,t){return i.and(r._`${e}.keyword !== ${u}`,r._`!${e}.${c}`,r._`${e}.instancePath === ${w}`,r._`${e}.keyword in ${t}`,r._`${e}.schemaPath.indexOf(${y.errSchemaPath}) === 0`,r._`/^\\/[^\\/]*$/.test(${e}.schemaPath.slice(${y.errSchemaPath.length}))`)}function x(e,t){const r=[];for(const n in e){const e=t[n];p.test(e)&&r.push([n,E(e)])}return n.object(...r)}function k(e){return p.test(e)?new o._Code(o.safeStringify(e).replace(f,((e,t)=>`" + JSON.stringify(${a.getData(t,y)}) + "`)).replace(h,"")):r.stringify(e)}function E(e){return r._`function(){return ${k(e)}}`}n.if(r._`${l.default.errors} > 0`,(()=>{if("object"==typeof b){const[o,a]=function(e){let t,n;for(const r in e){if("properties"===r||"items"===r)continue;const i=e[r];if("object"==typeof i){t||(t={});const e=t[r]={};for(const t in i)e[t]=[]}else n||(n={}),n[r]=[]}return[t,n]}(b);a&&function(i){const o=n.const("emErrors",r.stringify(i)),a=n.const("templates",x(i,g));n.forOf("err",l.default.vErrors,(e=>n.if(_(e,o),(()=>n.code(r._`${o}[${e}.keyword].push(${e})`).assign(r._`${e}.${c}`,!0)))));const{singleError:u}=e;if(u){const e=n.let("message",r._`""`),i=n.let("paramsErrors",r._`[]`);d((t=>{n.if(e,(()=>n.code(r._`${e} += ${"string"==typeof u?u:";"}`))),n.code(r._`${e} += ${p(t)}`),n.assign(i,r._`${i}.concat(${o}[${t}])`)})),s.reportError(t,{message:e,params:r._`{errors: ${i}}`})}else d((e=>s.reportError(t,{message:p(e),params:r._`{errors: ${o}[${e}]}`})));function d(e){n.forIn("key",o,(t=>n.if(r._`${o}[${t}].length`,(()=>e(t)))))}function p(e){return r._`${e} in ${a} ? ${a}[${e}]() : ${v}[${e}]`}}(a),o&&function(e){const i=n.const("emErrors",r.stringify(e)),o=[];for(const t in e)o.push([t,x(e[t],g[t])]);const a=n.const("templates",n.object(...o)),u=n.scopeValue("obj",{ref:d,code:r.stringify(d)}),p=n.let("emPropParams"),f=n.let("emParamsErrors");n.forOf("err",l.default.vErrors,(e=>n.if(_(e,i),(()=>{n.assign(p,r._`${u}[${e}.keyword]`),n.assign(f,r._`${i}[${e}.keyword][${e}.params[${p}]]`),n.if(f,(()=>n.code(r._`${f}.push(${e})`).assign(r._`${e}.${c}`,!0)))})))),n.forIn("key",i,(e=>n.forIn("keyProp",r._`${i}[${e}]`,(o=>{n.assign(f,r._`${i}[${e}][${o}]`),n.if(r._`${f}.length`,(()=>{const i=n.const("tmpl",r._`${a}[${e}] && ${a}[${e}][${o}]`);s.reportError(t,{message:r._`${i} ? ${i}() : ${v}[${e}][${o}]`,params:r._`{errors: ${f}}`})}))}))))}(o),function(e){const{props:o,items:a}=e;if(!o&&!a)return;const d=r._`typeof ${m} == "object"`,p=r._`Array.isArray(${m})`,f=n.let("emErrors");let h,y;const b=n.let("templates");function _(e,t){n.assign(f,r.stringify(e)),n.assign(b,x(e,t))}o&&a?(h=n.let("emChildKwd"),n.if(d),n.if(p,(()=>{_(a,g.items),n.assign(h,r.str`items`)}),(()=>{_(o,g.properties),n.assign(h,r.str`properties`)})),y=r._`[${h}]`):a?(n.if(p),_(a,g.items),y=r._`.items`):o&&(n.if(i.and(d,i.not(p))),_(o,g.properties),y=r._`.properties`),n.forOf("err",l.default.vErrors,(e=>function(e,t,o){n.if(i.and(r._`${e}.keyword !== ${u}`,r._`!${e}.${c}`,r._`${e}.instancePath.indexOf(${w}) === 0`),(()=>{const i=n.scopeValue("pattern",{ref:/^\/([^/]*)(?:\/|$)/,code:r._`new RegExp("^\\\/([^/]*)(?:\\\/|$)")`}),a=n.const("emMatches",r._`${i}.exec(${e}.instancePath.slice(${w}.length))`),s=n.const("emChild",r._`${a} && ${a}[1].replace(/~1/g, "/").replace(/~0/g, "~")`);n.if(r._`${s} !== undefined && ${s} in ${t}`,(()=>o(s)))}))}(e,f,(t=>n.code(r._`${f}[${t}].push(${e})`).assign(r._`${e}.${c}`,!0))))),n.forIn("key",f,(e=>n.if(r._`${f}[${e}].length`,(()=>{s.reportError(t,{message:r._`${e} in ${b} ? ${b}[${e}]() : ${v}${y}[${e}]`,params:r._`{errors: ${f}[${e}]}`}),n.assign(r._`${l.default.vErrors}[${l.default.errors}-1].instancePath`,r._`${w} + "/" + ${e}.replace(/~/g, "~0").replace(/\\//g, "~1")`)})))),n.endIf()}(function({properties:e,items:t}){const n={};if(e){n.props={};for(const t in e)n.props[t]=[]}if(t){n.items={};for(let e=0;e<t.length;e++)n.items[e]=[]}return n}(b))}const o="string"==typeof b?b:b._;o&&function(e){const o=n.const("emErrs",r._`[]`);n.forOf("err",l.default.vErrors,(e=>n.if(function(e){return i.and(r._`${e}.keyword !== ${u}`,r._`!${e}.${c}`,i.or(r._`${e}.instancePath === ${w}`,i.and(r._`${e}.instancePath.indexOf(${w}) === 0`,r._`${e}.instancePath[${w}.length] === "/"`)),r._`${e}.schemaPath.indexOf(${y.errSchemaPath}) === 0`,r._`${e}.schemaPath[${y.errSchemaPath}.length] === "/"`)}(e),(()=>n.code(r._`${o}.push(${e})`).assign(r._`${e}.${c}`,!0))))),n.if(r._`${o}.length`,(()=>s.reportError(t,{message:k(e),params:r._`{errors: ${o}}`})))}(o),e.keepErrors||function(){const e=n.const("emErrs",r._`[]`);n.forOf("err",l.default.vErrors,(t=>n.if(r._`!${t}.${c}`,(()=>n.code(r._`${e}.push(${t})`))))),n.assign(l.default.vErrors,e).assign(l.default.errors,r._`${e}.length`)}()}))},metaSchema:{anyOf:[{type:"string"},{type:"object",properties:{properties:{$ref:"#/$defs/stringMap"},items:{$ref:"#/$defs/stringList"},required:{$ref:"#/$defs/stringOrMap"},dependencies:{$ref:"#/$defs/stringOrMap"}},additionalProperties:{type:"string"}}],$defs:{stringMap:{type:"object",additionalProperties:{type:"string"}},stringOrMap:{anyOf:[{type:"string"},{$ref:"#/$defs/stringMap"}]},stringList:{type:"array",items:{type:"string"}}}}}}(t))};t.default=m,e.exports=m,e.exports.default=m},60937:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e){return()=>({keyword:e,type:"number",schemaType:"array",macro:function([t,n]){return function(t,n){if(t>n||"exclusiveRange"===e&&t===n)throw new Error("There are no numbers in range")}(t,n),"range"===e?{minimum:t,maximum:n}:{exclusiveMinimum:t,exclusiveMaximum:n}},metaSchema:{type:"array",minItems:2,maxItems:2,items:{type:"number"}}})}},75371:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.default=function(e){return()=>({keyword:e,type:"object",schemaType:"array",macro:t=>0===t.length||(1===t.length?{required:t}:{["anyRequired"===e?"anyOf":"oneOf"]:t.map((e=>({required:[e]})))}),metaSchema:{type:"array",items:{type:"string"}}})}},21281:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.usePattern=t.metaSchemaRef=void 0;const r=n(36313);t.metaSchemaRef=function({defaultMeta:e}={}){return!1===e?{}:{$ref:e||"http://json-schema.org/schema"}},t.usePattern=function({gen:e,it:{opts:t}},n,i=(t.unicodeRegExp?"u":"")){const o=new RegExp(n,i);return e.scopeValue("pattern",{key:o.toString(),ref:o,code:r._`new RegExp(${n}, ${i})`})}},81372:(e,t)=>{"use strict";function n(){return{keyword:"allRequired",type:"object",schemaType:"boolean",macro(e,t){if(!e)return!0;const n=Object.keys(t.properties);return 0===n.length||{required:n}},dependencies:["properties"]}}Object.defineProperty(t,"__esModule",{value:!0}),t.default=n,e.exports=n},32869:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=(0,r(n(75371)).default)("anyRequired");t.default=i,e.exports=i},75847:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(21281);function i(e){return{keyword:"deepProperties",type:"object",schemaType:"object",macro:function(e){const t=[];for(const n in e)t.push(o(n,e[n]));return{allOf:t}},metaSchema:{type:"object",propertyNames:{type:"string",format:"json-pointer"},additionalProperties:(0,r.metaSchemaRef)(e)}}}function o(e,t){const n=e.split("/"),r={};let i=r;for(let e=1;e<n.length;e++){let r=n[e];const o=e===n.length-1;r=r.replace(/~1/g,"/").replace(/~0/g,"~");const a=i.properties={};let s;if(/[0-9]+/.test(r)){let e=+r;for(s=i.items=[],i.type=["object","array"];e--;)s.push({})}else i.type="object";i=o?t:{},a[r]=i,s&&s.push(i)}return r}t.default=i,e.exports=i},77109:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313);function i(){return{keyword:"deepRequired",type:"object",schemaType:"array",code(e){const{schema:t,data:n}=e,i=t.map((e=>r._`(${function(e){if(""===e)throw new Error("empty JSON pointer not allowed");const t=e.split("/");let i=n;const o=t.map(((e,t)=>t?i=r._`${i}${(0,r.getProperty)(function(e){return e.replace(/~1/g,"/").replace(/~0/g,"~")}(e))}`:i));return(0,r.and)(...o)}(e)}) === undefined`));e.fail((0,r.or)(...i))},metaSchema:{type:"array",items:{type:"string",format:"json-pointer"}}}}t.default=i,e.exports=i},94828:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const n={},r={timestamp:()=>()=>Date.now(),datetime:()=>()=>(new Date).toISOString(),date:()=>()=>(new Date).toISOString().slice(0,10),time:()=>()=>(new Date).toISOString().slice(11),random:()=>()=>Math.random(),randomint:e=>{var t;const n=null!==(t=null==e?void 0:e.max)&&void 0!==t?t:2;return()=>Math.floor(Math.random()*n)},seq:e=>{var t;const r=null!==(t=null==e?void 0:e.name)&&void 0!==t?t:"";return n[r]||(n[r]=0),()=>n[r]++}},i=Object.assign((function(){return{keyword:"dynamicDefaults",type:"object",schemaType:["string","object"],modifying:!0,valid:!0,compile(e,t,n){if(!n.opts.useDefaults||n.compositeRule)return()=>!0;const i={};for(const t in e)i[t]="object"==typeof(a=e[t])?function({func:e,args:t}){const n=r[e];return o(e,n),n(t)}(a):function(e=""){const t=r[e];return o(e,t),t()}(a);var a;const s="empty"===n.opts.useDefaults;return t=>{for(const n in e)(void 0===t[n]||s&&(null===t[n]||""===t[n]))&&(t[n]=i[n]());return!0}},metaSchema:{type:"object",additionalProperties:{anyOf:[{type:"string"},{type:"object",additionalProperties:!1,required:["func","args"],properties:{func:{type:"string"},args:{type:"object"}}}]}}}}),{DEFAULTS:r});function o(e,t){if(!t)throw new Error(`invalid "dynamicDefaults" keyword property value: ${e}`)}t.default=i,e.exports=i},92163:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=(0,r(n(60937)).default)("exclusiveRange");t.default=i,e.exports=i},90489:(e,t,n)=>{"use strict";var r=n(48834).Buffer;Object.defineProperty(t,"__esModule",{value:!0});const i={Object,Array,Function,Number,String,Date,RegExp};void 0!==r&&(i.Buffer=r),"undefined"!=typeof Promise&&(i.Promise=Promise);const o=Object.assign((function(){return{keyword:"instanceof",schemaType:["string","array"],compile(e){if("string"==typeof e){const t=a(e);return e=>e instanceof t}if(Array.isArray(e)){const t=e.map(a);return e=>{for(const n of t)if(e instanceof n)return!0;return!1}}throw new Error("ajv implementation error")},metaSchema:{anyOf:[{type:"string"},{type:"array",items:{type:"string"}}]}}}),{CONSTRUCTORS:i});function a(e){const t=i[e];if(t)return t;throw new Error(`invalid "instanceof" keyword value ${e}`)}t.default=o,e.exports=o},53988:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=(0,r(n(75371)).default)("oneRequired");t.default=i,e.exports=i},38562:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(21281),o={message:({params:{missingPattern:e}})=>r.str`should have property matching pattern '${e}'`,params:({params:{missingPattern:e}})=>r._`{missingPattern: ${e}}`};function a(){return{keyword:"patternRequired",type:"object",schemaType:"array",error:o,code(e){const{gen:t,schema:n,data:o}=e;if(0===n.length)return;const a=t.let("valid",!0);for(const e of n)s(e);function s(n){const s=t.let("matched",!1);t.forIn("key",o,(o=>{t.assign(s,r._`${(0,i.usePattern)(e,n)}.test(${o})`),t.if(s,(()=>t.break()))})),e.setParams({missingPattern:n}),t.assign(a,(0,r.and)(a,s)),e.pass(a)}},metaSchema:{type:"array",items:{type:"string",format:"regex"},uniqueItems:!0}}}t.default=a,e.exports=a},80132:(e,t)=>{"use strict";function n(){return{keyword:"prohibited",type:"object",schemaType:"array",macro:function(e){return 0===e.length||(1===e.length?{not:{required:e}}:{not:{anyOf:e.map((e=>({required:[e]})))}})},metaSchema:{type:"array",items:{type:"string"}}}}Object.defineProperty(t,"__esModule",{value:!0}),t.default=n,e.exports=n},81629:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=(0,r(n(60937)).default)("range");t.default=i,e.exports=i},1601:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(21281),o={type:"object",properties:{pattern:{type:"string"},flags:{type:"string",nullable:!0}},required:["pattern"],additionalProperties:!1},a=/^\/(.*)\/([gimuy]*)$/;function s(){return{keyword:"regexp",type:"string",schemaType:["string","object"],code(e){const{data:t,schema:n}=e,o=function(t){if("object"==typeof t)return(0,i.usePattern)(e,t.pattern,t.flags);const n=a.exec(t);if(n)return(0,i.usePattern)(e,n[1],n[2]);throw new Error("cannot parse string into RegExp")}(n);e.pass(r._`${o}.test(${t})`)},metaSchema:{anyOf:[{type:"string"},o]}}}t.default=s,e.exports=s},47781:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(21281),o={message:({params:{schemaProp:e}})=>e?r.str`should match case "${e}" schema`:r.str`should match default case schema`,params:({params:{schemaProp:e}})=>e?r._`{failingCase: ${e}}`:r._`{failingDefault: true}`};function a(e){const t=(0,i.metaSchemaRef)(e);return[{keyword:"select",schemaType:["string","number","boolean","null"],$data:!0,error:o,dependencies:["selectCases"],code(e){const{gen:t,schemaCode:n,parentSchema:i}=e;e.block$data(r.nil,(()=>{const o=t.let("valid",!0),a=t.name("_valid"),s=t.const("value",r._`${n} === null ? "null" : ${n}`);t.if(!1);for(const n in i.selectCases){e.setParams({schemaProp:n}),t.elseIf(r._`"" + ${s} == ${n}`);const i=e.subschema({keyword:"selectCases",schemaProp:n},a);e.mergeEvaluated(i,r.Name),t.assign(o,a)}if(t.else(),void 0!==i.selectDefault){e.setParams({schemaProp:void 0});const n=e.subschema({keyword:"selectDefault"},a);e.mergeEvaluated(n,r.Name),t.assign(o,a)}t.endIf(),e.pass(o)}))}},{keyword:"selectCases",dependencies:["select"],metaSchema:{type:"object",additionalProperties:t}},{keyword:"selectDefault",dependencies:["select","selectCases"],metaSchema:t}]}t.default=a,e.exports=a},98018:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i={trimStart:e=>e.trimStart(),trimEnd:e=>e.trimEnd(),trimLeft:e=>e.trimStart(),trimRight:e=>e.trimEnd(),trim:e=>e.trim(),toLowerCase:e=>e.toLowerCase(),toUpperCase:e=>e.toUpperCase(),toEnumCase:(e,t)=>(null==t?void 0:t.hash[a(e)])||e},o=Object.assign((function(){return{keyword:"transform",schemaType:"array",before:"enum",code(e){const{gen:t,data:n,schema:o,parentSchema:s,it:l}=e,{parentData:u,parentDataProperty:c}=l,d=o;if(!d.length)return;let p;if(d.includes("toEnumCase")){const e=function(e){const t={hash:{}};if(!e.enum)throw new Error('transform: "toEnumCase" requires "enum"');for(const n of e.enum){if("string"!=typeof n)continue;const e=a(n);if(t.hash[e])throw new Error('transform: "toEnumCase" requires all lowercased "enum" values to be unique');t.hash[e]=n}return t}(s);p=t.scopeValue("obj",{ref:e,code:(0,r.stringify)(e)})}function f(e){if(!e.length)return n;const o=e.pop();if(!(o in i))throw new Error(`transform: unknown transformation ${o}`);const a=t.scopeValue("func",{ref:i[o],code:r._`require("ajv-keywords/dist/definitions/transform").transform${(0,r.getProperty)(o)}`}),s=f(e);return p&&"toEnumCase"===o?r._`${a}(${s}, ${p})`:r._`${a}(${s})`}t.if(r._`typeof ${n} == "string" && ${u} !== undefined`,(()=>{t.assign(n,f(d.slice())),t.assign(r._`${u}[${c}]`,n)}))},metaSchema:{type:"array",items:{type:"string",enum:Object.keys(i)}}}}),{transform:i});function a(e){return e.toLowerCase()}t.default=o,e.exports=o},98925:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=["undefined","string","number","object","function","boolean","symbol"];function o(){return{keyword:"typeof",schemaType:["string","array"],code(e){const{data:t,schema:n,schemaValue:i}=e;e.fail("string"==typeof n?r._`typeof ${t} != ${n}`:r._`${i}.indexOf(typeof ${t}) < 0`)},metaSchema:{anyOf:[{type:"string",enum:i},{type:"array",items:{type:"string",enum:i}}]}}}t.default=o,e.exports=o},56762:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(69378),i=["number","integer","string","boolean","null"];function o(){return{keyword:"uniqueItemProperties",type:"array",schemaType:"array",compile(e,t){const n=function(e,t){return e.map((e=>{var n,r,o;const a=null===(o=null===(r=null===(n=t.items)||void 0===n?void 0:n.properties)||void 0===r?void 0:r[e])||void 0===o?void 0:o.type;return Array.isArray(a)?!a.includes("object")&&!a.includes("array"):i.includes(a)}))}(e,t);return t=>{if(t.length<=1)return!0;for(let i=0;i<e.length;i++){const o=e[i];if(n[i]){const e={};for(const n of t){if(!n||"object"!=typeof n)continue;let t=n[o];if(!t||"object"!=typeof t){if("string"==typeof t&&(t='"'+t),e[t])return!1;e[t]=!0}}}else for(let e=t.length;e--;){const n=t[e];if(n&&"object"==typeof n)for(let i=e;i--;){const e=t[i];if(e&&"object"==typeof e&&r(n[o],e[o]))return!1}}}return!0}},metaSchema:{type:"array",items:{type:"string"}}}}t.default=o,e.exports=o},22366:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(81372)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},16052:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(32869)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},58072:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(75847)),o=(e,t)=>e.addKeyword((0,i.default)(t));t.default=o,e.exports=o},60200:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(77109)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},15819:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(94828)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},82456:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(92163)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},66454:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(4832)),o=r(n(98686)),a=r(n(95834)),s=r(n(82456)),l=r(n(96397)),u=r(n(74940)),c=r(n(22998)),d=r(n(22366)),p=r(n(16052)),f=r(n(13293)),h=r(n(61898)),m=r(n(43041)),g=r(n(58072)),v=r(n(60200)),y=r(n(15819)),b=r(n(9463)),w={typeof:i.default,instanceof:o.default,range:a.default,exclusiveRange:s.default,regexp:l.default,transform:u.default,uniqueItemProperties:c.default,allRequired:d.default,anyRequired:p.default,oneRequired:f.default,patternRequired:h.default,prohibited:m.default,deepProperties:g.default,deepRequired:v.default,dynamicDefaults:y.default,select:b.default};t.default=w,e.exports=w},98686:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(90489)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},13293:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(53988)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},61898:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(38562)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},43041:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(80132)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},95834:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(81629)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},96397:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(1601)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},9463:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(47781)),o=(e,t)=>((0,i.default)(t).forEach((t=>e.addKeyword(t))),e);t.default=o,e.exports=o},74940:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(98018)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},4832:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(98925)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},22998:function(e,t,n){"use strict";var r=this&&this.__importDefault||function(e){return e&&e.__esModule?e:{default:e}};Object.defineProperty(t,"__esModule",{value:!0});const i=r(n(56762)),o=e=>e.addKeyword((0,i.default)());t.default=o,e.exports=o},96477:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.MissingRefError=t.ValidationError=t.CodeGen=t.Name=t.nil=t.stringify=t.str=t._=t.KeywordCxt=void 0;const r=n(16495),i=n(36594),o=n(34415),a=n(44746),s=["/properties"],l="http://json-schema.org/draft-07/schema";class u extends r.default{_addVocabularies(){super._addVocabularies(),i.default.forEach((e=>this.addVocabulary(e))),this.opts.discriminator&&this.addKeyword(o.default)}_addDefaultMetaSchema(){if(super._addDefaultMetaSchema(),!this.opts.meta)return;const e=this.opts.$data?this.$dataMetaSchema(a,s):a;this.addMetaSchema(e,l,!1),this.refs["http://json-schema.org/schema"]=l}defaultMeta(){return this.opts.defaultMeta=super.defaultMeta()||(this.getSchema(l)?l:void 0)}}e.exports=t=u,Object.defineProperty(t,"__esModule",{value:!0}),t.default=u;var c=n(49029);Object.defineProperty(t,"KeywordCxt",{enumerable:!0,get:function(){return c.KeywordCxt}});var d=n(36313);Object.defineProperty(t,"_",{enumerable:!0,get:function(){return d._}}),Object.defineProperty(t,"str",{enumerable:!0,get:function(){return d.str}}),Object.defineProperty(t,"stringify",{enumerable:!0,get:function(){return d.stringify}}),Object.defineProperty(t,"nil",{enumerable:!0,get:function(){return d.nil}}),Object.defineProperty(t,"Name",{enumerable:!0,get:function(){return d.Name}}),Object.defineProperty(t,"CodeGen",{enumerable:!0,get:function(){return d.CodeGen}});var p=n(92975);Object.defineProperty(t,"ValidationError",{enumerable:!0,get:function(){return p.default}});var f=n(4946);Object.defineProperty(t,"MissingRefError",{enumerable:!0,get:function(){return f.default}})},68060:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.regexpCode=t.getEsmExportName=t.getProperty=t.safeStringify=t.stringify=t.strConcat=t.addCodeArg=t.str=t._=t.nil=t._Code=t.Name=t.IDENTIFIER=t._CodeOrName=void 0;class n{}t._CodeOrName=n,t.IDENTIFIER=/^[a-z$_][a-z$_0-9]*$/i;class r extends n{constructor(e){if(super(),!t.IDENTIFIER.test(e))throw new Error("CodeGen: name must be a valid identifier");this.str=e}toString(){return this.str}emptyStr(){return!1}get names(){return{[this.str]:1}}}t.Name=r;class i extends n{constructor(e){super(),this._items="string"==typeof e?[e]:e}toString(){return this.str}emptyStr(){if(this._items.length>1)return!1;const e=this._items[0];return""===e||'""'===e}get str(){var e;return null!==(e=this._str)&&void 0!==e?e:this._str=this._items.reduce(((e,t)=>`${e}${t}`),"")}get names(){var e;return null!==(e=this._names)&&void 0!==e?e:this._names=this._items.reduce(((e,t)=>(t instanceof r&&(e[t.str]=(e[t.str]||0)+1),e)),{})}}function o(e,...t){const n=[e[0]];let r=0;for(;r<t.length;)l(n,t[r]),n.push(e[++r]);return new i(n)}t._Code=i,t.nil=new i(""),t._=o;const a=new i("+");function s(e,...t){const n=[c(e[0])];let r=0;for(;r<t.length;)n.push(a),l(n,t[r]),n.push(a,c(e[++r]));return function(e){let t=1;for(;t<e.length-1;){if(e[t]===a){const n=u(e[t-1],e[t+1]);if(void 0!==n){e.splice(t-1,3,n);continue}e[t++]="+"}t++}}(n),new i(n)}function l(e,t){var n;t instanceof i?e.push(...t._items):t instanceof r?e.push(t):e.push("number"==typeof(n=t)||"boolean"==typeof n||null===n?n:c(Array.isArray(n)?n.join(","):n))}function u(e,t){if('""'===t)return e;if('""'===e)return t;if("string"==typeof e){if(t instanceof r||'"'!==e[e.length-1])return;return"string"!=typeof t?`${e.slice(0,-1)}${t}"`:'"'===t[0]?e.slice(0,-1)+t.slice(1):void 0}return"string"!=typeof t||'"'!==t[0]||e instanceof r?void 0:`"${e}${t.slice(1)}`}function c(e){return JSON.stringify(e).replace(/\u2028/g,"\\u2028").replace(/\u2029/g,"\\u2029")}t.str=s,t.addCodeArg=l,t.strConcat=function(e,t){return t.emptyStr()?e:e.emptyStr()?t:s`${e}${t}`},t.stringify=function(e){return new i(c(e))},t.safeStringify=c,t.getProperty=function(e){return"string"==typeof e&&t.IDENTIFIER.test(e)?new i(`.${e}`):o`[${e}]`},t.getEsmExportName=function(e){if("string"==typeof e&&t.IDENTIFIER.test(e))return new i(`${e}`);throw new Error(`CodeGen: invalid export name: ${e}, use explicit $id name mapping`)},t.regexpCode=function(e){return new i(e.toString())}},36313:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.or=t.and=t.not=t.CodeGen=t.operators=t.varKinds=t.ValueScopeName=t.ValueScope=t.Scope=t.Name=t.regexpCode=t.stringify=t.getProperty=t.nil=t.strConcat=t.str=t._=void 0;const r=n(68060),i=n(92083);var o=n(68060);Object.defineProperty(t,"_",{enumerable:!0,get:function(){return o._}}),Object.defineProperty(t,"str",{enumerable:!0,get:function(){return o.str}}),Object.defineProperty(t,"strConcat",{enumerable:!0,get:function(){return o.strConcat}}),Object.defineProperty(t,"nil",{enumerable:!0,get:function(){return o.nil}}),Object.defineProperty(t,"getProperty",{enumerable:!0,get:function(){return o.getProperty}}),Object.defineProperty(t,"stringify",{enumerable:!0,get:function(){return o.stringify}}),Object.defineProperty(t,"regexpCode",{enumerable:!0,get:function(){return o.regexpCode}}),Object.defineProperty(t,"Name",{enumerable:!0,get:function(){return o.Name}});var a=n(92083);Object.defineProperty(t,"Scope",{enumerable:!0,get:function(){return a.Scope}}),Object.defineProperty(t,"ValueScope",{enumerable:!0,get:function(){return a.ValueScope}}),Object.defineProperty(t,"ValueScopeName",{enumerable:!0,get:function(){return a.ValueScopeName}}),Object.defineProperty(t,"varKinds",{enumerable:!0,get:function(){return a.varKinds}}),t.operators={GT:new r._Code(">"),GTE:new r._Code(">="),LT:new r._Code("<"),LTE:new r._Code("<="),EQ:new r._Code("==="),NEQ:new r._Code("!=="),NOT:new r._Code("!"),OR:new r._Code("||"),AND:new r._Code("&&"),ADD:new r._Code("+")};class s{optimizeNodes(){return this}optimizeNames(e,t){return this}}class l extends s{constructor(e,t,n){super(),this.varKind=e,this.name=t,this.rhs=n}render({es5:e,_n:t}){const n=e?i.varKinds.var:this.varKind,r=void 0===this.rhs?"":` = ${this.rhs}`;return`${n} ${this.name}${r};`+t}optimizeNames(e,t){if(e[this.name.str])return this.rhs&&(this.rhs=D(this.rhs,e,t)),this}get names(){return this.rhs instanceof r._CodeOrName?this.rhs.names:{}}}class u extends s{constructor(e,t,n){super(),this.lhs=e,this.rhs=t,this.sideEffects=n}render({_n:e}){return`${this.lhs} = ${this.rhs};`+e}optimizeNames(e,t){if(!(this.lhs instanceof r.Name)||e[this.lhs.str]||this.sideEffects)return this.rhs=D(this.rhs,e,t),this}get names(){return P(this.lhs instanceof r.Name?{}:{...this.lhs.names},this.rhs)}}class c extends u{constructor(e,t,n,r){super(e,n,r),this.op=t}render({_n:e}){return`${this.lhs} ${this.op}= ${this.rhs};`+e}}class d extends s{constructor(e){super(),this.label=e,this.names={}}render({_n:e}){return`${this.label}:`+e}}class p extends s{constructor(e){super(),this.label=e,this.names={}}render({_n:e}){return`break${this.label?` ${this.label}`:""};`+e}}class f extends s{constructor(e){super(),this.error=e}render({_n:e}){return`throw ${this.error};`+e}get names(){return this.error.names}}class h extends s{constructor(e){super(),this.code=e}render({_n:e}){return`${this.code};`+e}optimizeNodes(){return`${this.code}`?this:void 0}optimizeNames(e,t){return this.code=D(this.code,e,t),this}get names(){return this.code instanceof r._CodeOrName?this.code.names:{}}}class m extends s{constructor(e=[]){super(),this.nodes=e}render(e){return this.nodes.reduce(((t,n)=>t+n.render(e)),"")}optimizeNodes(){const{nodes:e}=this;let t=e.length;for(;t--;){const n=e[t].optimizeNodes();Array.isArray(n)?e.splice(t,1,...n):n?e[t]=n:e.splice(t,1)}return e.length>0?this:void 0}optimizeNames(e,t){const{nodes:n}=this;let r=n.length;for(;r--;){const i=n[r];i.optimizeNames(e,t)||(R(e,i.names),n.splice(r,1))}return n.length>0?this:void 0}get names(){return this.nodes.reduce(((e,t)=>O(e,t.names)),{})}}class g extends m{render(e){return"{"+e._n+super.render(e)+"}"+e._n}}class v extends m{}class y extends g{}y.kind="else";class b extends g{constructor(e,t){super(t),this.condition=e}render(e){let t=`if(${this.condition})`+super.render(e);return this.else&&(t+="else "+this.else.render(e)),t}optimizeNodes(){super.optimizeNodes();const e=this.condition;if(!0===e)return this.nodes;let t=this.else;if(t){const e=t.optimizeNodes();t=this.else=Array.isArray(e)?new y(e):e}return t?!1===e?t instanceof b?t:t.nodes:this.nodes.length?this:new b(I(e),t instanceof b?[t]:t.nodes):!1!==e&&this.nodes.length?this:void 0}optimizeNames(e,t){var n;if(this.else=null===(n=this.else)||void 0===n?void 0:n.optimizeNames(e,t),super.optimizeNames(e,t)||this.else)return this.condition=D(this.condition,e,t),this}get names(){const e=super.names;return P(e,this.condition),this.else&&O(e,this.else.names),e}}b.kind="if";class w extends g{}w.kind="for";class _ extends w{constructor(e){super(),this.iteration=e}render(e){return`for(${this.iteration})`+super.render(e)}optimizeNames(e,t){if(super.optimizeNames(e,t))return this.iteration=D(this.iteration,e,t),this}get names(){return O(super.names,this.iteration.names)}}class x extends w{constructor(e,t,n,r){super(),this.varKind=e,this.name=t,this.from=n,this.to=r}render(e){const t=e.es5?i.varKinds.var:this.varKind,{name:n,from:r,to:o}=this;return`for(${t} ${n}=${r}; ${n}<${o}; ${n}++)`+super.render(e)}get names(){const e=P(super.names,this.from);return P(e,this.to)}}class k extends w{constructor(e,t,n,r){super(),this.loop=e,this.varKind=t,this.name=n,this.iterable=r}render(e){return`for(${this.varKind} ${this.name} ${this.loop} ${this.iterable})`+super.render(e)}optimizeNames(e,t){if(super.optimizeNames(e,t))return this.iterable=D(this.iterable,e,t),this}get names(){return O(super.names,this.iterable.names)}}class E extends g{constructor(e,t,n){super(),this.name=e,this.args=t,this.async=n}render(e){return`${this.async?"async ":""}function ${this.name}(${this.args})`+super.render(e)}}E.kind="func";class C extends m{render(e){return"return "+super.render(e)}}C.kind="return";class S extends g{render(e){let t="try"+super.render(e);return this.catch&&(t+=this.catch.render(e)),this.finally&&(t+=this.finally.render(e)),t}optimizeNodes(){var e,t;return super.optimizeNodes(),null===(e=this.catch)||void 0===e||e.optimizeNodes(),null===(t=this.finally)||void 0===t||t.optimizeNodes(),this}optimizeNames(e,t){var n,r;return super.optimizeNames(e,t),null===(n=this.catch)||void 0===n||n.optimizeNames(e,t),null===(r=this.finally)||void 0===r||r.optimizeNames(e,t),this}get names(){const e=super.names;return this.catch&&O(e,this.catch.names),this.finally&&O(e,this.finally.names),e}}class A extends g{constructor(e){super(),this.error=e}render(e){return`catch(${this.error})`+super.render(e)}}A.kind="catch";class T extends g{render(e){return"finally"+super.render(e)}}function O(e,t){for(const n in t)e[n]=(e[n]||0)+(t[n]||0);return e}function P(e,t){return t instanceof r._CodeOrName?O(e,t.names):e}function D(e,t,n){return e instanceof r.Name?o(e):(i=e)instanceof r._Code&&i._items.some((e=>e instanceof r.Name&&1===t[e.str]&&void 0!==n[e.str]))?new r._Code(e._items.reduce(((e,t)=>(t instanceof r.Name&&(t=o(t)),t instanceof r._Code?e.push(...t._items):e.push(t),e)),[])):e;var i;function o(e){const r=n[e.str];return void 0===r||1!==t[e.str]?e:(delete t[e.str],r)}}function R(e,t){for(const n in t)e[n]=(e[n]||0)-(t[n]||0)}function I(e){return"boolean"==typeof e||"number"==typeof e||null===e?!e:r._`!${j(e)}`}T.kind="finally",t.CodeGen=class{constructor(e,t={}){this._values={},this._blockStarts=[],this._constants={},this.opts={...t,_n:t.lines?"\n":""},this._extScope=e,this._scope=new i.Scope({parent:e}),this._nodes=[new v]}toString(){return this._root.render(this.opts)}name(e){return this._scope.name(e)}scopeName(e){return this._extScope.name(e)}scopeValue(e,t){const n=this._extScope.value(e,t);return(this._values[n.prefix]||(this._values[n.prefix]=new Set)).add(n),n}getScopeValue(e,t){return this._extScope.getValue(e,t)}scopeRefs(e){return this._extScope.scopeRefs(e,this._values)}scopeCode(){return this._extScope.scopeCode(this._values)}_def(e,t,n,r){const i=this._scope.toName(t);return void 0!==n&&r&&(this._constants[i.str]=n),this._leafNode(new l(e,i,n)),i}const(e,t,n){return this._def(i.varKinds.const,e,t,n)}let(e,t,n){return this._def(i.varKinds.let,e,t,n)}var(e,t,n){return this._def(i.varKinds.var,e,t,n)}assign(e,t,n){return this._leafNode(new u(e,t,n))}add(e,n){return this._leafNode(new c(e,t.operators.ADD,n))}code(e){return"function"==typeof e?e():e!==r.nil&&this._leafNode(new h(e)),this}object(...e){const t=["{"];for(const[n,i]of e)t.length>1&&t.push(","),t.push(n),(n!==i||this.opts.es5)&&(t.push(":"),(0,r.addCodeArg)(t,i));return t.push("}"),new r._Code(t)}if(e,t,n){if(this._blockNode(new b(e)),t&&n)this.code(t).else().code(n).endIf();else if(t)this.code(t).endIf();else if(n)throw new Error('CodeGen: "else" body without "then" body');return this}elseIf(e){return this._elseNode(new b(e))}else(){return this._elseNode(new y)}endIf(){return this._endBlockNode(b,y)}_for(e,t){return this._blockNode(e),t&&this.code(t).endFor(),this}for(e,t){return this._for(new _(e),t)}forRange(e,t,n,r,o=(this.opts.es5?i.varKinds.var:i.varKinds.let)){const a=this._scope.toName(e);return this._for(new x(o,a,t,n),(()=>r(a)))}forOf(e,t,n,o=i.varKinds.const){const a=this._scope.toName(e);if(this.opts.es5){const e=t instanceof r.Name?t:this.var("_arr",t);return this.forRange("_i",0,r._`${e}.length`,(t=>{this.var(a,r._`${e}[${t}]`),n(a)}))}return this._for(new k("of",o,a,t),(()=>n(a)))}forIn(e,t,n,o=(this.opts.es5?i.varKinds.var:i.varKinds.const)){if(this.opts.ownProperties)return this.forOf(e,r._`Object.keys(${t})`,n);const a=this._scope.toName(e);return this._for(new k("in",o,a,t),(()=>n(a)))}endFor(){return this._endBlockNode(w)}label(e){return this._leafNode(new d(e))}break(e){return this._leafNode(new p(e))}return(e){const t=new C;if(this._blockNode(t),this.code(e),1!==t.nodes.length)throw new Error('CodeGen: "return" should have one node');return this._endBlockNode(C)}try(e,t,n){if(!t&&!n)throw new Error('CodeGen: "try" without "catch" and "finally"');const r=new S;if(this._blockNode(r),this.code(e),t){const e=this.name("e");this._currNode=r.catch=new A(e),t(e)}return n&&(this._currNode=r.finally=new T,this.code(n)),this._endBlockNode(A,T)}throw(e){return this._leafNode(new f(e))}block(e,t){return this._blockStarts.push(this._nodes.length),e&&this.code(e).endBlock(t),this}endBlock(e){const t=this._blockStarts.pop();if(void 0===t)throw new Error("CodeGen: not in self-balancing block");const n=this._nodes.length-t;if(n<0||void 0!==e&&n!==e)throw new Error(`CodeGen: wrong number of nodes: ${n} vs ${e} expected`);return this._nodes.length=t,this}func(e,t=r.nil,n,i){return this._blockNode(new E(e,t,n)),i&&this.code(i).endFunc(),this}endFunc(){return this._endBlockNode(E)}optimize(e=1){for(;e-- >0;)this._root.optimizeNodes(),this._root.optimizeNames(this._root.names,this._constants)}_leafNode(e){return this._currNode.nodes.push(e),this}_blockNode(e){this._currNode.nodes.push(e),this._nodes.push(e)}_endBlockNode(e,t){const n=this._currNode;if(n instanceof e||t&&n instanceof t)return this._nodes.pop(),this;throw new Error(`CodeGen: not in block "${t?`${e.kind}/${t.kind}`:e.kind}"`)}_elseNode(e){const t=this._currNode;if(!(t instanceof b))throw new Error('CodeGen: "else" without "if"');return this._currNode=t.else=e,this}get _root(){return this._nodes[0]}get _currNode(){const e=this._nodes;return e[e.length-1]}set _currNode(e){const t=this._nodes;t[t.length-1]=e}},t.not=I;const M=N(t.operators.AND);t.and=function(...e){return e.reduce(M)};const L=N(t.operators.OR);function N(e){return(t,n)=>t===r.nil?n:n===r.nil?t:r._`${j(t)} ${e} ${j(n)}`}function j(e){return e instanceof r.Name?e:r._`(${e})`}t.or=function(...e){return e.reduce(L)}},92083:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ValueScope=t.ValueScopeName=t.Scope=t.varKinds=t.UsedValueState=void 0;const r=n(68060);class i extends Error{constructor(e){super(`CodeGen: "code" for ${e} not defined`),this.value=e.value}}var o;!function(e){e[e.Started=0]="Started",e[e.Completed=1]="Completed"}(o=t.UsedValueState||(t.UsedValueState={})),t.varKinds={const:new r.Name("const"),let:new r.Name("let"),var:new r.Name("var")};class a{constructor({prefixes:e,parent:t}={}){this._names={},this._prefixes=e,this._parent=t}toName(e){return e instanceof r.Name?e:this.name(e)}name(e){return new r.Name(this._newName(e))}_newName(e){return`${e}${(this._names[e]||this._nameGroup(e)).index++}`}_nameGroup(e){var t,n;if((null===(n=null===(t=this._parent)||void 0===t?void 0:t._prefixes)||void 0===n?void 0:n.has(e))||this._prefixes&&!this._prefixes.has(e))throw new Error(`CodeGen: prefix "${e}" is not allowed in this scope`);return this._names[e]={prefix:e,index:0}}}t.Scope=a;class s extends r.Name{constructor(e,t){super(t),this.prefix=e}setValue(e,{property:t,itemIndex:n}){this.value=e,this.scopePath=r._`.${new r.Name(t)}[${n}]`}}t.ValueScopeName=s;const l=r._`\n`;t.ValueScope=class extends a{constructor(e){super(e),this._values={},this._scope=e.scope,this.opts={...e,_n:e.lines?l:r.nil}}get(){return this._scope}name(e){return new s(e,this._newName(e))}value(e,t){var n;if(void 0===t.ref)throw new Error("CodeGen: ref must be passed in value");const r=this.toName(e),{prefix:i}=r,o=null!==(n=t.key)&&void 0!==n?n:t.ref;let a=this._values[i];if(a){const e=a.get(o);if(e)return e}else a=this._values[i]=new Map;a.set(o,r);const s=this._scope[i]||(this._scope[i]=[]),l=s.length;return s[l]=t.ref,r.setValue(t,{property:i,itemIndex:l}),r}getValue(e,t){const n=this._values[e];if(n)return n.get(t)}scopeRefs(e,t=this._values){return this._reduceValues(t,(t=>{if(void 0===t.scopePath)throw new Error(`CodeGen: name "${t}" has no value`);return r._`${e}${t.scopePath}`}))}scopeCode(e=this._values,t,n){return this._reduceValues(e,(e=>{if(void 0===e.value)throw new Error(`CodeGen: name "${e}" has no value`);return e.value.code}),t,n)}_reduceValues(e,n,a={},s){let l=r.nil;for(const u in e){const c=e[u];if(!c)continue;const d=a[u]=a[u]||new Map;c.forEach((e=>{if(d.has(e))return;d.set(e,o.Started);let a=n(e);if(a){const n=this.opts.es5?t.varKinds.var:t.varKinds.const;l=r._`${l}${n} ${e} = ${a};${this.opts._n}`}else{if(!(a=null==s?void 0:s(e)))throw new i(e);l=r._`${l}${a}${this.opts._n}`}d.set(e,o.Completed)}))}return l}}},84101:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.extendErrors=t.resetErrorsCount=t.reportExtraError=t.reportError=t.keyword$DataError=t.keywordError=void 0;const r=n(36313),i=n(22500),o=n(73861);function a(e,t){const n=e.const("err",t);e.if(r._`${o.default.vErrors} === null`,(()=>e.assign(o.default.vErrors,r._`[${n}]`)),r._`${o.default.vErrors}.push(${n})`),e.code(r._`${o.default.errors}++`)}function s(e,t){const{gen:n,validateName:i,schemaEnv:o}=e;o.$async?n.throw(r._`new ${e.ValidationError}(${t})`):(n.assign(r._`${i}.errors`,t),n.return(!1))}t.keywordError={message:({keyword:e})=>r.str`must pass "${e}" keyword validation`},t.keyword$DataError={message:({keyword:e,schemaType:t})=>t?r.str`"${e}" keyword must be ${t} ($data)`:r.str`"${e}" keyword is invalid ($data)`},t.reportError=function(e,n=t.keywordError,i,o){const{it:l}=e,{gen:c,compositeRule:d,allErrors:p}=l,f=u(e,n,i);(null!=o?o:d||p)?a(c,f):s(l,r._`[${f}]`)},t.reportExtraError=function(e,n=t.keywordError,r){const{it:i}=e,{gen:l,compositeRule:c,allErrors:d}=i;a(l,u(e,n,r)),c||d||s(i,o.default.vErrors)},t.resetErrorsCount=function(e,t){e.assign(o.default.errors,t),e.if(r._`${o.default.vErrors} !== null`,(()=>e.if(t,(()=>e.assign(r._`${o.default.vErrors}.length`,t)),(()=>e.assign(o.default.vErrors,null)))))},t.extendErrors=function({gen:e,keyword:t,schemaValue:n,data:i,errsCount:a,it:s}){if(void 0===a)throw new Error("ajv implementation error");const l=e.name("err");e.forRange("i",a,o.default.errors,(a=>{e.const(l,r._`${o.default.vErrors}[${a}]`),e.if(r._`${l}.instancePath === undefined`,(()=>e.assign(r._`${l}.instancePath`,(0,r.strConcat)(o.default.instancePath,s.errorPath)))),e.assign(r._`${l}.schemaPath`,r.str`${s.errSchemaPath}/${t}`),s.opts.verbose&&(e.assign(r._`${l}.schema`,n),e.assign(r._`${l}.data`,i))}))};const l={keyword:new r.Name("keyword"),schemaPath:new r.Name("schemaPath"),params:new r.Name("params"),propertyName:new r.Name("propertyName"),message:new r.Name("message"),schema:new r.Name("schema"),parentSchema:new r.Name("parentSchema")};function u(e,t,n){const{createErrors:i}=e.it;return!1===i?r._`{}`:function(e,t,n={}){const{gen:i,it:a}=e,s=[c(a,n),d(e,n)];return function(e,{params:t,message:n},i){const{keyword:a,data:s,schemaValue:u,it:c}=e,{opts:d,propertyName:p,topSchemaRef:f,schemaPath:h}=c;i.push([l.keyword,a],[l.params,"function"==typeof t?t(e):t||r._`{}`]),d.messages&&i.push([l.message,"function"==typeof n?n(e):n]),d.verbose&&i.push([l.schema,u],[l.parentSchema,r._`${f}${h}`],[o.default.data,s]),p&&i.push([l.propertyName,p])}(e,t,s),i.object(...s)}(e,t,n)}function c({errorPath:e},{instancePath:t}){const n=t?r.str`${e}${(0,i.getErrorPath)(t,i.Type.Str)}`:e;return[o.default.instancePath,(0,r.strConcat)(o.default.instancePath,n)]}function d({keyword:e,it:{errSchemaPath:t}},{schemaPath:n,parentSchema:o}){let a=o?t:r.str`${t}/${e}`;return n&&(a=r.str`${a}${(0,i.getErrorPath)(n,i.Type.Str)}`),[l.schemaPath,a]}},33830:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.resolveSchema=t.getCompilingSchema=t.resolveRef=t.compileSchema=t.SchemaEnv=void 0;const r=n(36313),i=n(92975),o=n(73861),a=n(40195),s=n(22500),l=n(49029);class u{constructor(e){var t;let n;this.refs={},this.dynamicAnchors={},"object"==typeof e.schema&&(n=e.schema),this.schema=e.schema,this.schemaId=e.schemaId,this.root=e.root||this,this.baseId=null!==(t=e.baseId)&&void 0!==t?t:(0,a.normalizeId)(null==n?void 0:n[e.schemaId||"$id"]),this.schemaPath=e.schemaPath,this.localRefs=e.localRefs,this.meta=e.meta,this.$async=null==n?void 0:n.$async,this.refs={}}}function c(e){const t=p.call(this,e);if(t)return t;const n=(0,a.getFullPath)(this.opts.uriResolver,e.root.baseId),{es5:s,lines:u}=this.opts.code,{ownProperties:c}=this.opts,d=new r.CodeGen(this.scope,{es5:s,lines:u,ownProperties:c});let f;e.$async&&(f=d.scopeValue("Error",{ref:i.default,code:r._`require("ajv/dist/runtime/validation_error").default`}));const h=d.scopeName("validate");e.validateName=h;const m={gen:d,allErrors:this.opts.allErrors,data:o.default.data,parentData:o.default.parentData,parentDataProperty:o.default.parentDataProperty,dataNames:[o.default.data],dataPathArr:[r.nil],dataLevel:0,dataTypes:[],definedProperties:new Set,topSchemaRef:d.scopeValue("schema",!0===this.opts.code.source?{ref:e.schema,code:(0,r.stringify)(e.schema)}:{ref:e.schema}),validateName:h,ValidationError:f,schema:e.schema,schemaEnv:e,rootId:n,baseId:e.baseId||n,schemaPath:r.nil,errSchemaPath:e.schemaPath||(this.opts.jtd?"":"#"),errorPath:r._`""`,opts:this.opts,self:this};let g;try{this._compilations.add(e),(0,l.validateFunctionCode)(m),d.optimize(this.opts.code.optimize);const t=d.toString();g=`${d.scopeRefs(o.default.scope)}return ${t}`,this.opts.code.process&&(g=this.opts.code.process(g,e));const n=new Function(`${o.default.self}`,`${o.default.scope}`,g)(this,this.scope.get());if(this.scope.value(h,{ref:n}),n.errors=null,n.schema=e.schema,n.schemaEnv=e,e.$async&&(n.$async=!0),!0===this.opts.code.source&&(n.source={validateName:h,validateCode:t,scopeValues:d._values}),this.opts.unevaluated){const{props:e,items:t}=m;n.evaluated={props:e instanceof r.Name?void 0:e,items:t instanceof r.Name?void 0:t,dynamicProps:e instanceof r.Name,dynamicItems:t instanceof r.Name},n.source&&(n.source.evaluated=(0,r.stringify)(n.evaluated))}return e.validate=n,e}catch(t){throw delete e.validate,delete e.validateName,g&&this.logger.error("Error compiling schema, function code:",g),t}finally{this._compilations.delete(e)}}function d(e){return(0,a.inlineRef)(e.schema,this.opts.inlineRefs)?e.schema:e.validate?e:c.call(this,e)}function p(e){for(const r of this._compilations)if(n=e,(t=r).schema===n.schema&&t.root===n.root&&t.baseId===n.baseId)return r;var t,n}function f(e,t){let n;for(;"string"==typeof(n=this.refs[t]);)t=n;return n||this.schemas[t]||h.call(this,e,t)}function h(e,t){const n=this.opts.uriResolver.parse(t),r=(0,a._getFullPath)(this.opts.uriResolver,n);let i=(0,a.getFullPath)(this.opts.uriResolver,e.baseId,void 0);if(Object.keys(e.schema).length>0&&r===i)return g.call(this,n,e);const o=(0,a.normalizeId)(r),s=this.refs[o]||this.schemas[o];if("string"==typeof s){const t=h.call(this,e,s);if("object"!=typeof(null==t?void 0:t.schema))return;return g.call(this,n,t)}if("object"==typeof(null==s?void 0:s.schema)){if(s.validate||c.call(this,s),o===(0,a.normalizeId)(t)){const{schema:t}=s,{schemaId:n}=this.opts,r=t[n];return r&&(i=(0,a.resolveUrl)(this.opts.uriResolver,i,r)),new u({schema:t,schemaId:n,root:e,baseId:i})}return g.call(this,n,s)}}t.SchemaEnv=u,t.compileSchema=c,t.resolveRef=function(e,t,n){var r;n=(0,a.resolveUrl)(this.opts.uriResolver,t,n);const i=e.refs[n];if(i)return i;let o=f.call(this,e,n);if(void 0===o){const i=null===(r=e.localRefs)||void 0===r?void 0:r[n],{schemaId:a}=this.opts;i&&(o=new u({schema:i,schemaId:a,root:e,baseId:t}))}return void 0!==o?e.refs[n]=d.call(this,o):void 0},t.getCompilingSchema=p,t.resolveSchema=h;const m=new Set(["properties","patternProperties","enum","dependencies","definitions"]);function g(e,{baseId:t,schema:n,root:r}){var i;if("/"!==(null===(i=e.fragment)||void 0===i?void 0:i[0]))return;for(const r of e.fragment.slice(1).split("/")){if("boolean"==typeof n)return;const e=n[(0,s.unescapeFragment)(r)];if(void 0===e)return;const i="object"==typeof(n=e)&&n[this.opts.schemaId];!m.has(r)&&i&&(t=(0,a.resolveUrl)(this.opts.uriResolver,t,i))}let o;if("boolean"!=typeof n&&n.$ref&&!(0,s.schemaHasRulesButRef)(n,this.RULES)){const e=(0,a.resolveUrl)(this.opts.uriResolver,t,n.$ref);o=h.call(this,r,e)}const{schemaId:l}=this.opts;return o=o||new u({schema:n,schemaId:l,root:r,baseId:t}),o.schema!==o.root.schema?o:void 0}},73861:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i={data:new r.Name("data"),valCxt:new r.Name("valCxt"),instancePath:new r.Name("instancePath"),parentData:new r.Name("parentData"),parentDataProperty:new r.Name("parentDataProperty"),rootData:new r.Name("rootData"),dynamicAnchors:new r.Name("dynamicAnchors"),vErrors:new r.Name("vErrors"),errors:new r.Name("errors"),this:new r.Name("this"),self:new r.Name("self"),scope:new r.Name("scope"),json:new r.Name("json"),jsonPos:new r.Name("jsonPos"),jsonLen:new r.Name("jsonLen"),jsonPart:new r.Name("jsonPart")};t.default=i},4946:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(40195);class i extends Error{constructor(e,t,n,i){super(i||`can't resolve reference ${n} from id ${t}`),this.missingRef=(0,r.resolveUrl)(e,t,n),this.missingSchema=(0,r.normalizeId)((0,r.getFullPath)(e,this.missingRef))}}t.default=i},40195:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getSchemaRefs=t.resolveUrl=t.normalizeId=t._getFullPath=t.getFullPath=t.inlineRef=void 0;const r=n(22500),i=n(69378),o=n(18966),a=new Set(["type","format","pattern","maxLength","minLength","maxProperties","minProperties","maxItems","minItems","maximum","minimum","uniqueItems","multipleOf","required","enum","const"]);t.inlineRef=function(e,t=!0){return"boolean"==typeof e||(!0===t?!l(e):!!t&&u(e)<=t)};const s=new Set(["$ref","$recursiveRef","$recursiveAnchor","$dynamicRef","$dynamicAnchor"]);function l(e){for(const t in e){if(s.has(t))return!0;const n=e[t];if(Array.isArray(n)&&n.some(l))return!0;if("object"==typeof n&&l(n))return!0}return!1}function u(e){let t=0;for(const n in e){if("$ref"===n)return 1/0;if(t++,!a.has(n)&&("object"==typeof e[n]&&(0,r.eachItem)(e[n],(e=>t+=u(e))),t===1/0))return 1/0}return t}function c(e,t="",n){!1!==n&&(t=f(t));const r=e.parse(t);return d(e,r)}function d(e,t){return e.serialize(t).split("#")[0]+"#"}t.getFullPath=c,t._getFullPath=d;const p=/#\/?$/;function f(e){return e?e.replace(p,""):""}t.normalizeId=f,t.resolveUrl=function(e,t,n){return n=f(n),e.resolve(t,n)};const h=/^[a-z_][-a-z0-9._]*$/i;t.getSchemaRefs=function(e,t){if("boolean"==typeof e)return{};const{schemaId:n,uriResolver:r}=this.opts,a=f(e[n]||t),s={"":a},l=c(r,a,!1),u={},d=new Set;return o(e,{allKeys:!0},((e,t,r,i)=>{if(void 0===i)return;const o=l+t;let a=s[i];function c(t){const n=this.opts.uriResolver.resolve;if(t=f(a?n(a,t):t),d.has(t))throw m(t);d.add(t);let r=this.refs[t];return"string"==typeof r&&(r=this.refs[r]),"object"==typeof r?p(e,r.schema,t):t!==f(o)&&("#"===t[0]?(p(e,u[t],t),u[t]=e):this.refs[t]=o),t}function g(e){if("string"==typeof e){if(!h.test(e))throw new Error(`invalid anchor "${e}"`);c.call(this,`#${e}`)}}"string"==typeof e[n]&&(a=c.call(this,e[n])),g.call(this,e.$anchor),g.call(this,e.$dynamicAnchor),s[t]=a})),u;function p(e,t,n){if(void 0!==t&&!i(e,t))throw m(n)}function m(e){return new Error(`reference "${e}" resolves to more than one schema`)}}},70483:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getRules=t.isJSONType=void 0;const n=new Set(["string","number","integer","boolean","null","object","array"]);t.isJSONType=function(e){return"string"==typeof e&&n.has(e)},t.getRules=function(){const e={number:{type:"number",rules:[]},string:{type:"string",rules:[]},array:{type:"array",rules:[]},object:{type:"object",rules:[]}};return{types:{...e,integer:!0,boolean:!0,null:!0},rules:[{rules:[]},e.number,e.string,e.array,e.object],post:{rules:[]},all:{},keywords:{}}}},22500:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.checkStrictMode=t.getErrorPath=t.Type=t.useFunc=t.setEvaluated=t.evaluatedPropsToName=t.mergeEvaluated=t.eachItem=t.unescapeJsonPointer=t.escapeJsonPointer=t.escapeFragment=t.unescapeFragment=t.schemaRefOrVal=t.schemaHasRulesButRef=t.schemaHasRules=t.checkUnknownRules=t.alwaysValidSchema=t.toHash=void 0;const r=n(36313),i=n(68060);function o(e,t=e.schema){const{opts:n,self:r}=e;if(!n.strictSchema)return;if("boolean"==typeof t)return;const i=r.RULES.keywords;for(const n in t)i[n]||h(e,`unknown keyword: "${n}"`)}function a(e,t){if("boolean"==typeof e)return!e;for(const n in e)if(t[n])return!0;return!1}function s(e){return"number"==typeof e?`${e}`:e.replace(/~/g,"~0").replace(/\//g,"~1")}function l(e){return e.replace(/~1/g,"/").replace(/~0/g,"~")}function u({mergeNames:e,mergeToName:t,mergeValues:n,resultToName:i}){return(o,a,s,l)=>{const u=void 0===s?a:s instanceof r.Name?(a instanceof r.Name?e(o,a,s):t(o,a,s),s):a instanceof r.Name?(t(o,s,a),a):n(a,s);return l!==r.Name||u instanceof r.Name?u:i(o,u)}}function c(e,t){if(!0===t)return e.var("props",!0);const n=e.var("props",r._`{}`);return void 0!==t&&d(e,n,t),n}function d(e,t,n){Object.keys(n).forEach((n=>e.assign(r._`${t}${(0,r.getProperty)(n)}`,!0)))}t.toHash=function(e){const t={};for(const n of e)t[n]=!0;return t},t.alwaysValidSchema=function(e,t){return"boolean"==typeof t?t:0===Object.keys(t).length||(o(e,t),!a(t,e.self.RULES.all))},t.checkUnknownRules=o,t.schemaHasRules=a,t.schemaHasRulesButRef=function(e,t){if("boolean"==typeof e)return!e;for(const n in e)if("$ref"!==n&&t.all[n])return!0;return!1},t.schemaRefOrVal=function({topSchemaRef:e,schemaPath:t},n,i,o){if(!o){if("number"==typeof n||"boolean"==typeof n)return n;if("string"==typeof n)return r._`${n}`}return r._`${e}${t}${(0,r.getProperty)(i)}`},t.unescapeFragment=function(e){return l(decodeURIComponent(e))},t.escapeFragment=function(e){return encodeURIComponent(s(e))},t.escapeJsonPointer=s,t.unescapeJsonPointer=l,t.eachItem=function(e,t){if(Array.isArray(e))for(const n of e)t(n);else t(e)},t.mergeEvaluated={props:u({mergeNames:(e,t,n)=>e.if(r._`${n} !== true && ${t} !== undefined`,(()=>{e.if(r._`${t} === true`,(()=>e.assign(n,!0)),(()=>e.assign(n,r._`${n} || {}`).code(r._`Object.assign(${n}, ${t})`)))})),mergeToName:(e,t,n)=>e.if(r._`${n} !== true`,(()=>{!0===t?e.assign(n,!0):(e.assign(n,r._`${n} || {}`),d(e,n,t))})),mergeValues:(e,t)=>!0===e||{...e,...t},resultToName:c}),items:u({mergeNames:(e,t,n)=>e.if(r._`${n} !== true && ${t} !== undefined`,(()=>e.assign(n,r._`${t} === true ? true : ${n} > ${t} ? ${n} : ${t}`))),mergeToName:(e,t,n)=>e.if(r._`${n} !== true`,(()=>e.assign(n,!0===t||r._`${n} > ${t} ? ${n} : ${t}`))),mergeValues:(e,t)=>!0===e||Math.max(e,t),resultToName:(e,t)=>e.var("items",t)})},t.evaluatedPropsToName=c,t.setEvaluated=d;const p={};var f;function h(e,t,n=e.opts.strictSchema){if(n){if(t=`strict mode: ${t}`,!0===n)throw new Error(t);e.self.logger.warn(t)}}t.useFunc=function(e,t){return e.scopeValue("func",{ref:t,code:p[t.code]||(p[t.code]=new i._Code(t.code))})},function(e){e[e.Num=0]="Num",e[e.Str=1]="Str"}(f=t.Type||(t.Type={})),t.getErrorPath=function(e,t,n){if(e instanceof r.Name){const i=t===f.Num;return n?i?r._`"[" + ${e} + "]"`:r._`"['" + ${e} + "']"`:i?r._`"/" + ${e}`:r._`"/" + ${e}.replace(/~/g, "~0").replace(/\\//g, "~1")`}return n?(0,r.getProperty)(e).toString():"/"+s(e)},t.checkStrictMode=h},74312:(e,t)=>{"use strict";function n(e,t){return t.rules.some((t=>r(e,t)))}function r(e,t){var n;return void 0!==e[t.keyword]||(null===(n=t.definition.implements)||void 0===n?void 0:n.some((t=>void 0!==e[t])))}Object.defineProperty(t,"__esModule",{value:!0}),t.shouldUseRule=t.shouldUseGroup=t.schemaHasRulesForType=void 0,t.schemaHasRulesForType=function({schema:e,self:t},r){const i=t.RULES.types[r];return i&&!0!==i&&n(e,i)},t.shouldUseGroup=n,t.shouldUseRule=r},61525:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.boolOrEmptySchema=t.topBoolOrEmptySchema=void 0;const r=n(84101),i=n(36313),o=n(73861),a={message:"boolean schema is false"};function s(e,t){const{gen:n,data:i}=e,o={gen:n,keyword:"false schema",data:i,schema:!1,schemaCode:!1,schemaValue:!1,params:{},it:e};(0,r.reportError)(o,a,void 0,t)}t.topBoolOrEmptySchema=function(e){const{gen:t,schema:n,validateName:r}=e;!1===n?s(e,!1):"object"==typeof n&&!0===n.$async?t.return(o.default.data):(t.assign(i._`${r}.errors`,null),t.return(!0))},t.boolOrEmptySchema=function(e,t){const{gen:n,schema:r}=e;!1===r?(n.var(t,!1),s(e)):n.var(t,!0)}},28051:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.reportTypeError=t.checkDataTypes=t.checkDataType=t.coerceAndCheckDataType=t.getJSONTypes=t.getSchemaTypes=t.DataType=void 0;const r=n(70483),i=n(74312),o=n(84101),a=n(36313),s=n(22500);var l;function u(e){const t=Array.isArray(e)?e:e?[e]:[];if(t.every(r.isJSONType))return t;throw new Error("type must be JSONType or JSONType[]: "+t.join(","))}!function(e){e[e.Correct=0]="Correct",e[e.Wrong=1]="Wrong"}(l=t.DataType||(t.DataType={})),t.getSchemaTypes=function(e){const t=u(e.type);if(t.includes("null")){if(!1===e.nullable)throw new Error("type: null contradicts nullable: false")}else{if(!t.length&&void 0!==e.nullable)throw new Error('"nullable" cannot be used without "type"');!0===e.nullable&&t.push("null")}return t},t.getJSONTypes=u,t.coerceAndCheckDataType=function(e,t){const{gen:n,data:r,opts:o}=e,s=function(e,t){return t?e.filter((e=>c.has(e)||"array"===t&&"array"===e)):[]}(t,o.coerceTypes),u=t.length>0&&!(0===s.length&&1===t.length&&(0,i.schemaHasRulesForType)(e,t[0]));if(u){const i=p(t,r,o.strictNumbers,l.Wrong);n.if(i,(()=>{s.length?function(e,t,n){const{gen:r,data:i,opts:o}=e,s=r.let("dataType",a._`typeof ${i}`),l=r.let("coerced",a._`undefined`);"array"===o.coerceTypes&&r.if(a._`${s} == 'object' && Array.isArray(${i}) && ${i}.length == 1`,(()=>r.assign(i,a._`${i}[0]`).assign(s,a._`typeof ${i}`).if(p(t,i,o.strictNumbers),(()=>r.assign(l,i))))),r.if(a._`${l} !== undefined`);for(const e of n)(c.has(e)||"array"===e&&"array"===o.coerceTypes)&&u(e);function u(e){switch(e){case"string":return void r.elseIf(a._`${s} == "number" || ${s} == "boolean"`).assign(l,a._`"" + ${i}`).elseIf(a._`${i} === null`).assign(l,a._`""`);case"number":return void r.elseIf(a._`${s} == "boolean" || ${i} === null
|| (${s} == "string" && ${i} && ${i} == +${i})`).assign(l,a._`+${i}`);case"integer":return void r.elseIf(a._`${s} === "boolean" || ${i} === null
|| (${s} === "string" && ${i} && ${i} == +${i} && !(${i} % 1))`).assign(l,a._`+${i}`);case"boolean":return void r.elseIf(a._`${i} === "false" || ${i} === 0 || ${i} === null`).assign(l,!1).elseIf(a._`${i} === "true" || ${i} === 1`).assign(l,!0);case"null":return r.elseIf(a._`${i} === "" || ${i} === 0 || ${i} === false`),void r.assign(l,null);case"array":r.elseIf(a._`${s} === "string" || ${s} === "number"
|| ${s} === "boolean" || ${i} === null`).assign(l,a._`[${i}]`)}}r.else(),h(e),r.endIf(),r.if(a._`${l} !== undefined`,(()=>{r.assign(i,l),function({gen:e,parentData:t,parentDataProperty:n},r){e.if(a._`${t} !== undefined`,(()=>e.assign(a._`${t}[${n}]`,r)))}(e,l)}))}(e,t,s):h(e)}))}return u};const c=new Set(["string","number","integer","boolean","null"]);function d(e,t,n,r=l.Correct){const i=r===l.Correct?a.operators.EQ:a.operators.NEQ;let o;switch(e){case"null":return a._`${t} ${i} null`;case"array":o=a._`Array.isArray(${t})`;break;case"object":o=a._`${t} && typeof ${t} == "object" && !Array.isArray(${t})`;break;case"integer":o=s(a._`!(${t} % 1) && !isNaN(${t})`);break;case"number":o=s();break;default:return a._`typeof ${t} ${i} ${e}`}return r===l.Correct?o:(0,a.not)(o);function s(e=a.nil){return(0,a.and)(a._`typeof ${t} == "number"`,e,n?a._`isFinite(${t})`:a.nil)}}function p(e,t,n,r){if(1===e.length)return d(e[0],t,n,r);let i;const o=(0,s.toHash)(e);if(o.array&&o.object){const e=a._`typeof ${t} != "object"`;i=o.null?e:a._`!${t} || ${e}`,delete o.null,delete o.array,delete o.object}else i=a.nil;o.number&&delete o.integer;for(const e in o)i=(0,a.and)(i,d(e,t,n,r));return i}t.checkDataType=d,t.checkDataTypes=p;const f={message:({schema:e})=>`must be ${e}`,params:({schema:e,schemaValue:t})=>"string"==typeof e?a._`{type: ${e}}`:a._`{type: ${t}}`};function h(e){const t=function(e){const{gen:t,data:n,schema:r}=e,i=(0,s.schemaRefOrVal)(e,r,"type");return{gen:t,keyword:"type",data:n,schema:r.type,schemaCode:i,schemaValue:i,parentSchema:r,params:{},it:e}}(e);(0,o.reportError)(t,f)}t.reportTypeError=h},2332:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.assignDefaults=void 0;const r=n(36313),i=n(22500);function o(e,t,n){const{gen:o,compositeRule:a,data:s,opts:l}=e;if(void 0===n)return;const u=r._`${s}${(0,r.getProperty)(t)}`;if(a)return void(0,i.checkStrictMode)(e,`default is ignored for: ${u}`);let c=r._`${u} === undefined`;"empty"===l.useDefaults&&(c=r._`${c} || ${u} === null || ${u} === ""`),o.if(c,r._`${u} = ${(0,r.stringify)(n)}`)}t.assignDefaults=function(e,t){const{properties:n,items:r}=e.schema;if("object"===t&&n)for(const t in n)o(e,t,n[t].default);else"array"===t&&Array.isArray(r)&&r.forEach(((t,n)=>o(e,n,t.default)))}},49029:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getData=t.KeywordCxt=t.validateFunctionCode=void 0;const r=n(61525),i=n(28051),o=n(74312),a=n(28051),s=n(2332),l=n(78716),u=n(69456),c=n(36313),d=n(73861),p=n(40195),f=n(22500),h=n(84101);function m({gen:e,validateName:t,schema:n,schemaEnv:r,opts:i},o){i.code.es5?e.func(t,c._`${d.default.data}, ${d.default.valCxt}`,r.$async,(()=>{e.code(c._`"use strict"; ${g(n,i)}`),function(e,t){e.if(d.default.valCxt,(()=>{e.var(d.default.instancePath,c._`${d.default.valCxt}.${d.default.instancePath}`),e.var(d.default.parentData,c._`${d.default.valCxt}.${d.default.parentData}`),e.var(d.default.parentDataProperty,c._`${d.default.valCxt}.${d.default.parentDataProperty}`),e.var(d.default.rootData,c._`${d.default.valCxt}.${d.default.rootData}`),t.dynamicRef&&e.var(d.default.dynamicAnchors,c._`${d.default.valCxt}.${d.default.dynamicAnchors}`)}),(()=>{e.var(d.default.instancePath,c._`""`),e.var(d.default.parentData,c._`undefined`),e.var(d.default.parentDataProperty,c._`undefined`),e.var(d.default.rootData,d.default.data),t.dynamicRef&&e.var(d.default.dynamicAnchors,c._`{}`)}))}(e,i),e.code(o)})):e.func(t,c._`${d.default.data}, ${function(e){return c._`{${d.default.instancePath}="", ${d.default.parentData}, ${d.default.parentDataProperty}, ${d.default.rootData}=${d.default.data}${e.dynamicRef?c._`, ${d.default.dynamicAnchors}={}`:c.nil}}={}`}(i)}`,r.$async,(()=>e.code(g(n,i)).code(o)))}function g(e,t){const n="object"==typeof e&&e[t.schemaId];return n&&(t.code.source||t.code.process)?c._`/*# sourceURL=${n} */`:c.nil}function v({schema:e,self:t}){if("boolean"==typeof e)return!e;for(const n in e)if(t.RULES.all[n])return!0;return!1}function y(e){return"boolean"!=typeof e.schema}function b(e){(0,f.checkUnknownRules)(e),function(e){const{schema:t,errSchemaPath:n,opts:r,self:i}=e;t.$ref&&r.ignoreKeywordsWithRef&&(0,f.schemaHasRulesButRef)(t,i.RULES)&&i.logger.warn(`$ref: keywords ignored in schema at path "${n}"`)}(e)}function w(e,t){if(e.opts.jtd)return x(e,[],!1,t);const n=(0,i.getSchemaTypes)(e.schema);x(e,n,!(0,i.coerceAndCheckDataType)(e,n),t)}function _({gen:e,schemaEnv:t,schema:n,errSchemaPath:r,opts:i}){const o=n.$comment;if(!0===i.$comment)e.code(c._`${d.default.self}.logger.log(${o})`);else if("function"==typeof i.$comment){const n=c.str`${r}/$comment`,i=e.scopeValue("root",{ref:t.root});e.code(c._`${d.default.self}.opts.$comment(${o}, ${n}, ${i}.schema)`)}}function x(e,t,n,r){const{gen:i,schema:s,data:l,allErrors:u,opts:p,self:h}=e,{RULES:m}=h;function g(f){(0,o.shouldUseGroup)(s,f)&&(f.type?(i.if((0,a.checkDataType)(f.type,l,p.strictNumbers)),k(e,f),1===t.length&&t[0]===f.type&&n&&(i.else(),(0,a.reportTypeError)(e)),i.endIf()):k(e,f),u||i.if(c._`${d.default.errors} === ${r||0}`))}!s.$ref||!p.ignoreKeywordsWithRef&&(0,f.schemaHasRulesButRef)(s,m)?(p.jtd||function(e,t){!e.schemaEnv.meta&&e.opts.strictTypes&&(function(e,t){t.length&&(e.dataTypes.length?(t.forEach((t=>{E(e.dataTypes,t)||C(e,`type "${t}" not allowed by context "${e.dataTypes.join(",")}"`)})),function(e,t){const n=[];for(const r of e.dataTypes)E(t,r)?n.push(r):t.includes("integer")&&"number"===r&&n.push("integer");e.dataTypes=n}(e,t)):e.dataTypes=t)}(e,t),e.opts.allowUnionTypes||function(e,t){t.length>1&&(2!==t.length||!t.includes("null"))&&C(e,"use allowUnionTypes to allow union type keyword")}(e,t),function(e,t){const n=e.self.RULES.all;for(const r in n){const i=n[r];if("object"==typeof i&&(0,o.shouldUseRule)(e.schema,i)){const{type:n}=i.definition;n.length&&!n.some((e=>{return r=e,(n=t).includes(r)||"number"===r&&n.includes("integer");var n,r}))&&C(e,`missing type "${n.join(",")}" for keyword "${r}"`)}}}(e,e.dataTypes))}(e,t),i.block((()=>{for(const e of m.rules)g(e);g(m.post)}))):i.block((()=>A(e,"$ref",m.all.$ref.definition)))}function k(e,t){const{gen:n,schema:r,opts:{useDefaults:i}}=e;i&&(0,s.assignDefaults)(e,t.type),n.block((()=>{for(const n of t.rules)(0,o.shouldUseRule)(r,n)&&A(e,n.keyword,n.definition,t.type)}))}function E(e,t){return e.includes(t)||"integer"===t&&e.includes("number")}function C(e,t){t+=` at "${e.schemaEnv.baseId+e.errSchemaPath}" (strictTypes)`,(0,f.checkStrictMode)(e,t,e.opts.strictTypes)}t.validateFunctionCode=function(e){y(e)&&(b(e),v(e))?function(e){const{schema:t,opts:n,gen:r}=e;m(e,(()=>{n.$comment&&t.$comment&&_(e),function(e){const{schema:t,opts:n}=e;void 0!==t.default&&n.useDefaults&&n.strictSchema&&(0,f.checkStrictMode)(e,"default is ignored in the schema root")}(e),r.let(d.default.vErrors,null),r.let(d.default.errors,0),n.unevaluated&&function(e){const{gen:t,validateName:n}=e;e.evaluated=t.const("evaluated",c._`${n}.evaluated`),t.if(c._`${e.evaluated}.dynamicProps`,(()=>t.assign(c._`${e.evaluated}.props`,c._`undefined`))),t.if(c._`${e.evaluated}.dynamicItems`,(()=>t.assign(c._`${e.evaluated}.items`,c._`undefined`)))}(e),w(e),function(e){const{gen:t,schemaEnv:n,validateName:r,ValidationError:i,opts:o}=e;n.$async?t.if(c._`${d.default.errors} === 0`,(()=>t.return(d.default.data)),(()=>t.throw(c._`new ${i}(${d.default.vErrors})`))):(t.assign(c._`${r}.errors`,d.default.vErrors),o.unevaluated&&function({gen:e,evaluated:t,props:n,items:r}){n instanceof c.Name&&e.assign(c._`${t}.props`,n),r instanceof c.Name&&e.assign(c._`${t}.items`,r)}(e),t.return(c._`${d.default.errors} === 0`))}(e)}))}(e):m(e,(()=>(0,r.topBoolOrEmptySchema)(e)))};class S{constructor(e,t,n){if((0,l.validateKeywordUsage)(e,t,n),this.gen=e.gen,this.allErrors=e.allErrors,this.keyword=n,this.data=e.data,this.schema=e.schema[n],this.$data=t.$data&&e.opts.$data&&this.schema&&this.schema.$data,this.schemaValue=(0,f.schemaRefOrVal)(e,this.schema,n,this.$data),this.schemaType=t.schemaType,this.parentSchema=e.schema,this.params={},this.it=e,this.def=t,this.$data)this.schemaCode=e.gen.const("vSchema",P(this.$data,e));else if(this.schemaCode=this.schemaValue,!(0,l.validSchemaType)(this.schema,t.schemaType,t.allowUndefined))throw new Error(`${n} value must be ${JSON.stringify(t.schemaType)}`);("code"in t?t.trackErrors:!1!==t.errors)&&(this.errsCount=e.gen.const("_errs",d.default.errors))}result(e,t,n){this.failResult((0,c.not)(e),t,n)}failResult(e,t,n){this.gen.if(e),n?n():this.error(),t?(this.gen.else(),t(),this.allErrors&&this.gen.endIf()):this.allErrors?this.gen.endIf():this.gen.else()}pass(e,t){this.failResult((0,c.not)(e),void 0,t)}fail(e){if(void 0===e)return this.error(),void(this.allErrors||this.gen.if(!1));this.gen.if(e),this.error(),this.allErrors?this.gen.endIf():this.gen.else()}fail$data(e){if(!this.$data)return this.fail(e);const{schemaCode:t}=this;this.fail(c._`${t} !== undefined && (${(0,c.or)(this.invalid$data(),e)})`)}error(e,t,n){if(t)return this.setParams(t),this._error(e,n),void this.setParams({});this._error(e,n)}_error(e,t){(e?h.reportExtraError:h.reportError)(this,this.def.error,t)}$dataError(){(0,h.reportError)(this,this.def.$dataError||h.keyword$DataError)}reset(){if(void 0===this.errsCount)throw new Error('add "trackErrors" to keyword definition');(0,h.resetErrorsCount)(this.gen,this.errsCount)}ok(e){this.allErrors||this.gen.if(e)}setParams(e,t){t?Object.assign(this.params,e):this.params=e}block$data(e,t,n=c.nil){this.gen.block((()=>{this.check$data(e,n),t()}))}check$data(e=c.nil,t=c.nil){if(!this.$data)return;const{gen:n,schemaCode:r,schemaType:i,def:o}=this;n.if((0,c.or)(c._`${r} === undefined`,t)),e!==c.nil&&n.assign(e,!0),(i.length||o.validateSchema)&&(n.elseIf(this.invalid$data()),this.$dataError(),e!==c.nil&&n.assign(e,!1)),n.else()}invalid$data(){const{gen:e,schemaCode:t,schemaType:n,def:r,it:i}=this;return(0,c.or)(function(){if(n.length){if(!(t instanceof c.Name))throw new Error("ajv implementation error");const e=Array.isArray(n)?n:[n];return c._`${(0,a.checkDataTypes)(e,t,i.opts.strictNumbers,a.DataType.Wrong)}`}return c.nil}(),function(){if(r.validateSchema){const n=e.scopeValue("validate$data",{ref:r.validateSchema});return c._`!${n}(${t})`}return c.nil}())}subschema(e,t){const n=(0,u.getSubschema)(this.it,e);(0,u.extendSubschemaData)(n,this.it,e),(0,u.extendSubschemaMode)(n,e);const i={...this.it,...n,items:void 0,props:void 0};return function(e,t){y(e)&&(b(e),v(e))?function(e,t){const{schema:n,gen:r,opts:i}=e;i.$comment&&n.$comment&&_(e),function(e){const t=e.schema[e.opts.schemaId];t&&(e.baseId=(0,p.resolveUrl)(e.opts.uriResolver,e.baseId,t))}(e),function(e){if(e.schema.$async&&!e.schemaEnv.$async)throw new Error("async schema in sync schema")}(e);const o=r.const("_errs",d.default.errors);w(e,o),r.var(t,c._`${o} === ${d.default.errors}`)}(e,t):(0,r.boolOrEmptySchema)(e,t)}(i,t),i}mergeEvaluated(e,t){const{it:n,gen:r}=this;n.opts.unevaluated&&(!0!==n.props&&void 0!==e.props&&(n.props=f.mergeEvaluated.props(r,e.props,n.props,t)),!0!==n.items&&void 0!==e.items&&(n.items=f.mergeEvaluated.items(r,e.items,n.items,t)))}mergeValidEvaluated(e,t){const{it:n,gen:r}=this;if(n.opts.unevaluated&&(!0!==n.props||!0!==n.items))return r.if(t,(()=>this.mergeEvaluated(e,c.Name))),!0}}function A(e,t,n,r){const i=new S(e,n,t);"code"in n?n.code(i,r):i.$data&&n.validate?(0,l.funcKeywordCode)(i,n):"macro"in n?(0,l.macroKeywordCode)(i,n):(n.compile||n.validate)&&(0,l.funcKeywordCode)(i,n)}t.KeywordCxt=S;const T=/^\/(?:[^~]|~0|~1)*$/,O=/^([0-9]+)(#|\/(?:[^~]|~0|~1)*)?$/;function P(e,{dataLevel:t,dataNames:n,dataPathArr:r}){let i,o;if(""===e)return d.default.rootData;if("/"===e[0]){if(!T.test(e))throw new Error(`Invalid JSON-pointer: ${e}`);i=e,o=d.default.rootData}else{const a=O.exec(e);if(!a)throw new Error(`Invalid JSON-pointer: ${e}`);const s=+a[1];if(i=a[2],"#"===i){if(s>=t)throw new Error(l("property/index",s));return r[t-s]}if(s>t)throw new Error(l("data",s));if(o=n[t-s],!i)return o}let a=o;const s=i.split("/");for(const e of s)e&&(o=c._`${o}${(0,c.getProperty)((0,f.unescapeJsonPointer)(e))}`,a=c._`${a} && ${o}`);return a;function l(e,n){return`Cannot access ${e} ${n} levels up, current level is ${t}`}}t.getData=P},78716:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.validateKeywordUsage=t.validSchemaType=t.funcKeywordCode=t.macroKeywordCode=void 0;const r=n(36313),i=n(73861),o=n(78213),a=n(84101);function s(e){const{gen:t,data:n,it:i}=e;t.if(i.parentData,(()=>t.assign(n,r._`${i.parentData}[${i.parentDataProperty}]`)))}function l(e,t,n){if(void 0===n)throw new Error(`keyword "${t}" failed to compile`);return e.scopeValue("keyword","function"==typeof n?{ref:n}:{ref:n,code:(0,r.stringify)(n)})}t.macroKeywordCode=function(e,t){const{gen:n,keyword:i,schema:o,parentSchema:a,it:s}=e,u=t.macro.call(s.self,o,a,s),c=l(n,i,u);!1!==s.opts.validateSchema&&s.self.validateSchema(u,!0);const d=n.name("valid");e.subschema({schema:u,schemaPath:r.nil,errSchemaPath:`${s.errSchemaPath}/${i}`,topSchemaRef:c,compositeRule:!0},d),e.pass(d,(()=>e.error(!0)))},t.funcKeywordCode=function(e,t){var n;const{gen:u,keyword:c,schema:d,parentSchema:p,$data:f,it:h}=e;!function({schemaEnv:e},t){if(t.async&&!e.$async)throw new Error("async keyword in sync schema")}(h,t);const m=!f&&t.compile?t.compile.call(h.self,d,p,h):t.validate,g=l(u,c,m),v=u.let("valid");function y(n=(t.async?r._`await `:r.nil)){const a=h.opts.passContext?i.default.this:i.default.self,s=!("compile"in t&&!f||!1===t.schema);u.assign(v,r._`${n}${(0,o.callValidateCode)(e,g,a,s)}`,t.modifying)}function b(e){var n;u.if((0,r.not)(null!==(n=t.valid)&&void 0!==n?n:v),e)}e.block$data(v,(function(){if(!1===t.errors)y(),t.modifying&&s(e),b((()=>e.error()));else{const n=t.async?function(){const e=u.let("ruleErrs",null);return u.try((()=>y(r._`await `)),(t=>u.assign(v,!1).if(r._`${t} instanceof ${h.ValidationError}`,(()=>u.assign(e,r._`${t}.errors`)),(()=>u.throw(t))))),e}():function(){const e=r._`${g}.errors`;return u.assign(e,null),y(r.nil),e}();t.modifying&&s(e),b((()=>function(e,t){const{gen:n}=e;n.if(r._`Array.isArray(${t})`,(()=>{n.assign(i.default.vErrors,r._`${i.default.vErrors} === null ? ${t} : ${i.default.vErrors}.concat(${t})`).assign(i.default.errors,r._`${i.default.vErrors}.length`),(0,a.extendErrors)(e)}),(()=>e.error()))}(e,n)))}})),e.ok(null!==(n=t.valid)&&void 0!==n?n:v)},t.validSchemaType=function(e,t,n=!1){return!t.length||t.some((t=>"array"===t?Array.isArray(e):"object"===t?e&&"object"==typeof e&&!Array.isArray(e):typeof e==t||n&&void 0===e))},t.validateKeywordUsage=function({schema:e,opts:t,self:n,errSchemaPath:r},i,o){if(Array.isArray(i.keyword)?!i.keyword.includes(o):i.keyword!==o)throw new Error("ajv implementation error");const a=i.dependencies;if(null==a?void 0:a.some((t=>!Object.prototype.hasOwnProperty.call(e,t))))throw new Error(`parent schema must have dependencies of ${o}: ${a.join(",")}`);if(i.validateSchema&&!i.validateSchema(e[o])){const e=`keyword "${o}" value is invalid at path "${r}": `+n.errorsText(i.validateSchema.errors);if("log"!==t.validateSchema)throw new Error(e);n.logger.error(e)}}},69456:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.extendSubschemaMode=t.extendSubschemaData=t.getSubschema=void 0;const r=n(36313),i=n(22500);t.getSubschema=function(e,{keyword:t,schemaProp:n,schema:o,schemaPath:a,errSchemaPath:s,topSchemaRef:l}){if(void 0!==t&&void 0!==o)throw new Error('both "keyword" and "schema" passed, only one allowed');if(void 0!==t){const o=e.schema[t];return void 0===n?{schema:o,schemaPath:r._`${e.schemaPath}${(0,r.getProperty)(t)}`,errSchemaPath:`${e.errSchemaPath}/${t}`}:{schema:o[n],schemaPath:r._`${e.schemaPath}${(0,r.getProperty)(t)}${(0,r.getProperty)(n)}`,errSchemaPath:`${e.errSchemaPath}/${t}/${(0,i.escapeFragment)(n)}`}}if(void 0!==o){if(void 0===a||void 0===s||void 0===l)throw new Error('"schemaPath", "errSchemaPath" and "topSchemaRef" are required with "schema"');return{schema:o,schemaPath:a,topSchemaRef:l,errSchemaPath:s}}throw new Error('either "keyword" or "schema" must be passed')},t.extendSubschemaData=function(e,t,{dataProp:n,dataPropType:o,data:a,dataTypes:s,propertyName:l}){if(void 0!==a&&void 0!==n)throw new Error('both "data" and "dataProp" passed, only one allowed');const{gen:u}=t;if(void 0!==n){const{errorPath:a,dataPathArr:s,opts:l}=t;c(u.let("data",r._`${t.data}${(0,r.getProperty)(n)}`,!0)),e.errorPath=r.str`${a}${(0,i.getErrorPath)(n,o,l.jsPropertySyntax)}`,e.parentDataProperty=r._`${n}`,e.dataPathArr=[...s,e.parentDataProperty]}function c(n){e.data=n,e.dataLevel=t.dataLevel+1,e.dataTypes=[],t.definedProperties=new Set,e.parentData=t.data,e.dataNames=[...t.dataNames,n]}void 0!==a&&(c(a instanceof r.Name?a:u.let("data",a,!0)),void 0!==l&&(e.propertyName=l)),s&&(e.dataTypes=s)},t.extendSubschemaMode=function(e,{jtdDiscriminator:t,jtdMetadata:n,compositeRule:r,createErrors:i,allErrors:o}){void 0!==r&&(e.compositeRule=r),void 0!==i&&(e.createErrors=i),void 0!==o&&(e.allErrors=o),e.jtdDiscriminator=t,e.jtdMetadata=n}},16495:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.CodeGen=t.Name=t.nil=t.stringify=t.str=t._=t.KeywordCxt=void 0;var r=n(49029);Object.defineProperty(t,"KeywordCxt",{enumerable:!0,get:function(){return r.KeywordCxt}});var i=n(36313);Object.defineProperty(t,"_",{enumerable:!0,get:function(){return i._}}),Object.defineProperty(t,"str",{enumerable:!0,get:function(){return i.str}}),Object.defineProperty(t,"stringify",{enumerable:!0,get:function(){return i.stringify}}),Object.defineProperty(t,"nil",{enumerable:!0,get:function(){return i.nil}}),Object.defineProperty(t,"Name",{enumerable:!0,get:function(){return i.Name}}),Object.defineProperty(t,"CodeGen",{enumerable:!0,get:function(){return i.CodeGen}});const o=n(92975),a=n(4946),s=n(70483),l=n(33830),u=n(36313),c=n(40195),d=n(28051),p=n(22500),f=n(74388),h=n(30073),m=(e,t)=>new RegExp(e,t);m.code="new RegExp";const g=["removeAdditional","useDefaults","coerceTypes"],v=new Set(["validate","serialize","parse","wrapper","root","schema","keyword","pattern","formats","validate$data","func","obj","Error"]),y={errorDataPath:"",format:"`validateFormats: false` can be used instead.",nullable:'"nullable" keyword is supported by default.',jsonPointers:"Deprecated jsPropertySyntax can be used instead.",extendRefs:"Deprecated ignoreKeywordsWithRef can be used instead.",missingRefs:"Pass empty schema with $id that should be ignored to ajv.addSchema.",processCode:"Use option `code: {process: (code, schemaEnv: object) => string}`",sourceCode:"Use option `code: {source: true}`",strictDefaults:"It is default now, see option `strict`.",strictKeywords:"It is default now, see option `strict`.",uniqueItems:'"uniqueItems" keyword is always validated.',unknownFormats:"Disable strict mode or pass `true` to `ajv.addFormat` (or `formats` option).",cache:"Map is used as cache, schema object as key.",serialize:"Map is used as cache, schema object as key.",ajvErrors:"It is default now."},b={ignoreKeywordsWithRef:"",jsPropertySyntax:"",unicode:'"minLength"/"maxLength" account for unicode characters by default.'};function w(e){var t,n,r,i,o,a,s,l,u,c,d,p,f,g,v,y,b,w,_,x,k,E,C,S,A;const T=e.strict,O=null===(t=e.code)||void 0===t?void 0:t.optimize,P=!0===O||void 0===O?1:O||0,D=null!==(r=null===(n=e.code)||void 0===n?void 0:n.regExp)&&void 0!==r?r:m,R=null!==(i=e.uriResolver)&&void 0!==i?i:h.default;return{strictSchema:null===(a=null!==(o=e.strictSchema)&&void 0!==o?o:T)||void 0===a||a,strictNumbers:null===(l=null!==(s=e.strictNumbers)&&void 0!==s?s:T)||void 0===l||l,strictTypes:null!==(c=null!==(u=e.strictTypes)&&void 0!==u?u:T)&&void 0!==c?c:"log",strictTuples:null!==(p=null!==(d=e.strictTuples)&&void 0!==d?d:T)&&void 0!==p?p:"log",strictRequired:null!==(g=null!==(f=e.strictRequired)&&void 0!==f?f:T)&&void 0!==g&&g,code:e.code?{...e.code,optimize:P,regExp:D}:{optimize:P,regExp:D},loopRequired:null!==(v=e.loopRequired)&&void 0!==v?v:200,loopEnum:null!==(y=e.loopEnum)&&void 0!==y?y:200,meta:null===(b=e.meta)||void 0===b||b,messages:null===(w=e.messages)||void 0===w||w,inlineRefs:null===(_=e.inlineRefs)||void 0===_||_,schemaId:null!==(x=e.schemaId)&&void 0!==x?x:"$id",addUsedSchema:null===(k=e.addUsedSchema)||void 0===k||k,validateSchema:null===(E=e.validateSchema)||void 0===E||E,validateFormats:null===(C=e.validateFormats)||void 0===C||C,unicodeRegExp:null===(S=e.unicodeRegExp)||void 0===S||S,int32range:null===(A=e.int32range)||void 0===A||A,uriResolver:R}}class _{constructor(e={}){this.schemas={},this.refs={},this.formats={},this._compilations=new Set,this._loading={},this._cache=new Map,e=this.opts={...e,...w(e)};const{es5:t,lines:n}=this.opts.code;this.scope=new u.ValueScope({scope:{},prefixes:v,es5:t,lines:n}),this.logger=function(e){if(!1===e)return T;if(void 0===e)return console;if(e.log&&e.warn&&e.error)return e;throw new Error("logger must implement log, warn and error methods")}(e.logger);const r=e.validateFormats;e.validateFormats=!1,this.RULES=(0,s.getRules)(),x.call(this,y,e,"NOT SUPPORTED"),x.call(this,b,e,"DEPRECATED","warn"),this._metaOpts=A.call(this),e.formats&&C.call(this),this._addVocabularies(),this._addDefaultMetaSchema(),e.keywords&&S.call(this,e.keywords),"object"==typeof e.meta&&this.addMetaSchema(e.meta),E.call(this),e.validateFormats=r}_addVocabularies(){this.addKeyword("$async")}_addDefaultMetaSchema(){const{$data:e,meta:t,schemaId:n}=this.opts;let r=f;"id"===n&&(r={...f},r.id=r.$id,delete r.$id),t&&e&&this.addMetaSchema(r,r[n],!1)}defaultMeta(){const{meta:e,schemaId:t}=this.opts;return this.opts.defaultMeta="object"==typeof e?e[t]||e:void 0}validate(e,t){let n;if("string"==typeof e){if(n=this.getSchema(e),!n)throw new Error(`no schema with key or ref "${e}"`)}else n=this.compile(e);const r=n(t);return"$async"in n||(this.errors=n.errors),r}compile(e,t){const n=this._addSchema(e,t);return n.validate||this._compileSchemaEnv(n)}compileAsync(e,t){if("function"!=typeof this.opts.loadSchema)throw new Error("options.loadSchema should be a function");const{loadSchema:n}=this.opts;return r.call(this,e,t);async function r(e,t){await i.call(this,e.$schema);const n=this._addSchema(e,t);return n.validate||o.call(this,n)}async function i(e){e&&!this.getSchema(e)&&await r.call(this,{$ref:e},!0)}async function o(e){try{return this._compileSchemaEnv(e)}catch(t){if(!(t instanceof a.default))throw t;return s.call(this,t),await l.call(this,t.missingSchema),o.call(this,e)}}function s({missingSchema:e,missingRef:t}){if(this.refs[e])throw new Error(`AnySchema ${e} is loaded but ${t} cannot be resolved`)}async function l(e){const n=await u.call(this,e);this.refs[e]||await i.call(this,n.$schema),this.refs[e]||this.addSchema(n,e,t)}async function u(e){const t=this._loading[e];if(t)return t;try{return await(this._loading[e]=n(e))}finally{delete this._loading[e]}}}addSchema(e,t,n,r=this.opts.validateSchema){if(Array.isArray(e)){for(const t of e)this.addSchema(t,void 0,n,r);return this}let i;if("object"==typeof e){const{schemaId:t}=this.opts;if(i=e[t],void 0!==i&&"string"!=typeof i)throw new Error(`schema ${t} must be string`)}return t=(0,c.normalizeId)(t||i),this._checkUnique(t),this.schemas[t]=this._addSchema(e,n,t,r,!0),this}addMetaSchema(e,t,n=this.opts.validateSchema){return this.addSchema(e,t,!0,n),this}validateSchema(e,t){if("boolean"==typeof e)return!0;let n;if(n=e.$schema,void 0!==n&&"string"!=typeof n)throw new Error("$schema must be a string");if(n=n||this.opts.defaultMeta||this.defaultMeta(),!n)return this.logger.warn("meta-schema not available"),this.errors=null,!0;const r=this.validate(n,e);if(!r&&t){const e="schema is invalid: "+this.errorsText();if("log"!==this.opts.validateSchema)throw new Error(e);this.logger.error(e)}return r}getSchema(e){let t;for(;"string"==typeof(t=k.call(this,e));)e=t;if(void 0===t){const{schemaId:n}=this.opts,r=new l.SchemaEnv({schema:{},schemaId:n});if(t=l.resolveSchema.call(this,r,e),!t)return;this.refs[e]=t}return t.validate||this._compileSchemaEnv(t)}removeSchema(e){if(e instanceof RegExp)return this._removeAllSchemas(this.schemas,e),this._removeAllSchemas(this.refs,e),this;switch(typeof e){case"undefined":return this._removeAllSchemas(this.schemas),this._removeAllSchemas(this.refs),this._cache.clear(),this;case"string":{const t=k.call(this,e);return"object"==typeof t&&this._cache.delete(t.schema),delete this.schemas[e],delete this.refs[e],this}case"object":{const t=e;this._cache.delete(t);let n=e[this.opts.schemaId];return n&&(n=(0,c.normalizeId)(n),delete this.schemas[n],delete this.refs[n]),this}default:throw new Error("ajv.removeSchema: invalid parameter")}}addVocabulary(e){for(const t of e)this.addKeyword(t);return this}addKeyword(e,t){let n;if("string"==typeof e)n=e,"object"==typeof t&&(this.logger.warn("these parameters are deprecated, see docs for addKeyword"),t.keyword=n);else{if("object"!=typeof e||void 0!==t)throw new Error("invalid addKeywords parameters");if(n=(t=e).keyword,Array.isArray(n)&&!n.length)throw new Error("addKeywords: keyword must be string or non-empty array")}if(P.call(this,n,t),!t)return(0,p.eachItem)(n,(e=>D.call(this,e))),this;I.call(this,t);const r={...t,type:(0,d.getJSONTypes)(t.type),schemaType:(0,d.getJSONTypes)(t.schemaType)};return(0,p.eachItem)(n,0===r.type.length?e=>D.call(this,e,r):e=>r.type.forEach((t=>D.call(this,e,r,t)))),this}getKeyword(e){const t=this.RULES.all[e];return"object"==typeof t?t.definition:!!t}removeKeyword(e){const{RULES:t}=this;delete t.keywords[e],delete t.all[e];for(const n of t.rules){const t=n.rules.findIndex((t=>t.keyword===e));t>=0&&n.rules.splice(t,1)}return this}addFormat(e,t){return"string"==typeof t&&(t=new RegExp(t)),this.formats[e]=t,this}errorsText(e=this.errors,{separator:t=", ",dataVar:n="data"}={}){return e&&0!==e.length?e.map((e=>`${n}${e.instancePath} ${e.message}`)).reduce(((e,n)=>e+t+n)):"No errors"}$dataMetaSchema(e,t){const n=this.RULES.all;e=JSON.parse(JSON.stringify(e));for(const r of t){const t=r.split("/").slice(1);let i=e;for(const e of t)i=i[e];for(const e in n){const t=n[e];if("object"!=typeof t)continue;const{$data:r}=t.definition,o=i[e];r&&o&&(i[e]=L(o))}}return e}_removeAllSchemas(e,t){for(const n in e){const r=e[n];t&&!t.test(n)||("string"==typeof r?delete e[n]:r&&!r.meta&&(this._cache.delete(r.schema),delete e[n]))}}_addSchema(e,t,n,r=this.opts.validateSchema,i=this.opts.addUsedSchema){let o;const{schemaId:a}=this.opts;if("object"==typeof e)o=e[a];else{if(this.opts.jtd)throw new Error("schema must be object");if("boolean"!=typeof e)throw new Error("schema must be object or boolean")}let s=this._cache.get(e);if(void 0!==s)return s;n=(0,c.normalizeId)(o||n);const u=c.getSchemaRefs.call(this,e,n);return s=new l.SchemaEnv({schema:e,schemaId:a,meta:t,baseId:n,localRefs:u}),this._cache.set(s.schema,s),i&&!n.startsWith("#")&&(n&&this._checkUnique(n),this.refs[n]=s),r&&this.validateSchema(e,!0),s}_checkUnique(e){if(this.schemas[e]||this.refs[e])throw new Error(`schema with key or id "${e}" already exists`)}_compileSchemaEnv(e){if(e.meta?this._compileMetaSchema(e):l.compileSchema.call(this,e),!e.validate)throw new Error("ajv implementation error");return e.validate}_compileMetaSchema(e){const t=this.opts;this.opts=this._metaOpts;try{l.compileSchema.call(this,e)}finally{this.opts=t}}}function x(e,t,n,r="error"){for(const i in e){const o=i;o in t&&this.logger[r](`${n}: option ${i}. ${e[o]}`)}}function k(e){return e=(0,c.normalizeId)(e),this.schemas[e]||this.refs[e]}function E(){const e=this.opts.schemas;if(e)if(Array.isArray(e))this.addSchema(e);else for(const t in e)this.addSchema(e[t],t)}function C(){for(const e in this.opts.formats){const t=this.opts.formats[e];t&&this.addFormat(e,t)}}function S(e){if(Array.isArray(e))this.addVocabulary(e);else{this.logger.warn("keywords option as map is deprecated, pass array");for(const t in e){const n=e[t];n.keyword||(n.keyword=t),this.addKeyword(n)}}}function A(){const e={...this.opts};for(const t of g)delete e[t];return e}t.default=_,_.ValidationError=o.default,_.MissingRefError=a.default;const T={log(){},warn(){},error(){}},O=/^[a-z_$][a-z0-9_$:-]*$/i;function P(e,t){const{RULES:n}=this;if((0,p.eachItem)(e,(e=>{if(n.keywords[e])throw new Error(`Keyword ${e} is already defined`);if(!O.test(e))throw new Error(`Keyword ${e} has invalid name`)})),t&&t.$data&&!("code"in t)&&!("validate"in t))throw new Error('$data keyword must have "code" or "validate" function')}function D(e,t,n){var r;const i=null==t?void 0:t.post;if(n&&i)throw new Error('keyword with "post" flag cannot have "type"');const{RULES:o}=this;let a=i?o.post:o.rules.find((({type:e})=>e===n));if(a||(a={type:n,rules:[]},o.rules.push(a)),o.keywords[e]=!0,!t)return;const s={keyword:e,definition:{...t,type:(0,d.getJSONTypes)(t.type),schemaType:(0,d.getJSONTypes)(t.schemaType)}};t.before?R.call(this,a,s,t.before):a.rules.push(s),o.all[e]=s,null===(r=t.implements)||void 0===r||r.forEach((e=>this.addKeyword(e)))}function R(e,t,n){const r=e.rules.findIndex((e=>e.keyword===n));r>=0?e.rules.splice(r,0,t):(e.rules.push(t),this.logger.warn(`rule ${n} is not defined`))}function I(e){let{metaSchema:t}=e;void 0!==t&&(e.$data&&this.opts.$data&&(t=L(t)),e.validateSchema=this.compile(t,!0))}const M={$ref:"https://raw.githubusercontent.com/ajv-validator/ajv/master/lib/refs/data.json#"};function L(e){return{anyOf:[e,M]}}},93920:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(69378);r.code='require("ajv/dist/runtime/equal").default',t.default=r},45750:(e,t)=>{"use strict";function n(e){const t=e.length;let n,r=0,i=0;for(;i<t;)r++,n=e.charCodeAt(i++),n>=55296&&n<=56319&&i<t&&(n=e.charCodeAt(i),56320==(64512&n)&&i++);return r}Object.defineProperty(t,"__esModule",{value:!0}),t.default=n,n.code='require("ajv/dist/runtime/ucs2length").default'},30073:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(12854);r.code='require("ajv/dist/runtime/uri").default',t.default=r},92975:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});class n extends Error{constructor(e){super("validation failed"),this.errors=e,this.ajv=this.validation=!0}}t.default=n},55469:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.validateAdditionalItems=void 0;const r=n(36313),i=n(22500),o={keyword:"additionalItems",type:"array",schemaType:["boolean","object"],before:"uniqueItems",error:{message:({params:{len:e}})=>r.str`must NOT have more than ${e} items`,params:({params:{len:e}})=>r._`{limit: ${e}}`},code(e){const{parentSchema:t,it:n}=e,{items:r}=t;Array.isArray(r)?a(e,r):(0,i.checkStrictMode)(n,'"additionalItems" is ignored when "items" is not an array of schemas')}};function a(e,t){const{gen:n,schema:o,data:a,keyword:s,it:l}=e;l.items=!0;const u=n.const("len",r._`${a}.length`);if(!1===o)e.setParams({len:t.length}),e.pass(r._`${u} <= ${t.length}`);else if("object"==typeof o&&!(0,i.alwaysValidSchema)(l,o)){const o=n.var("valid",r._`${u} <= ${t.length}`);n.if((0,r.not)(o),(()=>function(o){n.forRange("i",t.length,u,(t=>{e.subschema({keyword:s,dataProp:t,dataPropType:i.Type.Num},o),l.allErrors||n.if((0,r.not)(o),(()=>n.break()))}))}(o))),e.ok(o)}}t.validateAdditionalItems=a,t.default=o},77275:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(78213),i=n(36313),o=n(73861),a=n(22500),s={keyword:"additionalProperties",type:["object"],schemaType:["boolean","object"],allowUndefined:!0,trackErrors:!0,error:{message:"must NOT have additional properties",params:({params:e})=>i._`{additionalProperty: ${e.additionalProperty}}`},code(e){const{gen:t,schema:n,parentSchema:s,data:l,errsCount:u,it:c}=e;if(!u)throw new Error("ajv implementation error");const{allErrors:d,opts:p}=c;if(c.props=!0,"all"!==p.removeAdditional&&(0,a.alwaysValidSchema)(c,n))return;const f=(0,r.allSchemaProperties)(s.properties),h=(0,r.allSchemaProperties)(s.patternProperties);function m(e){t.code(i._`delete ${l}[${e}]`)}function g(r){if("all"===p.removeAdditional||p.removeAdditional&&!1===n)m(r);else{if(!1===n)return e.setParams({additionalProperty:r}),e.error(),void(d||t.break());if("object"==typeof n&&!(0,a.alwaysValidSchema)(c,n)){const n=t.name("valid");"failing"===p.removeAdditional?(v(r,n,!1),t.if((0,i.not)(n),(()=>{e.reset(),m(r)}))):(v(r,n),d||t.if((0,i.not)(n),(()=>t.break())))}}}function v(t,n,r){const i={keyword:"additionalProperties",dataProp:t,dataPropType:a.Type.Str};!1===r&&Object.assign(i,{compositeRule:!0,createErrors:!1,allErrors:!1}),e.subschema(i,n)}t.forIn("key",l,(n=>{f.length||h.length?t.if(function(n){let o;if(f.length>8){const e=(0,a.schemaRefOrVal)(c,s.properties,"properties");o=(0,r.isOwnProperty)(t,e,n)}else o=f.length?(0,i.or)(...f.map((e=>i._`${n} === ${e}`))):i.nil;return h.length&&(o=(0,i.or)(o,...h.map((t=>i._`${(0,r.usePattern)(e,t)}.test(${n})`)))),(0,i.not)(o)}(n),(()=>g(n))):g(n)})),e.ok(i._`${u} === ${o.default.errors}`)}};t.default=s},79376:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(22500),i={keyword:"allOf",schemaType:"array",code(e){const{gen:t,schema:n,it:i}=e;if(!Array.isArray(n))throw new Error("ajv implementation error");const o=t.name("valid");n.forEach(((t,n)=>{if((0,r.alwaysValidSchema)(i,t))return;const a=e.subschema({keyword:"allOf",schemaProp:n},o);e.ok(o),e.mergeEvaluated(a)}))}};t.default=i},49637:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r={keyword:"anyOf",schemaType:"array",trackErrors:!0,code:n(78213).validateUnion,error:{message:"must match a schema in anyOf"}};t.default=r},19175:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o={keyword:"contains",type:"array",schemaType:["object","boolean"],before:"uniqueItems",trackErrors:!0,error:{message:({params:{min:e,max:t}})=>void 0===t?r.str`must contain at least ${e} valid item(s)`:r.str`must contain at least ${e} and no more than ${t} valid item(s)`,params:({params:{min:e,max:t}})=>void 0===t?r._`{minContains: ${e}}`:r._`{minContains: ${e}, maxContains: ${t}}`},code(e){const{gen:t,schema:n,parentSchema:o,data:a,it:s}=e;let l,u;const{minContains:c,maxContains:d}=o;s.opts.next?(l=void 0===c?1:c,u=d):l=1;const p=t.const("len",r._`${a}.length`);if(e.setParams({min:l,max:u}),void 0===u&&0===l)return void(0,i.checkStrictMode)(s,'"minContains" == 0 without "maxContains": "contains" keyword ignored');if(void 0!==u&&l>u)return(0,i.checkStrictMode)(s,'"minContains" > "maxContains" is always invalid'),void e.fail();if((0,i.alwaysValidSchema)(s,n)){let t=r._`${p} >= ${l}`;return void 0!==u&&(t=r._`${t} && ${p} <= ${u}`),void e.pass(t)}s.items=!0;const f=t.name("valid");function h(){const e=t.name("_valid"),n=t.let("count",0);m(e,(()=>t.if(e,(()=>function(e){t.code(r._`${e}++`),void 0===u?t.if(r._`${e} >= ${l}`,(()=>t.assign(f,!0).break())):(t.if(r._`${e} > ${u}`,(()=>t.assign(f,!1).break())),1===l?t.assign(f,!0):t.if(r._`${e} >= ${l}`,(()=>t.assign(f,!0))))}(n)))))}function m(n,r){t.forRange("i",0,p,(t=>{e.subschema({keyword:"contains",dataProp:t,dataPropType:i.Type.Num,compositeRule:!0},n),r()}))}void 0===u&&1===l?m(f,(()=>t.if(f,(()=>t.break())))):0===l?(t.let(f,!0),void 0!==u&&t.if(r._`${a}.length > 0`,h)):(t.let(f,!1),h()),e.result(f,(()=>e.reset()))}};t.default=o},69181:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.validateSchemaDeps=t.validatePropertyDeps=t.error=void 0;const r=n(36313),i=n(22500),o=n(78213);t.error={message:({params:{property:e,depsCount:t,deps:n}})=>{const i=1===t?"property":"properties";return r.str`must have ${i} ${n} when property ${e} is present`},params:({params:{property:e,depsCount:t,deps:n,missingProperty:i}})=>r._`{property: ${e},
missingProperty: ${i},
depsCount: ${t},
deps: ${n}}`};const a={keyword:"dependencies",type:"object",schemaType:"object",error:t.error,code(e){const[t,n]=function({schema:e}){const t={},n={};for(const r in e)"__proto__"!==r&&((Array.isArray(e[r])?t:n)[r]=e[r]);return[t,n]}(e);s(e,t),l(e,n)}};function s(e,t=e.schema){const{gen:n,data:i,it:a}=e;if(0===Object.keys(t).length)return;const s=n.let("missing");for(const l in t){const u=t[l];if(0===u.length)continue;const c=(0,o.propertyInData)(n,i,l,a.opts.ownProperties);e.setParams({property:l,depsCount:u.length,deps:u.join(", ")}),a.allErrors?n.if(c,(()=>{for(const t of u)(0,o.checkReportMissingProp)(e,t)})):(n.if(r._`${c} && (${(0,o.checkMissingProp)(e,u,s)})`),(0,o.reportMissingProp)(e,s),n.else())}}function l(e,t=e.schema){const{gen:n,data:r,keyword:a,it:s}=e,l=n.name("valid");for(const u in t)(0,i.alwaysValidSchema)(s,t[u])||(n.if((0,o.propertyInData)(n,r,u,s.opts.ownProperties),(()=>{const t=e.subschema({keyword:a,schemaProp:u},l);e.mergeValidEvaluated(t,l)}),(()=>n.var(l,!0))),e.ok(l))}t.validatePropertyDeps=s,t.validateSchemaDeps=l,t.default=a},88681:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o={keyword:"if",schemaType:["object","boolean"],trackErrors:!0,error:{message:({params:e})=>r.str`must match "${e.ifClause}" schema`,params:({params:e})=>r._`{failingKeyword: ${e.ifClause}}`},code(e){const{gen:t,parentSchema:n,it:o}=e;void 0===n.then&&void 0===n.else&&(0,i.checkStrictMode)(o,'"if" without "then" and "else" is ignored');const s=a(o,"then"),l=a(o,"else");if(!s&&!l)return;const u=t.let("valid",!0),c=t.name("_valid");if(function(){const t=e.subschema({keyword:"if",compositeRule:!0,createErrors:!1,allErrors:!1},c);e.mergeEvaluated(t)}(),e.reset(),s&&l){const n=t.let("ifClause");e.setParams({ifClause:n}),t.if(c,d("then",n),d("else",n))}else s?t.if(c,d("then")):t.if((0,r.not)(c),d("else"));function d(n,i){return()=>{const o=e.subschema({keyword:n},c);t.assign(u,c),e.mergeValidEvaluated(o,u),i?t.assign(i,r._`${n}`):e.setParams({ifClause:n})}}e.pass(u,(()=>e.error(!0)))}};function a(e,t){const n=e.schema[t];return void 0!==n&&!(0,i.alwaysValidSchema)(e,n)}t.default=o},88650:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(55469),i=n(36550),o=n(70744),a=n(76553),s=n(19175),l=n(69181),u=n(26739),c=n(77275),d=n(4441),p=n(21540),f=n(58516),h=n(49637),m=n(42561),g=n(79376),v=n(88681),y=n(7451);t.default=function(e=!1){const t=[f.default,h.default,m.default,g.default,v.default,y.default,u.default,c.default,l.default,d.default,p.default];return e?t.push(i.default,a.default):t.push(r.default,o.default),t.push(s.default),t}},70744:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.validateTuple=void 0;const r=n(36313),i=n(22500),o=n(78213),a={keyword:"items",type:"array",schemaType:["object","array","boolean"],before:"uniqueItems",code(e){const{schema:t,it:n}=e;if(Array.isArray(t))return s(e,"additionalItems",t);n.items=!0,(0,i.alwaysValidSchema)(n,t)||e.ok((0,o.validateArray)(e))}};function s(e,t,n=e.schema){const{gen:o,parentSchema:a,data:s,keyword:l,it:u}=e;!function(e){const{opts:r,errSchemaPath:o}=u,a=n.length,s=a===e.minItems&&(a===e.maxItems||!1===e[t]);if(r.strictTuples&&!s){const e=`"${l}" is ${a}-tuple, but minItems or maxItems/${t} are not specified or different at path "${o}"`;(0,i.checkStrictMode)(u,e,r.strictTuples)}}(a),u.opts.unevaluated&&n.length&&!0!==u.items&&(u.items=i.mergeEvaluated.items(o,n.length,u.items));const c=o.name("valid"),d=o.const("len",r._`${s}.length`);n.forEach(((t,n)=>{(0,i.alwaysValidSchema)(u,t)||(o.if(r._`${d} > ${n}`,(()=>e.subschema({keyword:l,schemaProp:n,dataProp:n},c))),e.ok(c))}))}t.validateTuple=s,t.default=a},76553:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o=n(78213),a=n(55469),s={keyword:"items",type:"array",schemaType:["object","boolean"],before:"uniqueItems",error:{message:({params:{len:e}})=>r.str`must NOT have more than ${e} items`,params:({params:{len:e}})=>r._`{limit: ${e}}`},code(e){const{schema:t,parentSchema:n,it:r}=e,{prefixItems:s}=n;r.items=!0,(0,i.alwaysValidSchema)(r,t)||(s?(0,a.validateAdditionalItems)(e,s):e.ok((0,o.validateArray)(e)))}};t.default=s},58516:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(22500),i={keyword:"not",schemaType:["object","boolean"],trackErrors:!0,code(e){const{gen:t,schema:n,it:i}=e;if((0,r.alwaysValidSchema)(i,n))return void e.fail();const o=t.name("valid");e.subschema({keyword:"not",compositeRule:!0,createErrors:!1,allErrors:!1},o),e.failResult(o,(()=>e.reset()),(()=>e.error()))},error:{message:"must NOT be valid"}};t.default=i},42561:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o={keyword:"oneOf",schemaType:"array",trackErrors:!0,error:{message:"must match exactly one schema in oneOf",params:({params:e})=>r._`{passingSchemas: ${e.passing}}`},code(e){const{gen:t,schema:n,parentSchema:o,it:a}=e;if(!Array.isArray(n))throw new Error("ajv implementation error");if(a.opts.discriminator&&o.discriminator)return;const s=n,l=t.let("valid",!1),u=t.let("passing",null),c=t.name("_valid");e.setParams({passing:u}),t.block((function(){s.forEach(((n,o)=>{let s;(0,i.alwaysValidSchema)(a,n)?t.var(c,!0):s=e.subschema({keyword:"oneOf",schemaProp:o,compositeRule:!0},c),o>0&&t.if(r._`${c} && ${l}`).assign(l,!1).assign(u,r._`[${u}, ${o}]`).else(),t.if(c,(()=>{t.assign(l,!0),t.assign(u,o),s&&e.mergeEvaluated(s,r.Name)}))}))})),e.result(l,(()=>e.reset()),(()=>e.error(!0)))}};t.default=o},21540:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(78213),i=n(36313),o=n(22500),a=n(22500),s={keyword:"patternProperties",type:"object",schemaType:"object",code(e){const{gen:t,schema:n,data:s,parentSchema:l,it:u}=e,{opts:c}=u,d=(0,r.allSchemaProperties)(n),p=d.filter((e=>(0,o.alwaysValidSchema)(u,n[e])));if(0===d.length||p.length===d.length&&(!u.opts.unevaluated||!0===u.props))return;const f=c.strictSchema&&!c.allowMatchingProperties&&l.properties,h=t.name("valid");!0===u.props||u.props instanceof i.Name||(u.props=(0,a.evaluatedPropsToName)(t,u.props));const{props:m}=u;function g(e){for(const t in f)new RegExp(e).test(t)&&(0,o.checkStrictMode)(u,`property ${t} matches pattern ${e} (use allowMatchingProperties)`)}function v(n){t.forIn("key",s,(o=>{t.if(i._`${(0,r.usePattern)(e,n)}.test(${o})`,(()=>{const r=p.includes(n);r||e.subschema({keyword:"patternProperties",schemaProp:n,dataProp:o,dataPropType:a.Type.Str},h),u.opts.unevaluated&&!0!==m?t.assign(i._`${m}[${o}]`,!0):r||u.allErrors||t.if((0,i.not)(h),(()=>t.break()))}))}))}!function(){for(const e of d)f&&g(e),u.allErrors?v(e):(t.var(h,!0),v(e),t.if(h))}()}};t.default=s},36550:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(70744),i={keyword:"prefixItems",type:"array",schemaType:["array"],before:"uniqueItems",code:e=>(0,r.validateTuple)(e,"items")};t.default=i},4441:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(49029),i=n(78213),o=n(22500),a=n(77275),s={keyword:"properties",type:"object",schemaType:"object",code(e){const{gen:t,schema:n,parentSchema:s,data:l,it:u}=e;"all"===u.opts.removeAdditional&&void 0===s.additionalProperties&&a.default.code(new r.KeywordCxt(u,a.default,"additionalProperties"));const c=(0,i.allSchemaProperties)(n);for(const e of c)u.definedProperties.add(e);u.opts.unevaluated&&c.length&&!0!==u.props&&(u.props=o.mergeEvaluated.props(t,(0,o.toHash)(c),u.props));const d=c.filter((e=>!(0,o.alwaysValidSchema)(u,n[e])));if(0===d.length)return;const p=t.name("valid");for(const n of d)f(n)?h(n):(t.if((0,i.propertyInData)(t,l,n,u.opts.ownProperties)),h(n),u.allErrors||t.else().var(p,!0),t.endIf()),e.it.definedProperties.add(n),e.ok(p);function f(e){return u.opts.useDefaults&&!u.compositeRule&&void 0!==n[e].default}function h(t){e.subschema({keyword:"properties",schemaProp:t,dataProp:t},p)}}};t.default=s},26739:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o={keyword:"propertyNames",type:"object",schemaType:["object","boolean"],error:{message:"property name must be valid",params:({params:e})=>r._`{propertyName: ${e.propertyName}}`},code(e){const{gen:t,schema:n,data:o,it:a}=e;if((0,i.alwaysValidSchema)(a,n))return;const s=t.name("valid");t.forIn("key",o,(n=>{e.setParams({propertyName:n}),e.subschema({keyword:"propertyNames",data:n,dataTypes:["string"],propertyName:n,compositeRule:!0},s),t.if((0,r.not)(s),(()=>{e.error(!0),a.allErrors||t.break()}))})),e.ok(s)}};t.default=o},7451:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(22500),i={keyword:["then","else"],schemaType:["object","boolean"],code({keyword:e,parentSchema:t,it:n}){void 0===t.if&&(0,r.checkStrictMode)(n,`"${e}" without "if" is ignored`)}};t.default=i},78213:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.validateUnion=t.validateArray=t.usePattern=t.callValidateCode=t.schemaProperties=t.allSchemaProperties=t.noPropertyInData=t.propertyInData=t.isOwnProperty=t.hasPropFunc=t.reportMissingProp=t.checkMissingProp=t.checkReportMissingProp=void 0;const r=n(36313),i=n(22500),o=n(73861),a=n(22500);function s(e){return e.scopeValue("func",{ref:Object.prototype.hasOwnProperty,code:r._`Object.prototype.hasOwnProperty`})}function l(e,t,n){return r._`${s(e)}.call(${t}, ${n})`}function u(e,t,n,i){const o=r._`${t}${(0,r.getProperty)(n)} === undefined`;return i?(0,r.or)(o,(0,r.not)(l(e,t,n))):o}function c(e){return e?Object.keys(e).filter((e=>"__proto__"!==e)):[]}t.checkReportMissingProp=function(e,t){const{gen:n,data:i,it:o}=e;n.if(u(n,i,t,o.opts.ownProperties),(()=>{e.setParams({missingProperty:r._`${t}`},!0),e.error()}))},t.checkMissingProp=function({gen:e,data:t,it:{opts:n}},i,o){return(0,r.or)(...i.map((i=>(0,r.and)(u(e,t,i,n.ownProperties),r._`${o} = ${i}`))))},t.reportMissingProp=function(e,t){e.setParams({missingProperty:t},!0),e.error()},t.hasPropFunc=s,t.isOwnProperty=l,t.propertyInData=function(e,t,n,i){const o=r._`${t}${(0,r.getProperty)(n)} !== undefined`;return i?r._`${o} && ${l(e,t,n)}`:o},t.noPropertyInData=u,t.allSchemaProperties=c,t.schemaProperties=function(e,t){return c(t).filter((n=>!(0,i.alwaysValidSchema)(e,t[n])))},t.callValidateCode=function({schemaCode:e,data:t,it:{gen:n,topSchemaRef:i,schemaPath:a,errorPath:s},it:l},u,c,d){const p=d?r._`${e}, ${t}, ${i}${a}`:t,f=[[o.default.instancePath,(0,r.strConcat)(o.default.instancePath,s)],[o.default.parentData,l.parentData],[o.default.parentDataProperty,l.parentDataProperty],[o.default.rootData,o.default.rootData]];l.opts.dynamicRef&&f.push([o.default.dynamicAnchors,o.default.dynamicAnchors]);const h=r._`${p}, ${n.object(...f)}`;return c!==r.nil?r._`${u}.call(${c}, ${h})`:r._`${u}(${h})`};const d=r._`new RegExp`;t.usePattern=function({gen:e,it:{opts:t}},n){const i=t.unicodeRegExp?"u":"",{regExp:o}=t.code,s=o(n,i);return e.scopeValue("pattern",{key:s.toString(),ref:s,code:r._`${"new RegExp"===o.code?d:(0,a.useFunc)(e,o)}(${n}, ${i})`})},t.validateArray=function(e){const{gen:t,data:n,keyword:o,it:a}=e,s=t.name("valid");if(a.allErrors){const e=t.let("valid",!0);return l((()=>t.assign(e,!1))),e}return t.var(s,!0),l((()=>t.break())),s;function l(a){const l=t.const("len",r._`${n}.length`);t.forRange("i",0,l,(n=>{e.subschema({keyword:o,dataProp:n,dataPropType:i.Type.Num},s),t.if((0,r.not)(s),a)}))}},t.validateUnion=function(e){const{gen:t,schema:n,keyword:o,it:a}=e;if(!Array.isArray(n))throw new Error("ajv implementation error");if(n.some((e=>(0,i.alwaysValidSchema)(a,e)))&&!a.opts.unevaluated)return;const s=t.let("valid",!1),l=t.name("_valid");t.block((()=>n.forEach(((n,i)=>{const a=e.subschema({keyword:o,schemaProp:i,compositeRule:!0},l);t.assign(s,r._`${s} || ${l}`),e.mergeValidEvaluated(a,l)||t.if((0,r.not)(s))})))),e.result(s,(()=>e.reset()),(()=>e.error(!0)))}},32904:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const n={keyword:"id",code(){throw new Error('NOT SUPPORTED: keyword "id", use "$id" for schema ID')}};t.default=n},74867:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(32904),i=n(19119),o=["$schema","$id","$defs","$vocabulary",{keyword:"$comment"},"definitions",r.default,i.default];t.default=o},19119:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.callRef=t.getValidate=void 0;const r=n(4946),i=n(78213),o=n(36313),a=n(73861),s=n(33830),l=n(22500),u={keyword:"$ref",schemaType:"string",code(e){const{gen:t,schema:n,it:i}=e,{baseId:a,schemaEnv:l,validateName:u,opts:p,self:f}=i,{root:h}=l;if(("#"===n||"#/"===n)&&a===h.baseId)return function(){if(l===h)return d(e,u,l,l.$async);const n=t.scopeValue("root",{ref:h});return d(e,o._`${n}.validate`,h,h.$async)}();const m=s.resolveRef.call(f,h,a,n);if(void 0===m)throw new r.default(i.opts.uriResolver,a,n);return m instanceof s.SchemaEnv?function(t){const n=c(e,t);d(e,n,t,t.$async)}(m):function(r){const i=t.scopeValue("schema",!0===p.code.source?{ref:r,code:(0,o.stringify)(r)}:{ref:r}),a=t.name("valid"),s=e.subschema({schema:r,dataTypes:[],schemaPath:o.nil,topSchemaRef:i,errSchemaPath:n},a);e.mergeEvaluated(s),e.ok(a)}(m)}};function c(e,t){const{gen:n}=e;return t.validate?n.scopeValue("validate",{ref:t.validate}):o._`${n.scopeValue("wrapper",{ref:t})}.validate`}function d(e,t,n,r){const{gen:s,it:u}=e,{allErrors:c,schemaEnv:d,opts:p}=u,f=p.passContext?a.default.this:o.nil;function h(e){const t=o._`${e}.errors`;s.assign(a.default.vErrors,o._`${a.default.vErrors} === null ? ${t} : ${a.default.vErrors}.concat(${t})`),s.assign(a.default.errors,o._`${a.default.vErrors}.length`)}function m(e){var t;if(!u.opts.unevaluated)return;const r=null===(t=null==n?void 0:n.validate)||void 0===t?void 0:t.evaluated;if(!0!==u.props)if(r&&!r.dynamicProps)void 0!==r.props&&(u.props=l.mergeEvaluated.props(s,r.props,u.props));else{const t=s.var("props",o._`${e}.evaluated.props`);u.props=l.mergeEvaluated.props(s,t,u.props,o.Name)}if(!0!==u.items)if(r&&!r.dynamicItems)void 0!==r.items&&(u.items=l.mergeEvaluated.items(s,r.items,u.items));else{const t=s.var("items",o._`${e}.evaluated.items`);u.items=l.mergeEvaluated.items(s,t,u.items,o.Name)}}r?function(){if(!d.$async)throw new Error("async schema referenced by sync schema");const n=s.let("valid");s.try((()=>{s.code(o._`await ${(0,i.callValidateCode)(e,t,f)}`),m(t),c||s.assign(n,!0)}),(e=>{s.if(o._`!(${e} instanceof ${u.ValidationError})`,(()=>s.throw(e))),h(e),c||s.assign(n,!1)})),e.ok(n)}():e.result((0,i.callValidateCode)(e,t,f),(()=>m(t)),(()=>h(t)))}t.getValidate=c,t.callRef=d,t.default=u},34415:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(89241),o=n(33830),a=n(22500),s={keyword:"discriminator",type:"object",schemaType:"object",error:{message:({params:{discrError:e,tagName:t}})=>e===i.DiscrError.Tag?`tag "${t}" must be string`:`value of tag "${t}" must be in oneOf`,params:({params:{discrError:e,tag:t,tagName:n}})=>r._`{error: ${e}, tag: ${n}, tagValue: ${t}}`},code(e){const{gen:t,data:n,schema:s,parentSchema:l,it:u}=e,{oneOf:c}=l;if(!u.opts.discriminator)throw new Error("discriminator: requires discriminator option");const d=s.propertyName;if("string"!=typeof d)throw new Error("discriminator: requires propertyName");if(s.mapping)throw new Error("discriminator: mapping is not supported");if(!c)throw new Error("discriminator: requires oneOf keyword");const p=t.let("valid",!1),f=t.const("tag",r._`${n}${(0,r.getProperty)(d)}`);function h(n){const i=t.name("valid"),o=e.subschema({keyword:"oneOf",schemaProp:n},i);return e.mergeEvaluated(o,r.Name),i}t.if(r._`typeof ${f} == "string"`,(()=>function(){const n=function(){var e;const t={},n=i(l);let r=!0;for(let t=0;t<c.length;t++){let l=c[t];(null==l?void 0:l.$ref)&&!(0,a.schemaHasRulesButRef)(l,u.self.RULES)&&(l=o.resolveRef.call(u.self,u.schemaEnv.root,u.baseId,null==l?void 0:l.$ref),l instanceof o.SchemaEnv&&(l=l.schema));const p=null===(e=null==l?void 0:l.properties)||void 0===e?void 0:e[d];if("object"!=typeof p)throw new Error(`discriminator: oneOf subschemas (or referenced schemas) must have "properties/${d}"`);r=r&&(n||i(l)),s(p,t)}if(!r)throw new Error(`discriminator: "${d}" must be required`);return t;function i({required:e}){return Array.isArray(e)&&e.includes(d)}function s(e,t){if(e.const)p(e.const,t);else{if(!e.enum)throw new Error(`discriminator: "properties/${d}" must have "const" or "enum"`);for(const n of e.enum)p(n,t)}}function p(e,n){if("string"!=typeof e||e in t)throw new Error(`discriminator: "${d}" values must be unique strings`);t[e]=n}}();t.if(!1);for(const e in n)t.elseIf(r._`${f} === ${e}`),t.assign(p,h(n[e]));t.else(),e.error(!1,{discrError:i.DiscrError.Mapping,tag:f,tagName:d}),t.endIf()}()),(()=>e.error(!1,{discrError:i.DiscrError.Tag,tag:f,tagName:d}))),e.ok(p)}};t.default=s},89241:(e,t)=>{"use strict";var n;Object.defineProperty(t,"__esModule",{value:!0}),t.DiscrError=void 0,(n=t.DiscrError||(t.DiscrError={})).Tag="tag",n.Mapping="mapping"},36594:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(74867),i=n(12523),o=n(88650),a=n(68643),s=n(39650),l=[r.default,i.default,(0,o.default)(),a.default,s.metadataVocabulary,s.contentVocabulary];t.default=l},19826:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i={keyword:"format",type:["number","string"],schemaType:"string",$data:!0,error:{message:({schemaCode:e})=>r.str`must match format "${e}"`,params:({schemaCode:e})=>r._`{format: ${e}}`},code(e,t){const{gen:n,data:i,$data:o,schema:a,schemaCode:s,it:l}=e,{opts:u,errSchemaPath:c,schemaEnv:d,self:p}=l;u.validateFormats&&(o?function(){const o=n.scopeValue("formats",{ref:p.formats,code:u.code.formats}),a=n.const("fDef",r._`${o}[${s}]`),l=n.let("fType"),c=n.let("format");n.if(r._`typeof ${a} == "object" && !(${a} instanceof RegExp)`,(()=>n.assign(l,r._`${a}.type || "string"`).assign(c,r._`${a}.validate`)),(()=>n.assign(l,r._`"string"`).assign(c,a))),e.fail$data((0,r.or)(!1===u.strictSchema?r.nil:r._`${s} && !${c}`,function(){const e=d.$async?r._`(${a}.async ? await ${c}(${i}) : ${c}(${i}))`:r._`${c}(${i})`,n=r._`(typeof ${c} == "function" ? ${e} : ${c}.test(${i}))`;return r._`${c} && ${c} !== true && ${l} === ${t} && !${n}`}()))}():function(){const o=p.formats[a];if(!o)return void function(){if(!1!==u.strictSchema)throw new Error(e());function e(){return`unknown format "${a}" ignored in schema at path "${c}"`}p.logger.warn(e())}();if(!0===o)return;const[s,l,f]=function(e){const t=e instanceof RegExp?(0,r.regexpCode)(e):u.code.formats?r._`${u.code.formats}${(0,r.getProperty)(a)}`:void 0,i=n.scopeValue("formats",{key:a,ref:e,code:t});return"object"!=typeof e||e instanceof RegExp?["string",e,i]:[e.type||"string",e.validate,r._`${i}.validate`]}(o);s===t&&e.pass(function(){if("object"==typeof o&&!(o instanceof RegExp)&&o.async){if(!d.$async)throw new Error("async format in sync schema");return r._`await ${f}(${i})`}return"function"==typeof l?r._`${f}(${i})`:r._`${f}.test(${i})`}())}())}};t.default=i},68643:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=[n(19826).default];t.default=r},39650:(e,t)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.contentVocabulary=t.metadataVocabulary=void 0,t.metadataVocabulary=["title","description","default","deprecated","readOnly","writeOnly","examples"],t.contentVocabulary=["contentMediaType","contentEncoding","contentSchema"]},60524:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o=n(93920),a={keyword:"const",$data:!0,error:{message:"must be equal to constant",params:({schemaCode:e})=>r._`{allowedValue: ${e}}`},code(e){const{gen:t,data:n,$data:a,schemaCode:s,schema:l}=e;a||l&&"object"==typeof l?e.fail$data(r._`!${(0,i.useFunc)(t,o.default)}(${n}, ${s})`):e.fail(r._`${l} !== ${n}`)}};t.default=a},17858:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o=n(93920),a={keyword:"enum",schemaType:"array",$data:!0,error:{message:"must be equal to one of the allowed values",params:({schemaCode:e})=>r._`{allowedValues: ${e}}`},code(e){const{gen:t,data:n,$data:a,schema:s,schemaCode:l,it:u}=e;if(!a&&0===s.length)throw new Error("enum must have non-empty array");const c=s.length>=u.opts.loopEnum;let d;const p=()=>null!=d?d:d=(0,i.useFunc)(t,o.default);let f;if(c||a)f=t.let("valid"),e.block$data(f,(function(){t.assign(f,!1),t.forOf("v",l,(e=>t.if(r._`${p()}(${n}, ${e})`,(()=>t.assign(f,!0).break()))))}));else{if(!Array.isArray(s))throw new Error("ajv implementation error");const e=t.const("vSchema",l);f=(0,r.or)(...s.map(((t,i)=>function(e,t){const i=s[t];return"object"==typeof i&&null!==i?r._`${p()}(${n}, ${e}[${t}])`:r._`${n} === ${i}`}(e,i))))}e.pass(f)}};t.default=a},12523:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(32703),i=n(62669),o=n(83819),a=n(24604),s=n(68380),l=n(99296),u=n(34690),c=n(52574),d=n(60524),p=n(17858),f=[r.default,i.default,o.default,a.default,s.default,l.default,u.default,c.default,{keyword:"type",schemaType:["string","array"]},{keyword:"nullable",schemaType:"boolean"},d.default,p.default];t.default=f},34690:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i={keyword:["maxItems","minItems"],type:"array",schemaType:"number",$data:!0,error:{message({keyword:e,schemaCode:t}){const n="maxItems"===e?"more":"fewer";return r.str`must NOT have ${n} than ${t} items`},params:({schemaCode:e})=>r._`{limit: ${e}}`},code(e){const{keyword:t,data:n,schemaCode:i}=e,o="maxItems"===t?r.operators.GT:r.operators.LT;e.fail$data(r._`${n}.length ${o} ${i}`)}};t.default=i},83819:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=n(22500),o=n(45750),a={keyword:["maxLength","minLength"],type:"string",schemaType:"number",$data:!0,error:{message({keyword:e,schemaCode:t}){const n="maxLength"===e?"more":"fewer";return r.str`must NOT have ${n} than ${t} characters`},params:({schemaCode:e})=>r._`{limit: ${e}}`},code(e){const{keyword:t,data:n,schemaCode:a,it:s}=e,l="maxLength"===t?r.operators.GT:r.operators.LT,u=!1===s.opts.unicode?r._`${n}.length`:r._`${(0,i.useFunc)(e.gen,o.default)}(${n})`;e.fail$data(r._`${u} ${l} ${a}`)}};t.default=a},32703:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i=r.operators,o={maximum:{okStr:"<=",ok:i.LTE,fail:i.GT},minimum:{okStr:">=",ok:i.GTE,fail:i.LT},exclusiveMaximum:{okStr:"<",ok:i.LT,fail:i.GTE},exclusiveMinimum:{okStr:">",ok:i.GT,fail:i.LTE}},a={message:({keyword:e,schemaCode:t})=>r.str`must be ${o[e].okStr} ${t}`,params:({keyword:e,schemaCode:t})=>r._`{comparison: ${o[e].okStr}, limit: ${t}}`},s={keyword:Object.keys(o),type:"number",schemaType:"number",$data:!0,error:a,code(e){const{keyword:t,data:n,schemaCode:i}=e;e.fail$data(r._`${n} ${o[t].fail} ${i} || isNaN(${n})`)}};t.default=s},68380:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i={keyword:["maxProperties","minProperties"],type:"object",schemaType:"number",$data:!0,error:{message({keyword:e,schemaCode:t}){const n="maxProperties"===e?"more":"fewer";return r.str`must NOT have ${n} than ${t} properties`},params:({schemaCode:e})=>r._`{limit: ${e}}`},code(e){const{keyword:t,data:n,schemaCode:i}=e,o="maxProperties"===t?r.operators.GT:r.operators.LT;e.fail$data(r._`Object.keys(${n}).length ${o} ${i}`)}};t.default=i},62669:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(36313),i={keyword:"multipleOf",type:"number",schemaType:"number",$data:!0,error:{message:({schemaCode:e})=>r.str`must be multiple of ${e}`,params:({schemaCode:e})=>r._`{multipleOf: ${e}}`},code(e){const{gen:t,data:n,schemaCode:i,it:o}=e,a=o.opts.multipleOfPrecision,s=t.let("res"),l=a?r._`Math.abs(Math.round(${s}) - ${s}) > 1e-${a}`:r._`${s} !== parseInt(${s})`;e.fail$data(r._`(${i} === 0 || (${s} = ${n}/${i}, ${l}))`)}};t.default=i},24604:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(78213),i=n(36313),o={keyword:"pattern",type:"string",schemaType:"string",$data:!0,error:{message:({schemaCode:e})=>i.str`must match pattern "${e}"`,params:({schemaCode:e})=>i._`{pattern: ${e}}`},code(e){const{data:t,$data:n,schema:o,schemaCode:a,it:s}=e,l=s.opts.unicodeRegExp?"u":"",u=n?i._`(new RegExp(${a}, ${l}))`:(0,r.usePattern)(e,o);e.fail$data(i._`!${u}.test(${t})`)}};t.default=o},99296:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(78213),i=n(36313),o=n(22500),a={keyword:"required",type:"object",schemaType:"array",$data:!0,error:{message:({params:{missingProperty:e}})=>i.str`must have required property '${e}'`,params:({params:{missingProperty:e}})=>i._`{missingProperty: ${e}}`},code(e){const{gen:t,schema:n,schemaCode:a,data:s,$data:l,it:u}=e,{opts:c}=u;if(!l&&0===n.length)return;const d=n.length>=c.loopRequired;if(u.allErrors?function(){if(d||l)e.block$data(i.nil,p);else for(const t of n)(0,r.checkReportMissingProp)(e,t)}():function(){const o=t.let("missing");if(d||l){const n=t.let("valid",!0);e.block$data(n,(()=>function(n,o){e.setParams({missingProperty:n}),t.forOf(n,a,(()=>{t.assign(o,(0,r.propertyInData)(t,s,n,c.ownProperties)),t.if((0,i.not)(o),(()=>{e.error(),t.break()}))}),i.nil)}(o,n))),e.ok(n)}else t.if((0,r.checkMissingProp)(e,n,o)),(0,r.reportMissingProp)(e,o),t.else()}(),c.strictRequired){const t=e.parentSchema.properties,{definedProperties:r}=e.it;for(const e of n)if(void 0===(null==t?void 0:t[e])&&!r.has(e)){const t=`required property "${e}" is not defined at "${u.schemaEnv.baseId+u.errSchemaPath}" (strictRequired)`;(0,o.checkStrictMode)(u,t,u.opts.strictRequired)}}function p(){t.forOf("prop",a,(n=>{e.setParams({missingProperty:n}),t.if((0,r.noPropertyInData)(t,s,n,c.ownProperties),(()=>e.error()))}))}}};t.default=a},52574:(e,t,n)=>{"use strict";Object.defineProperty(t,"__esModule",{value:!0});const r=n(28051),i=n(36313),o=n(22500),a=n(93920),s={keyword:"uniqueItems",type:"array",schemaType:"boolean",$data:!0,error:{message:({params:{i:e,j:t}})=>i.str`must NOT have duplicate items (items ## ${t} and ${e} are identical)`,params:({params:{i:e,j:t}})=>i._`{i: ${e}, j: ${t}}`},code(e){const{gen:t,data:n,$data:s,schema:l,parentSchema:u,schemaCode:c,it:d}=e;if(!s&&!l)return;const p=t.let("valid"),f=u.items?(0,r.getSchemaTypes)(u.items):[];function h(o,a){const s=t.name("item"),l=(0,r.checkDataTypes)(f,s,d.opts.strictNumbers,r.DataType.Wrong),u=t.const("indices",i._`{}`);t.for(i._`;${o}--;`,(()=>{t.let(s,i._`${n}[${o}]`),t.if(l,i._`continue`),f.length>1&&t.if(i._`typeof ${s} == "string"`,i._`${s} += "_"`),t.if(i._`typeof ${u}[${s}] == "number"`,(()=>{t.assign(a,i._`${u}[${s}]`),e.error(),t.assign(p,!1).break()})).code(i._`${u}[${s}] = ${o}`)}))}function m(r,s){const l=(0,o.useFunc)(t,a.default),u=t.name("outer");t.label(u).for(i._`;${r}--;`,(()=>t.for(i._`${s} = ${r}; ${s}--;`,(()=>t.if(i._`${l}(${n}[${r}], ${n}[${s}])`,(()=>{e.error(),t.assign(p,!1).break(u)}))))))}e.block$data(p,(function(){const r=t.let("i",i._`${n}.length`),o=t.let("j");e.setParams({i:r,j:o}),t.assign(p,!0),t.if(i._`${r} > 1`,(()=>(f.length>0&&!f.some((e=>"object"===e||"array"===e))?h:m)(r,o)))}),i._`${c} === false`),e.ok(p)}};t.default=s},18966:e=>{"use strict";var t=e.exports=function(e,t,r){"function"==typeof t&&(r=t,t={}),n(t,"function"==typeof(r=t.cb||r)?r:r.pre||function(){},r.post||function(){},e,"",e)};function n(e,r,i,o,a,s,l,u,c,d){if(o&&"object"==typeof o&&!Array.isArray(o)){for(var p in r(o,a,s,l,u,c,d),o){var f=o[p];if(Array.isArray(f)){if(p in t.arrayKeywords)for(var h=0;h<f.length;h++)n(e,r,i,f[h],a+"/"+p+"/"+h,s,a,p,o,h)}else if(p in t.propsKeywords){if(f&&"object"==typeof f)for(var m in f)n(e,r,i,f[m],a+"/"+p+"/"+m.replace(/~/g,"~0").replace(/\//g,"~1"),s,a,p,o,m)}else(p in t.keywords||e.allKeys&&!(p in t.skipKeywords))&&n(e,r,i,f,a+"/"+p,s,a,p,o)}i(o,a,s,l,u,c,d)}}t.keywords={additionalItems:!0,items:!0,contains:!0,additionalProperties:!0,propertyNames:!0,not:!0,if:!0,then:!0,else:!0},t.arrayKeywords={items:!0,allOf:!0,anyOf:!0,oneOf:!0},t.propsKeywords={$defs:!0,definitions:!0,properties:!0,patternProperties:!0,dependencies:!0},t.skipKeywords={default:!0,enum:!0,const:!0,required:!0,maximum:!0,minimum:!0,exclusiveMaximum:!0,exclusiveMinimum:!0,multipleOf:!0,maxLength:!0,minLength:!0,pattern:!0,format:!0,maxItems:!0,minItems:!0,uniqueItems:!0,maxProperties:!0,minProperties:!0}},84449:(e,t,n)=>{"use strict";e.exports=n(64569)},63199:(e,t,n)=>{"use strict";var r=n(85387);e.exports=function(e,t){for(var n=[],i=t&&t.children||[],o=-1;++o<i.length;)n[o]=r(e,i[o],o,t);return n.join("")}},1699:(e,t,n)=>{"use strict";var r=n(51960),i=n(61233);e.exports=function(e,t){return e.bogusComments?"<?"+i(t.value,r(e.entities,{subset:[">"]}))+">":"\x3c!--"+t.value.replace(/^>|^->|<!--|-->|--!>|<!-$/g,(function(t){return i(t,r(e.entities,{subset:["<",">"]}))}))+"--\x3e"}},80837:e=>{"use strict";e.exports={name:[["\t\n\f\r &/=>".split(""),"\t\n\f\r \"&'/=>`".split("")],["\0\t\n\f\r \"&'/<=>".split(""),"\0\t\n\f\r \"&'/<=>`".split("")]],unquoted:[["\t\n\f\r &>".split(""),"\0\t\n\f\r \"&'<=>`".split("")],["\0\t\n\f\r \"&'<=>`".split(""),"\0\t\n\f\r \"&'<=>`".split("")]],single:[["&'".split(""),"\"&'`".split("")],["\0&'".split(""),"\0\"&'`".split("")]],double:[['"&'.split(""),"\"&'`".split("")],['\0"&'.split(""),"\0\"&'`".split("")]]}},4447:(e,t,n)=>{"use strict";var r=n(51960),i=n(53404),o=n(61233);function a(e,t){var n=String(t),a=i(n,e.quote)>i(n,e.alternative)?e.alternative:e.quote;return a+o(n,r(e.entities,{subset:["<","&",a]}))+a}e.exports=function(e,t){var n=e.tightDoctype?"":" ",r=["<!"+(e.upperDoctype?"DOCTYPE":"doctype")];return t.name&&(r.push(n,t.name),null!=t.public?r.push(" public",n,a(e,t.public)):null!=t.system&&r.push(" system"),null!=t.system&&r.push(n,a(e,t.system))),r.join("")+">"}},46615:(e,t,n)=>{"use strict";var r=n(51960),i=n(57956),o=n(70521),a=n(93113),s=n(97327),l=n(61233),u=n(53404),c=n(63199),d=n(80837);function p(e,t,n){var i,c,p=o(e.schema,t),f=e.quote;return!p.overloadedBoolean||n!==p.attribute&&""!==n?(p.boolean||p.overloadedBoolean&&"string"!=typeof n)&&(n=Boolean(n)):n=!0,null==n||!1===n||"number"==typeof n&&n!=n?"":(c=l(p.attribute,r(e.entities,{subset:d.name["html"===e.schema.space?e.valid:1][e.safe]})),!0===n?c:(n="object"==typeof n&&"length"in n?(p.commaSeparated?s.stringify:a.stringify)(n,{padLeft:!e.tightLists}):String(n),e.collapseEmpty&&!n?c:(e.unquoted&&(i=l(n,r(e.entities,{subset:d.unquoted[e.valid][e.safe],attribute:!0}))),i!==n&&(e.smart&&u(n,f)>u(n,e.alternative)&&(f=e.alternative),i=f+l(n,r(e.entities,{subset:("'"===f?d.single:d.double)["html"===e.schema.space?e.valid:1][e.safe],attribute:!0}))+f),c+(i?"="+i:i))))}e.exports=function(e,t,n,r){var o,a,s,l=e.schema,u="svg"!==l.space&&e.omit,d=[],f="svg"===l.space?e.closeEmpty:e.voids.indexOf(t.tagName.toLowerCase())>-1;return"html"===l.space&&"svg"===t.tagName&&(e.schema=i),o=function(e,t){var n,r,i,o=[],a=-1;for(n in t)null!=t[n]&&(r=p(e,n,t[n]))&&o.push(r);for(;++a<o.length;)i=e.tight?o[a].charAt(o[a].length-1):null,a!==o.length-1&&'"'!==i&&"'"!==i&&(o[a]+=" ");return o.join("")}(e,t.properties),a=c(e,"html"===l.space&&"template"===t.tagName?t.content:t),e.schema=l,a&&(f=!1),!o&&u&&u.opening(t,n,r)||(d.push("<",t.tagName,o?" "+o:""),f&&("svg"===l.space||e.close)&&(s=o.charAt(o.length-1),(!e.tightClose||"/"===s||"svg"===l.space&&s&&'"'!==s&&"'"!==s)&&d.push(" "),d.push("/")),d.push(">")),d.push(a),f||u&&u.closing(t,n,r)||d.push("</"+t.tagName+">"),d.join("")}},64569:(e,t,n)=>{"use strict";var r,i=n(59209),o=n(57956),a=n(25183),s=n(18254),l=n(85387);e.exports=function(e,t){var n=t||{},u=n.quote||'"',c='"'===u?"'":'"';if('"'!==u&&"'"!==u)throw new Error("Invalid quote `"+u+"`, expected `'` or `\"`");return"allowDangerousHTML"in n&&!r&&(r=!0,console.warn("Deprecation warning: `allowDangerousHTML` is a nonstandard option, use `allowDangerousHtml` instead")),l({valid:n.allowParseErrors?0:1,safe:n.allowDangerousCharacters?0:1,schema:"svg"===n.space?o:i,omit:n.omitOptionalTags&&s,quote:u,alternative:c,smart:n.quoteSmart,unquoted:n.preferUnquoted,tight:n.tightAttributes,upperDoctype:n.upperDoctype,tightDoctype:n.tightDoctype,bogusComments:n.bogusComments,tightLists:n.tightCommaSeparatedLists,tightClose:n.tightSelfClosing,collapseEmpty:n.collapseEmptyAttributes,dangerous:n.allowDangerousHtml||n.allowDangerousHTML,voids:n.voids||a.concat(),entities:n.entities||{},close:n.closeSelfClosing,closeEmpty:n.closeEmptyElements},e&&"object"==typeof e&&"length"in e?{type:"root",children:e}:e)}},55350:(e,t,n)=>{"use strict";var r=n(85909),i=n(4846),o=n(13576),a=n(25567),s=n(9930);function l(e,t,n){var r=a.after(n,t,!0);return!r||!o(r)&&!i(r)}function u(e,t,n){var i=a.after(n,t);return!i||r(i,["rp","rt"])}function c(e,t,n){var i=a.after(n,t);return!i||r(i,["td","th"])}e.exports=s({html:function(e,t,n){var r=a.after(n,t);return!r||!o(r)},head:l,body:function(e,t,n){var r=a.after(n,t);return!r||!o(r)},p:function(e,t,n){var i=a.after(n,t);return i?r(i,["address","article","aside","blockquote","details","div","dl","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","hr","main","menu","nav","ol","p","pre","section","table","ul"]):!n||!r(n,["a","audio","del","ins","map","noscript","video"])},li:function(e,t,n){var i=a.after(n,t);return!i||r(i,"li")},dt:function(e,t,n){var i=a.after(n,t);return i&&r(i,["dt","dd"])},dd:function(e,t,n){var i=a.after(n,t);return!i||r(i,["dt","dd"])},rt:u,rp:u,optgroup:function(e,t,n){var i=a.after(n,t);return!i||r(i,"optgroup")},option:function(e,t,n){var i=a.after(n,t);return!i||r(i,["option","optgroup"])},menuitem:function(e,t,n){var i=a.after(n,t);return!i||r(i,["menuitem","hr","menu"])},colgroup:l,caption:l,thead:function(e,t,n){var i=a.after(n,t);return i&&r(i,["tbody","tfoot"])},tbody:function(e,t,n){var i=a.after(n,t);return!i||r(i,["tbody","tfoot"])},tfoot:function(e,t,n){return!a.after(n,t)},tr:function(e,t,n){var i=a.after(n,t);return!i||r(i,"tr")},td:c,th:c})},18254:(e,t,n)=>{"use strict";t.opening=n(15639),t.closing=n(55350)},9930:e=>{"use strict";e.exports=function(e){return function(n,r,i){return t.call(e,n.tagName)&&e[n.tagName](n,r,i)}};var t={}.hasOwnProperty},15639:(e,t,n)=>{"use strict";var r=n(85909),i=n(25567),o=n(4846),a=n(13576),s=n(55350),l=n(9930);e.exports=l({html:function(e){var t=i.after(e,-1);return!t||!a(t)},head:function(e){for(var t=e.children,n=[],i=-1;++i<t.length;)if(r(t[i],["title","base"])){if(n.indexOf(t[i].tagName)>-1)return!1;n.push(t[i].tagName)}return t.length},body:function(e){var t=i.after(e,-1,!0);return!t||!a(t)&&!o(t)&&!r(t,["meta","link","script","style","template"])},colgroup:function(e,t,n){var o=i.before(n,t),a=i.after(e,-1,!0);return(!r(o,"colgroup")||!s(o,n.children.indexOf(o),n))&&(a&&r(a,"col"))},tbody:function(e,t,n){var o=i.before(n,t),a=i.after(e,-1);return(!r(o,["thead","tbody"])||!s(o,n.children.indexOf(o),n))&&(a&&r(a,"tr"))}})},13576:(e,t,n)=>{"use strict";var r=n(2547);e.exports=r("comment")},25567:(e,t,n)=>{"use strict";var r=n(67273);function i(e){return function(t,n,i){var o=t&&t.children,a=n+e,s=o&&o[a];if(!i)for(;s&&r(s);)s=o[a+=e];return s}}t.before=i(-1),t.after=i(1)},4846:(e,t,n)=>{"use strict";var r=n(2547),i=n(67273);e.exports=function(e){return o(e)&&i(e.value.charAt(0))};var o=r("text")},85387:(e,t,n)=>{"use strict";e.exports=function(e,t,n,o){if(!t||!t.type)throw new Error("Expected node, not `"+t+"`");if(!i.call(r,t.type))throw new Error("Cannot compile unknown node `"+t.type+"`");return r[t.type](e,t,n,o)};var r={comment:n(1699),doctype:n(4447),element:n(46615),raw:n(53780),root:n(63199),text:n(67398)},i={}.hasOwnProperty},53780:(e,t,n)=>{"use strict";var r=n(67398);e.exports=function(e,t){return e.dangerous?t.value:r(e,t)}},67398:(e,t,n)=>{"use strict";var r=n(51960),i=n(61233);e.exports=function(e,t,n,o){return!o||"script"!==o.tagName&&"style"!==o.tagName?i(t.value,r(e.entities,{subset:["<","&"]})):t.value}},97605:e=>{e.exports=function(e){return null!=e&&null!=e.constructor&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)}},4741:e=>{"use strict";e.exports=e=>{if("[object Object]"!==Object.prototype.toString.call(e))return!1;const t=Object.getPrototypeOf(e);return null===t||t===Object.prototype}},27809:(e,t,n)=>{"use strict";var r=n(51960),i=n(84449);e.exports=function(e){var t=r(e,this.data("settings"));this.Compiler=function(e){return i(e,t)}}},61233:(e,t,n)=>{"use strict";e.exports=n(31017)},8316:(e,t,n)=>{var r,i=n(22017),o={};for(r in e.exports=o,i)o[i[r]]=r},73086:e=>{e.exports=String.fromCharCode},504:e=>{e.exports={}.hasOwnProperty},33162:e=>{"use strict";e.exports=function(e,t){return e=e.replace(t.subset?function(e){for(var t=[],n=-1;++n<e.length;)t.push(e[n].replace(/[|\\{}()[\]^$+*?.]/g,"\\$&"));return new RegExp("(?:"+t.join("|")+")","g")}(t.subset):/["&'<>`]/g,n),t.subset||t.escapeOnly?e:e.replace(/[\uD800-\uDBFF][\uDC00-\uDFFF]/g,(function(e,n,r){return t.format(1024*(e.charCodeAt(0)-55296)+e.charCodeAt(1)-56320+65536,r.charCodeAt(n+2),t)})).replace(/[\x01-\t\v\f\x0E-\x1F\x7F\x81\x8D\x8F\x90\x9D\xA0-\uFFFF]/g,n);function n(e,n,r){return t.format(e.charCodeAt(0),r.charCodeAt(n+1),t)}}},15681:(e,t,n)=>{"use strict";var r=n(51960),i=n(33162),o=n(52173);e.exports=function(e,t){return i(e,r(t,{format:o}))}},82454:(e,t,n)=>{"use strict";var r=n(33162),i=n(52173);e.exports=function(e){return r(e,{escapeOnly:!0,useNamedReferences:!0,format:i})}},31017:(e,t,n)=>{"use strict";var r=n(15681),i=n(82454);e.exports=r,r.escape=i},52173:(e,t,n)=>{e.exports=function(e,t,n){var a,s,l;return(n.useNamedReferences||n.useShortestReferences)&&(a=o(e,t,n.omitOptionalSemicolons,n.attribute)),!n.useShortestReferences&&a||(s=r(e,t,n.omitOptionalSemicolons),n.useShortestReferences&&(l=i(e,t,n.omitOptionalSemicolons)).length<s.length&&(s=l)),a&&(!n.useShortestReferences||a.length<s.length)?a:s};var r=n(19648),i=n(56233),o=n(97960)},56233:(e,t,n)=>{e.exports=function(e,t,n){var i="&#"+String(e);return n&&t&&!/\d/.test(r(t))?i:i+";"};var r=n(73086)},19648:(e,t,n)=>{e.exports=function(e,t,n){var i="&#x"+e.toString(16).toUpperCase();return n&&t&&!/[\dA-Fa-f]/.test(r(t))?i:i+";"};var r=n(73086)},97960:(e,t,n)=>{e.exports=function(e,t,n,l){var u,c,d=o(e);return a.call(i,d)?(c="&"+(u=i[d]),n&&a.call(r,u)&&-1===s.indexOf(u)&&(!l||t&&61!==t&&/[^\da-z]/i.test(o(t)))?c:c+";"):""};var r=n(93562),i=n(8316),o=n(73086),a=n(504),s=n(88194)},43367:(e,t,n)=>{"use strict";var r=n(87683),i=n(97605),o=n(49385),a=n(4741),s=n(68776),l=n(21142);e.exports=function e(){var t,n=[],i=s(),y={},b=-1;return w.data=function(e,n){return"string"==typeof e?2===arguments.length?(m("data",t),y[e]=n,w):c.call(y,e)&&y[e]||null:e?(m("data",t),y=e,w):y},w.freeze=_,w.attachers=n,w.use=function(e){var r;if(m("use",t),null==e);else if("function"==typeof e)c.apply(null,arguments);else{if("object"!=typeof e)throw new Error("Expected usable value, not `"+e+"`");"length"in e?l(e):i(e)}return r&&(y.settings=o(y.settings||{},r)),w;function i(e){l(e.plugins),e.settings&&(r=o(r||{},e.settings))}function s(e){if("function"==typeof e)c(e);else{if("object"!=typeof e)throw new Error("Expected usable value, not `"+e+"`");"length"in e?c.apply(null,e):i(e)}}function l(e){var t=-1;if(null==e);else{if("object"!=typeof e||!("length"in e))throw new Error("Expected a list of plugins, not `"+e+"`");for(;++t<e.length;)s(e[t])}}function c(e,t){var r=function(e){for(var t=-1;++t<n.length;)if(n[t][0]===e)return n[t]}(e);r?(a(r[1])&&a(t)&&(t=o(!0,r[1],t)),r[1]=t):n.push(u.call(arguments))}},w.parse=function(e){var t,n=l(e);return _(),f("parse",t=w.Parser),p(t,"parse")?new t(String(n),n).parse():t(String(n),n)},w.stringify=function(e,t){var n,r=l(t);return _(),h("stringify",n=w.Compiler),g(e),p(n,"compile")?new n(e,r).compile():n(e,r)},w.run=x,w.runSync=function(e,t){var n,i;return x(e,t,(function(e,t){i=!0,n=t,r(e)})),v("runSync","run",i),n},w.process=k,w.processSync=function(e){var t,n;return _(),f("processSync",w.Parser),h("processSync",w.Compiler),k(t=l(e),(function(e){n=!0,r(e)})),v("processSync","process",n),t},w;function w(){for(var t=e(),r=-1;++r<n.length;)t.use.apply(null,n[r]);return t.data(o(!0,{},y)),t}function _(){var e,r;if(t)return w;for(;++b<n.length;)!1!==(e=n[b])[1]&&(!0===e[1]&&(e[1]=void 0),"function"==typeof(r=e[0].apply(w,e.slice(1)))&&i.use(r));return t=!0,b=1/0,w}function x(e,t,n){if(g(e),_(),n||"function"!=typeof t||(n=t,t=null),!n)return new Promise(r);function r(r,o){i.run(e,l(t),(function(t,i,a){i=i||e,t?o(t):r?r(i):n(null,i,a)}))}r(null,n)}function k(e,t){if(_(),f("process",w.Parser),h("process",w.Compiler),!t)return new Promise(n);function n(n,r){var i=l(e);d.run(w,{file:i},(function(e){e?r(e):n?n(i):t(null,i)}))}n(null,t)}}().freeze();var u=[].slice,c={}.hasOwnProperty,d=s().use((function(e,t){t.tree=e.parse(t.file)})).use((function(e,t,n){e.run(t.tree,t.file,(function(e,r,i){e?n(e):(t.tree=r,t.file=i,n())}))})).use((function(e,t){var n=e.stringify(t.tree,t.file);null==n||("string"==typeof n||i(n)?("value"in t.file&&(t.file.value=n),t.file.contents=n):t.file.result=n)}));function p(e,t){return"function"==typeof e&&e.prototype&&(function(e){var t;for(t in e)return!0;return!1}(e.prototype)||t in e.prototype)}function f(e,t){if("function"!=typeof t)throw new Error("Cannot `"+e+"` without `Parser`")}function h(e,t){if("function"!=typeof t)throw new Error("Cannot `"+e+"` without `Compiler`")}function m(e,t){if(t)throw new Error("Cannot invoke `"+e+"` on a frozen processor.\nCreate a new processor first, by invoking it: use `processor()` instead of `processor`.")}function g(e){if(!e||"string"!=typeof e.type)throw new Error("Expected node, got `"+e+"`")}function v(e,t,n){if(!n)throw new Error("`"+e+"` finished async. Use `"+t+"` instead")}},67129:()=>{},68906:()=>{},53260:()=>{},57267:()=>{},59255:()=>{},60155:(e,t,n)=>{e.exports=n(56093).YAML},56666:(e,t,n)=>{"use strict";n.d(t,{Z:()=>i});var r=n(45850);function i(e,t,n){return(t=(0,r.Z)(t))in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}},45850:(e,t,n)=>{"use strict";n.d(t,{Z:()=>i});var r=n(86522);function i(e){var t=function(e,t){if("object"!==(0,r.Z)(e)||null===e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var i=n.call(e,"string");if("object"!==(0,r.Z)(i))return i;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"===(0,r.Z)(t)?t:String(t)}},86522:(e,t,n)=>{"use strict";function r(e){return r="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},r(e)}n.d(t,{Z:()=>r})},56093:(e,t,n)=>{"use strict";function r(e){return r="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},r(e)}function i(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function o(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function a(e,t,n){return t&&o(e.prototype,t),n&&o(e,n),e}function s(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function l(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&c(e,t)}function u(e){return u=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)},u(e)}function c(e,t){return c=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e},c(e,t)}function d(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Boolean.prototype.valueOf.call(Reflect.construct(Boolean,[],(function(){}))),!0}catch(e){return!1}}function p(e,t,n){return p=d()?Reflect.construct:function(e,t,n){var r=[null];r.push.apply(r,t);var i=new(Function.bind.apply(e,r));return n&&c(i,n.prototype),i},p.apply(null,arguments)}function f(e){var t="function"==typeof Map?new Map:void 0;return f=function(e){if(null===e||(n=e,-1===Function.toString.call(n).indexOf("[native code]")))return e;var n;if("function"!=typeof e)throw new TypeError("Super expression must either be null or a function");if(void 0!==t){if(t.has(e))return t.get(e);t.set(e,r)}function r(){return p(e,arguments,u(this).constructor)}return r.prototype=Object.create(e.prototype,{constructor:{value:r,enumerable:!1,writable:!0,configurable:!0}}),c(r,e)},f(e)}function h(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}function m(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?h(e):t}function g(e){var t=d();return function(){var n,r=u(e);if(t){var i=u(this).constructor;n=Reflect.construct(r,arguments,i)}else n=r.apply(this,arguments);return m(this,n)}}function v(e,t,n){return v="undefined"!=typeof Reflect&&Reflect.get?Reflect.get:function(e,t,n){var r=function(e,t){for(;!Object.prototype.hasOwnProperty.call(e,t)&&null!==(e=u(e)););return e}(e,t);if(r){var i=Object.getOwnPropertyDescriptor(r,t);return i.get?i.get.call(n):i.value}},v(e,t,n||e)}function y(e,t){return w(e)||function(e,t){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e)){var n=[],r=!0,i=!1,o=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){i=!0,o=e}finally{try{r||null==s.return||s.return()}finally{if(i)throw o}}return n}}(e,t)||_(e,t)||k()}function b(e){return w(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||_(e)||k()}function w(e){if(Array.isArray(e))return e}function _(e,t){if(e){if("string"==typeof e)return x(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?x(e,t):void 0}}function x(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function k(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}function E(e,t){var n;if("undefined"==typeof Symbol||null==e[Symbol.iterator]){if(Array.isArray(e)||(n=_(e))||t&&e&&"number"==typeof e.length){n&&(e=n);var r=0,i=function(){};return{s:i,n:function(){return r>=e.length?{done:!0}:{done:!1,value:e[r++]}},e:function(e){throw e},f:i}}throw new TypeError("Invalid attempt to iterate non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}var o,a=!0,s=!1;return{s:function(){n=e[Symbol.iterator]()},n:function(){var e=n.next();return a=e.done,e},e:function(e){s=!0,o=e},f:function(){try{a||null==n.return||n.return()}finally{if(s)throw o}}}}n.d(t,{YAML:()=>Xt});var C={ANCHOR:"&",COMMENT:"#",TAG:"!",DIRECTIVES_END:"-",DOCUMENT_END:"."},S={ALIAS:"ALIAS",BLANK_LINE:"BLANK_LINE",BLOCK_FOLDED:"BLOCK_FOLDED",BLOCK_LITERAL:"BLOCK_LITERAL",COMMENT:"COMMENT",DIRECTIVE:"DIRECTIVE",DOCUMENT:"DOCUMENT",FLOW_MAP:"FLOW_MAP",FLOW_SEQ:"FLOW_SEQ",MAP:"MAP",MAP_KEY:"MAP_KEY",MAP_VALUE:"MAP_VALUE",PLAIN:"PLAIN",QUOTE_DOUBLE:"QUOTE_DOUBLE",QUOTE_SINGLE:"QUOTE_SINGLE",SEQ:"SEQ",SEQ_ITEM:"SEQ_ITEM"},A="tag:yaml.org,2002:",T={MAP:"tag:yaml.org,2002:map",SEQ:"tag:yaml.org,2002:seq",STR:"tag:yaml.org,2002:str"};function O(e){for(var t=[0],n=e.indexOf("\n");-1!==n;)n+=1,t.push(n),n=e.indexOf("\n",n);return t}function P(e){var t,n;return"string"==typeof e?(t=O(e),n=e):(Array.isArray(e)&&(e=e[0]),e&&e.context&&(e.lineStarts||(e.lineStarts=O(e.context.src)),t=e.lineStarts,n=e.context.src)),{lineStarts:t,src:n}}function D(e,t){if("number"!=typeof e||e<0)return null;var n=P(t),r=n.lineStarts,i=n.src;if(!r||!i||e>i.length)return null;for(var o=0;o<r.length;++o){var a=r[o];if(e<a)return{line:o,col:e-r[o-1]+1};if(e===a)return{line:o+1,col:1}}var s=r.length;return{line:s,col:e-r[s-1]+1}}var R=function(){function e(t,n){i(this,e),this.start=t,this.end=n||t}return a(e,[{key:"isEmpty",value:function(){return"number"!=typeof this.start||!this.end||this.end<=this.start}},{key:"setOrigRange",value:function(e,t){var n=this.start,r=this.end;if(0===e.length||r<=e[0])return this.origStart=n,this.origEnd=r,t;for(var i=t;i<e.length&&!(e[i]>n);)++i;this.origStart=n+i;for(var o=i;i<e.length&&!(e[i]>=r);)++i;return this.origEnd=r+i,o}}],[{key:"copy",value:function(t){return new e(t.start,t.end)}}]),e}(),I=function(){function e(t,n,r){i(this,e),Object.defineProperty(this,"context",{value:r||null,writable:!0}),this.error=null,this.range=null,this.valueRange=null,this.props=n||[],this.type=t,this.value=null}return a(e,[{key:"getPropValue",value:function(e,t,n){if(!this.context)return null;var r=this.context.src,i=this.props[e];return i&&r[i.start]===t?r.slice(i.start+(n?1:0),i.end):null}},{key:"anchor",get:function(){for(var e=0;e<this.props.length;++e){var t=this.getPropValue(e,C.ANCHOR,!0);if(null!=t)return t}return null}},{key:"comment",get:function(){for(var e=[],t=0;t<this.props.length;++t){var n=this.getPropValue(t,C.COMMENT,!0);null!=n&&e.push(n)}return e.length>0?e.join("\n"):null}},{key:"commentHasRequiredWhitespace",value:function(t){var n=this.context.src;if(this.header&&t===this.header.end)return!1;if(!this.valueRange)return!1;var r=this.valueRange.end;return t!==r||e.atBlank(n,r-1)}},{key:"hasComment",get:function(){if(this.context)for(var e=this.context.src,t=0;t<this.props.length;++t)if(e[this.props[t].start]===C.COMMENT)return!0;return!1}},{key:"hasProps",get:function(){if(this.context)for(var e=this.context.src,t=0;t<this.props.length;++t)if(e[this.props[t].start]!==C.COMMENT)return!0;return!1}},{key:"includesTrailingLines",get:function(){return!1}},{key:"jsonLike",get:function(){return-1!==[S.FLOW_MAP,S.FLOW_SEQ,S.QUOTE_DOUBLE,S.QUOTE_SINGLE].indexOf(this.type)}},{key:"rangeAsLinePos",get:function(){if(this.range&&this.context){var e=D(this.range.start,this.context.root);if(e)return{start:e,end:D(this.range.end,this.context.root)}}}},{key:"rawValue",get:function(){if(!this.valueRange||!this.context)return null;var e=this.valueRange,t=e.start,n=e.end;return this.context.src.slice(t,n)}},{key:"tag",get:function(){for(var e=0;e<this.props.length;++e){var t=this.getPropValue(e,C.TAG,!1);if(null!=t){if("<"===t[1])return{verbatim:t.slice(2,-1)};var n=y(t.match(/^(.*!)([^!]*)$/),3);return n[0],{handle:n[1],suffix:n[2]}}}return null}},{key:"valueRangeContainsNewline",get:function(){if(!this.valueRange||!this.context)return!1;for(var e=this.valueRange,t=e.start,n=e.end,r=this.context.src,i=t;i<n;++i)if("\n"===r[i])return!0;return!1}},{key:"parseComment",value:function(t){var n=this.context.src;if(n[t]===C.COMMENT){var r=e.endOfLine(n,t+1),i=new R(t,r);return this.props.push(i),r}return t}},{key:"setOrigRanges",value:function(e,t){return this.range&&(t=this.range.setOrigRange(e,t)),this.valueRange&&this.valueRange.setOrigRange(e,t),this.props.forEach((function(n){return n.setOrigRange(e,t)})),t}},{key:"toString",value:function(){var t=this.context.src,n=this.range,r=this.value;if(null!=r)return r;var i=t.slice(n.start,n.end);return e.addStringTerminator(t,n.end,i)}}],[{key:"addStringTerminator",value:function(t,n,r){if("\n"===r[r.length-1])return r;var i=e.endOfWhiteSpace(t,n);return i>=t.length||"\n"===t[i]?r+"\n":r}},{key:"atDocumentBoundary",value:function(e,t,n){var r=e[t];if(!r)return!0;var i=e[t-1];if(i&&"\n"!==i)return!1;if(n){if(r!==n)return!1}else if(r!==C.DIRECTIVES_END&&r!==C.DOCUMENT_END)return!1;var o=e[t+1],a=e[t+2];if(o!==r||a!==r)return!1;var s=e[t+3];return!s||"\n"===s||"\t"===s||" "===s}},{key:"endOfIdentifier",value:function(e,t){for(var n=e[t],r="<"===n,i=r?["\n","\t"," ",">"]:["\n","\t"," ","[","]","{","}",","];n&&-1===i.indexOf(n);)n=e[t+=1];return r&&">"===n&&(t+=1),t}},{key:"endOfIndent",value:function(e,t){for(var n=e[t];" "===n;)n=e[t+=1];return t}},{key:"endOfLine",value:function(e,t){for(var n=e[t];n&&"\n"!==n;)n=e[t+=1];return t}},{key:"endOfWhiteSpace",value:function(e,t){for(var n=e[t];"\t"===n||" "===n;)n=e[t+=1];return t}},{key:"startOfLine",value:function(e,t){var n=e[t-1];if("\n"===n)return t;for(;n&&"\n"!==n;)n=e[t-=1];return t+1}},{key:"endOfBlockIndent",value:function(t,n,r){var i=e.endOfIndent(t,r);if(i>r+n)return i;var o=e.endOfWhiteSpace(t,i),a=t[o];return a&&"\n"!==a?null:o}},{key:"atBlank",value:function(e,t,n){var r=e[t];return"\n"===r||"\t"===r||" "===r||n&&!r}},{key:"nextNodeIsIndented",value:function(e,t,n){return!(!e||t<0)&&(t>0||n&&"-"===e)}},{key:"normalizeOffset",value:function(t,n){var r=t[n];return r?"\n"!==r&&"\n"===t[n-1]?n-1:e.endOfWhiteSpace(t,n):n}},{key:"foldNewline",value:function(t,n,r){for(var i=0,o=!1,a="",s=t[n+1];" "===s||"\t"===s||"\n"===s;){switch(s){case"\n":i=0,n+=1,a+="\n";break;case"\t":i<=r&&(o=!0),n=e.endOfWhiteSpace(t,n+2)-1;break;case" ":i+=1,n+=1}s=t[n+1]}return a||(a=" "),s&&i<=r&&(o=!0),{fold:a,offset:n,error:o}}}]),e}(),M=function(e){l(n,e);var t=g(n);function n(e,r,o){var a;if(i(this,n),!(o&&r instanceof I))throw new Error("Invalid arguments for new ".concat(e));return(a=t.call(this)).name=e,a.message=o,a.source=r,a}return a(n,[{key:"makePretty",value:function(){if(this.source){this.nodeType=this.source.type;var e=this.source.context&&this.source.context.root;if("number"==typeof this.offset){this.range=new R(this.offset,this.offset+1);var t=e&&D(this.offset,e);if(t){var n={line:t.line,col:t.col+1};this.linePos={start:t,end:n}}delete this.offset}else this.range=this.source.range,this.linePos=this.source.rangeAsLinePos;if(this.linePos){var r=this.linePos.start,i=r.line,o=r.col;this.message+=" at line ".concat(i,", column ").concat(o);var a=e&&function(e,t){var n=e.start,r=e.end,i=arguments.length>2&&void 0!==arguments[2]?arguments[2]:80,o=function(e,t){var n=P(t),r=n.lineStarts,i=n.src;if(!r||!(e>=1)||e>r.length)return null;for(var o=r[e-1],a=r[e];a&&a>o&&"\n"===i[a-1];)--a;return i.slice(o,a)}(n.line,t);if(!o)return null;var a=n.col;if(o.length>i)if(a<=i-10)o=o.substr(0,i-1)+"…";else{var s=Math.round(i/2);o.length>a+s&&(o=o.substr(0,a+s-1)+"…"),a-=o.length-i,o="…"+o.substr(1-i)}var l=1,u="";r&&(r.line===n.line&&a+(r.col-n.col)<=i+1?l=r.col-n.col:(l=Math.min(o.length+1,i)-a,u="…"));var c=a>1?" ".repeat(a-1):"",d="^".repeat(l);return"".concat(o,"\n").concat(c).concat(d).concat(u)}(this.linePos,e);a&&(this.message+=":\n\n".concat(a,"\n"))}delete this.source}}}]),n}(f(Error)),L=function(e){l(n,e);var t=g(n);function n(e,r){return i(this,n),t.call(this,"YAMLReferenceError",e,r)}return n}(M),N=function(e){l(n,e);var t=g(n);function n(e,r){return i(this,n),t.call(this,"YAMLSemanticError",e,r)}return n}(M),j=function(e){l(n,e);var t=g(n);function n(e,r){return i(this,n),t.call(this,"YAMLSyntaxError",e,r)}return n}(M),F=function(e){l(n,e);var t=g(n);function n(e,r){return i(this,n),t.call(this,"YAMLWarning",e,r)}return n}(M),B=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.apply(this,arguments)}return a(n,[{key:"strValue",get:function(){if(!this.valueRange||!this.context)return null;for(var e=this.valueRange,t=e.start,n=e.end,r=this.context.src,i=r[n-1];t<n&&("\n"===i||"\t"===i||" "===i);)i=r[--n-1];for(var o="",a=t;a<n;++a){var s=r[a];if("\n"===s){var l=I.foldNewline(r,a,-1);o+=l.fold,a=l.offset}else if(" "===s||"\t"===s){for(var u=a,c=r[a+1];a<n&&(" "===c||"\t"===c);)c=r[(a+=1)+1];"\n"!==c&&(o+=a>u?r.slice(u,a+1):s)}else o+=s}var d=r[t];switch(d){case"\t":return{errors:[new N(this,"Plain value cannot start with a tab character")],str:o};case"@":case"`":var p="Plain value cannot start with reserved character ".concat(d);return{errors:[new N(this,p)],str:o};default:return o}}},{key:"parseBlockValue",value:function(e){for(var t=this.context,r=t.indent,i=t.inFlow,o=t.src,a=e,s=e,l=o[a];"\n"===l&&!I.atDocumentBoundary(o,a+1);l=o[a]){var u=I.endOfBlockIndent(o,r,a+1);if(null===u||"#"===o[u])break;a="\n"===o[u]?u:s=n.endOfLine(o,u,i)}return this.valueRange.isEmpty()&&(this.valueRange.start=e),this.valueRange.end=s,s}},{key:"parse",value:function(e,t){this.context=e;var r=e.inFlow,i=e.src,o=t,a=i[o];return a&&"#"!==a&&"\n"!==a&&(o=n.endOfLine(i,t,r)),this.valueRange=new R(t,o),o=I.endOfWhiteSpace(i,o),o=this.parseComment(o),this.hasComment&&!this.valueRange.isEmpty()||(o=this.parseBlockValue(o)),o}}],[{key:"endOfLine",value:function(e,t,n){for(var r=e[t],i=t;r&&"\n"!==r&&(!n||"["!==r&&"]"!==r&&"{"!==r&&"}"!==r&&","!==r);){var o=e[i+1];if(":"===r&&(!o||"\n"===o||"\t"===o||" "===o||n&&","===o))break;if((" "===r||"\t"===r)&&"#"===o)break;i+=1,r=o}return i}}]),n}(I),z=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.call(this,S.BLANK_LINE)}return a(n,[{key:"includesTrailingLines",get:function(){return!0}},{key:"parse",value:function(e,t){return this.context=e,this.range=new R(t,t+1),t+1}}]),n}(I),U=function(e){l(n,e);var t=g(n);function n(e,r){var o;return i(this,n),(o=t.call(this,e,r)).node=null,o}return a(n,[{key:"includesTrailingLines",get:function(){return!!this.node&&this.node.includesTrailingLines}},{key:"parse",value:function(e,t){this.context=e;var n=e.parseNode,r=e.src,i=e.atLineStart,o=e.lineStart;i||this.type!==S.SEQ_ITEM||(this.error=new N(this,"Sequence items must not have preceding content on the same line"));for(var a=i?t-o:e.indent,s=I.endOfWhiteSpace(r,t+1),l=r[s],u="#"===l,c=[],d=null;"\n"===l||"#"===l;){if("#"===l){var p=I.endOfLine(r,s+1);c.push(new R(s,p)),s=p}else i=!0,o=s+1,"\n"===r[I.endOfWhiteSpace(r,o)]&&0===c.length&&(o=(d=new z).parse({src:r},o)),s=I.endOfIndent(r,o);l=r[s]}if(I.nextNodeIsIndented(l,s-(o+a),this.type!==S.SEQ_ITEM)?this.node=n({atLineStart:i,inCollection:!1,indent:a,lineStart:o,parent:this},s):l&&o>t+1&&(s=o-1),this.node){if(d){var f=e.parent.items||e.parent.contents;f&&f.push(d)}c.length&&Array.prototype.push.apply(this.props,c),s=this.node.range.end}else if(u){var h=c[0];this.props.push(h),s=h.end}else s=I.endOfLine(r,t+1);var m=this.node?this.node.valueRange.end:s;return this.valueRange=new R(t,m),s}},{key:"setOrigRanges",value:function(e,t){return t=v(u(n.prototype),"setOrigRanges",this).call(this,e,t),this.node?this.node.setOrigRanges(e,t):t}},{key:"toString",value:function(){var e=this.context.src,t=this.node,n=this.range,r=this.value;if(null!=r)return r;var i=t?e.slice(n.start,t.range.start)+String(t):e.slice(n.start,n.end);return I.addStringTerminator(e,n.end,i)}}]),n}(I),q=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.call(this,S.COMMENT)}return a(n,[{key:"parse",value:function(e,t){this.context=e;var n=this.parseComment(t);return this.range=new R(t,n),n}}]),n}(I);function $(e){for(var t=e;t instanceof U;)t=t.node;if(!(t instanceof W))return null;for(var n=t.items.length,r=-1,i=n-1;i>=0;--i){var o=t.items[i];if(o.type===S.COMMENT){var a=o.context,s=a.indent,l=a.lineStart;if(s>0&&o.range.start>=l+s)break;r=i}else{if(o.type!==S.BLANK_LINE)break;r=i}}if(-1===r)return null;for(var u=t.items.splice(r,n-r),c=u[0].range.start;t.range.end=c,t.valueRange&&t.valueRange.end>c&&(t.valueRange.end=c),t!==e;)t=t.context.parent;return u}var W=function(e){l(n,e);var t=g(n);function n(e){var r;i(this,n),r=t.call(this,e.type===S.SEQ_ITEM?S.SEQ:S.MAP);for(var o=e.props.length-1;o>=0;--o)if(e.props[o].start<e.context.lineStart){r.props=e.props.slice(0,o+1),e.props=e.props.slice(o+1);var a=e.props[0]||e.valueRange;e.range.start=a.start;break}r.items=[e];var s=$(e);return s&&Array.prototype.push.apply(r.items,s),r}return a(n,[{key:"includesTrailingLines",get:function(){return this.items.length>0}},{key:"parse",value:function(e,t){this.context=e;var r=e.parseNode,i=e.src,o=I.startOfLine(i,t),a=this.items[0];a.context.parent=this,this.valueRange=R.copy(a.valueRange);for(var s=a.range.start-a.context.lineStart,l=t,u=i[l=I.normalizeOffset(i,l)],c=I.endOfWhiteSpace(i,o)===l,d=!1;u;){for(;"\n"===u||"#"===u;){if(c&&"\n"===u&&!d){var p=new z;if(l=p.parse({src:i},l),this.valueRange.end=l,l>=i.length){u=null;break}this.items.push(p),l-=1}else if("#"===u){if(l<o+s&&!n.nextContentHasIndent(i,l,s))return l;var f=new q;if(l=f.parse({indent:s,lineStart:o,src:i},l),this.items.push(f),this.valueRange.end=l,l>=i.length){u=null;break}}if(o=l+1,l=I.endOfIndent(i,o),I.atBlank(i,l)){var h=I.endOfWhiteSpace(i,l),m=i[h];m&&"\n"!==m&&"#"!==m||(l=h)}u=i[l],c=!0}if(!u)break;if(l!==o+s&&(c||":"!==u)){if(l<o+s){o>t&&(l=o);break}this.error||(this.error=new j(this,"All collection items must start at the same column"))}if(a.type===S.SEQ_ITEM){if("-"!==u){o>t&&(l=o);break}}else if("-"===u&&!this.error){var g=i[l+1];g&&"\n"!==g&&"\t"!==g&&" "!==g||(this.error=new j(this,"A collection cannot be both a mapping and a sequence"))}var v=r({atLineStart:c,inCollection:!0,indent:s,lineStart:o,parent:this},l);if(!v)return l;if(this.items.push(v),this.valueRange.end=v.valueRange.end,u=i[l=I.normalizeOffset(i,v.range.end)],c=!1,d=v.includesTrailingLines,u){for(var y=l-1,b=i[y];" "===b||"\t"===b;)b=i[--y];"\n"===b&&(o=y+1,c=!0)}var w=$(v);w&&Array.prototype.push.apply(this.items,w)}return l}},{key:"setOrigRanges",value:function(e,t){return t=v(u(n.prototype),"setOrigRanges",this).call(this,e,t),this.items.forEach((function(n){t=n.setOrigRanges(e,t)})),t}},{key:"toString",value:function(){var e=this.context.src,t=this.items,n=this.range,r=this.value;if(null!=r)return r;for(var i=e.slice(n.start,t[0].range.start)+String(t[0]),o=1;o<t.length;++o){var a=t[o],s=a.context,l=s.atLineStart,u=s.indent;if(l)for(var c=0;c<u;++c)i+=" ";i+=String(a)}return I.addStringTerminator(e,n.end,i)}}],[{key:"nextContentHasIndent",value:function(e,t,r){var i=I.endOfLine(e,t)+1,o=e[t=I.endOfWhiteSpace(e,i)];return!!o&&(t>=i+r||("#"===o||"\n"===o)&&n.nextContentHasIndent(e,t,r))}}]),n}(I),H=function(e){l(n,e);var t=g(n);function n(){var e;return i(this,n),(e=t.call(this,S.DIRECTIVE)).name=null,e}return a(n,[{key:"parameters",get:function(){var e=this.rawValue;return e?e.trim().split(/[ \t]+/):[]}},{key:"parseName",value:function(e){for(var t=this.context.src,n=e,r=t[n];r&&"\n"!==r&&"\t"!==r&&" "!==r;)r=t[n+=1];return this.name=t.slice(e,n),n}},{key:"parseParameters",value:function(e){for(var t=this.context.src,n=e,r=t[n];r&&"\n"!==r&&"#"!==r;)r=t[n+=1];return this.valueRange=new R(e,n),n}},{key:"parse",value:function(e,t){this.context=e;var n=this.parseName(t+1);return n=this.parseParameters(n),n=this.parseComment(n),this.range=new R(t,n),n}}]),n}(I),V=function(e){l(n,e);var t=g(n);function n(){var e;return i(this,n),(e=t.call(this,S.DOCUMENT)).directives=null,e.contents=null,e.directivesEndMarker=null,e.documentEndMarker=null,e}return a(n,[{key:"parseDirectives",value:function(e){var t=this.context.src;this.directives=[];for(var r=!0,i=!1,o=e;!I.atDocumentBoundary(t,o,C.DIRECTIVES_END);)switch(t[o=n.startCommentOrEndBlankLine(t,o)]){case"\n":if(r){var a=new z;(o=a.parse({src:t},o))<t.length&&this.directives.push(a)}else o+=1,r=!0;break;case"#":var s=new q;o=s.parse({src:t},o),this.directives.push(s),r=!1;break;case"%":var l=new H;o=l.parse({parent:this,src:t},o),this.directives.push(l),i=!0,r=!1;break;default:return i?this.error=new N(this,"Missing directives-end indicator line"):this.directives.length>0&&(this.contents=this.directives,this.directives=[]),o}return t[o]?(this.directivesEndMarker=new R(o,o+3),o+3):(i?this.error=new N(this,"Missing directives-end indicator line"):this.directives.length>0&&(this.contents=this.directives,this.directives=[]),o)}},{key:"parseContents",value:function(e){var t=this.context,r=t.parseNode,i=t.src;this.contents||(this.contents=[]);for(var o=e;"-"===i[o-1];)o-=1;var a=I.endOfWhiteSpace(i,e),s=o===e;for(this.valueRange=new R(a);!I.atDocumentBoundary(i,a,C.DOCUMENT_END);){switch(i[a]){case"\n":if(s){var l=new z;(a=l.parse({src:i},a))<i.length&&this.contents.push(l)}else a+=1,s=!0;o=a;break;case"#":var u=new q;a=u.parse({src:i},a),this.contents.push(u),s=!1;break;default:var c=I.endOfIndent(i,a),d=r({atLineStart:s,indent:-1,inFlow:!1,inCollection:!1,lineStart:o,parent:this},c);if(!d)return this.valueRange.end=c;this.contents.push(d),a=d.range.end,s=!1;var p=$(d);p&&Array.prototype.push.apply(this.contents,p)}a=n.startCommentOrEndBlankLine(i,a)}if(this.valueRange.end=a,i[a]&&(this.documentEndMarker=new R(a,a+3),i[a+=3])){if("#"===i[a=I.endOfWhiteSpace(i,a)]){var f=new q;a=f.parse({src:i},a),this.contents.push(f)}switch(i[a]){case"\n":a+=1;break;case void 0:break;default:this.error=new j(this,"Document end marker line cannot have a non-comment suffix")}}return a}},{key:"parse",value:function(e,t){e.root=this,this.context=e;var n=65279===e.src.charCodeAt(t)?t+1:t;return n=this.parseDirectives(n),this.parseContents(n)}},{key:"setOrigRanges",value:function(e,t){return t=v(u(n.prototype),"setOrigRanges",this).call(this,e,t),this.directives.forEach((function(n){t=n.setOrigRanges(e,t)})),this.directivesEndMarker&&(t=this.directivesEndMarker.setOrigRange(e,t)),this.contents.forEach((function(n){t=n.setOrigRanges(e,t)})),this.documentEndMarker&&(t=this.documentEndMarker.setOrigRange(e,t)),t}},{key:"toString",value:function(){var e=this.contents,t=this.directives,n=this.value;if(null!=n)return n;var r=t.join("");return e.length>0&&((t.length>0||e[0].type===S.COMMENT)&&(r+="---\n"),r+=e.join("")),"\n"!==r[r.length-1]&&(r+="\n"),r}}],[{key:"startCommentOrEndBlankLine",value:function(e,t){var n=I.endOfWhiteSpace(e,t),r=e[n];return"#"===r||"\n"===r?n:t}}]),n}(I),G=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.apply(this,arguments)}return a(n,[{key:"parse",value:function(e,t){this.context=e;var n=e.src,r=I.endOfIdentifier(n,t+1);return this.valueRange=new R(t+1,r),r=I.endOfWhiteSpace(n,r),this.parseComment(r)}}]),n}(I),K="KEEP",Y="STRIP",Z=function(e){l(n,e);var t=g(n);function n(e,r){var o;return i(this,n),(o=t.call(this,e,r)).blockIndent=null,o.chomping="CLIP",o.header=null,o}return a(n,[{key:"includesTrailingLines",get:function(){return this.chomping===K}},{key:"strValue",get:function(){if(!this.valueRange||!this.context)return null;var e=this.valueRange,t=e.start,n=e.end,r=this.context,i=r.indent,o=r.src;if(this.valueRange.isEmpty())return"";for(var a=null,s=o[n-1];"\n"===s||"\t"===s||" "===s;){if((n-=1)<=t){if(this.chomping===K)break;return""}"\n"===s&&(a=n),s=o[n-1]}var l=n+1;a&&(this.chomping===K?(l=a,n=this.valueRange.end):n=a);for(var u=i+this.blockIndent,c=this.type===S.BLOCK_FOLDED,d=!0,p="",f="",h=!1,m=t;m<n;++m){for(var g=0;g<u&&" "===o[m];++g)m+=1;var v=o[m];if("\n"===v)"\n"===f?p+="\n":f="\n";else{var y=I.endOfLine(o,m),b=o.slice(m,y);m=y,c&&(" "===v||"\t"===v)&&m<l?(" "===f?f="\n":h||d||"\n"!==f||(f="\n\n"),p+=f+b,f=y<n&&o[y]||"",h=!0):(p+=f+b,f=c&&m<l?" ":"\n",h=!1),d&&""!==b&&(d=!1)}}return this.chomping===Y?p:p+"\n"}},{key:"parseBlockHeader",value:function(e){for(var t=this.context.src,n=e+1,r="";;){var i=t[n];switch(i){case"-":this.chomping=Y;break;case"+":this.chomping=K;break;case"0":case"1":case"2":case"3":case"4":case"5":case"6":case"7":case"8":case"9":r+=i;break;default:return this.blockIndent=Number(r)||null,this.header=new R(e,n),n}n+=1}}},{key:"parseBlockValue",value:function(e){for(var t=this.context,n=t.indent,r=t.src,i=!!this.blockIndent,o=e,a=e,s=1,l=r[o];"\n"===l&&(o+=1,!I.atDocumentBoundary(r,o));l=r[o]){var u=I.endOfBlockIndent(r,n,o);if(null===u)break;var c=r[u],d=u-(o+n);if(this.blockIndent){if(c&&"\n"!==c&&d<this.blockIndent){if("#"===r[u])break;if(!this.error){var p="Block scalars must not be less indented than their ".concat(i?"explicit indentation indicator":"first line");this.error=new N(this,p)}}}else"\n"!==r[u]?(d<s&&(this.error=new N(this,"Block scalars with more-indented leading empty lines must use an explicit indentation indicator")),this.blockIndent=d):d>s&&(s=d);o="\n"===r[u]?u:a=I.endOfLine(r,u)}return this.chomping!==K&&(o=r[a]?a+1:a),this.valueRange=new R(e+1,o),o}},{key:"parse",value:function(e,t){this.context=e;var n=e.src,r=this.parseBlockHeader(t);return r=I.endOfWhiteSpace(n,r),r=this.parseComment(r),this.parseBlockValue(r)}},{key:"setOrigRanges",value:function(e,t){return t=v(u(n.prototype),"setOrigRanges",this).call(this,e,t),this.header?this.header.setOrigRange(e,t):t}}]),n}(I),X=function(e){l(n,e);var t=g(n);function n(e,r){var o;return i(this,n),(o=t.call(this,e,r)).items=null,o}return a(n,[{key:"prevNodeIsJsonLike",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:this.items.length,t=this.items[e-1];return!!t&&(t.jsonLike||t.type===S.COMMENT&&this.prevNodeIsJsonLike(e-1))}},{key:"parse",value:function(e,t){this.context=e;var n=e.parseNode,r=e.src,i=e.indent,o=e.lineStart,a=r[t];this.items=[{char:a,offset:t}];var s=I.endOfWhiteSpace(r,t+1);for(a=r[s];a&&"]"!==a&&"}"!==a;){switch(a){case"\n":if(o=s+1,"\n"===r[I.endOfWhiteSpace(r,o)]){var l=new z;o=l.parse({src:r},o),this.items.push(l)}(s=I.endOfIndent(r,o))<=o+i&&(a=r[s],s<o+i||"]"!==a&&"}"!==a)&&(this.error=new N(this,"Insufficient indentation in flow collection"));break;case",":this.items.push({char:a,offset:s}),s+=1;break;case"#":var u=new q;s=u.parse({src:r},s),this.items.push(u);break;case"?":case":":var c=r[s+1];if("\n"===c||"\t"===c||" "===c||","===c||":"===a&&this.prevNodeIsJsonLike()){this.items.push({char:a,offset:s}),s+=1;break}default:var d=n({atLineStart:!1,inCollection:!1,inFlow:!0,indent:-1,lineStart:o,parent:this},s);if(!d)return this.valueRange=new R(t,s),s;this.items.push(d),s=I.normalizeOffset(r,d.range.end)}a=r[s=I.endOfWhiteSpace(r,s)]}return this.valueRange=new R(t,s+1),a&&(this.items.push({char:a,offset:s}),s=I.endOfWhiteSpace(r,s+1),s=this.parseComment(s)),s}},{key:"setOrigRanges",value:function(e,t){return t=v(u(n.prototype),"setOrigRanges",this).call(this,e,t),this.items.forEach((function(n){if(n instanceof I)t=n.setOrigRanges(e,t);else if(0===e.length)n.origOffset=n.offset;else{for(var r=t;r<e.length&&!(e[r]>n.offset);)++r;n.origOffset=n.offset+r,t=r}})),t}},{key:"toString",value:function(){var e=this.context.src,t=this.items,n=this.range,r=this.value;if(null!=r)return r;var i=t.filter((function(e){return e instanceof I})),o="",a=n.start;return i.forEach((function(t){var n=e.slice(a,t.range.start);a=t.range.end,"\n"===(o+=n+String(t))[o.length-1]&&"\n"!==e[a-1]&&"\n"===e[a]&&(a+=1)})),o+=e.slice(a,n.end),I.addStringTerminator(e,n.end,o)}}]),n}(I),Q=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.apply(this,arguments)}return a(n,[{key:"strValue",get:function(){if(!this.valueRange||!this.context)return null;var e=[],t=this.valueRange,n=t.start,r=t.end,i=this.context,o=i.indent,a=i.src;'"'!==a[r-1]&&e.push(new j(this,'Missing closing "quote'));for(var s="",l=n+1;l<r-1;++l){var u=a[l];if("\n"===u){I.atDocumentBoundary(a,l+1)&&e.push(new N(this,"Document boundary indicators are not allowed within string values"));var c=I.foldNewline(a,l,o);s+=c.fold,l=c.offset,c.error&&e.push(new N(this,"Multi-line double-quoted string needs to be sufficiently indented"))}else if("\\"===u)switch(a[l+=1]){case"0":s+="\0";break;case"a":s+="";break;case"b":s+="\b";break;case"e":s+="";break;case"f":s+="\f";break;case"n":s+="\n";break;case"r":s+="\r";break;case"t":case"\t":s+="\t";break;case"v":s+="\v";break;case"N":s+="…";break;case"_":s+=" ";break;case"L":s+="\u2028";break;case"P":s+="\u2029";break;case" ":s+=" ";break;case'"':s+='"';break;case"/":s+="/";break;case"\\":s+="\\";break;case"x":s+=this.parseCharCode(l+1,2,e),l+=2;break;case"u":s+=this.parseCharCode(l+1,4,e),l+=4;break;case"U":s+=this.parseCharCode(l+1,8,e),l+=8;break;case"\n":for(;" "===a[l+1]||"\t"===a[l+1];)l+=1;break;default:e.push(new j(this,"Invalid escape sequence ".concat(a.substr(l-1,2)))),s+="\\"+a[l]}else if(" "===u||"\t"===u){for(var d=l,p=a[l+1];" "===p||"\t"===p;)p=a[(l+=1)+1];"\n"!==p&&(s+=l>d?a.slice(d,l+1):u)}else s+=u}return e.length>0?{errors:e,str:s}:s}},{key:"parseCharCode",value:function(e,t,n){var r=this.context.src,i=r.substr(e,t),o=i.length===t&&/^[0-9a-fA-F]+$/.test(i)?parseInt(i,16):NaN;return isNaN(o)?(n.push(new j(this,"Invalid escape sequence ".concat(r.substr(e-2,t+2)))),r.substr(e-2,t+2)):String.fromCodePoint(o)}},{key:"parse",value:function(e,t){this.context=e;var r=e.src,i=n.endOfQuote(r,t+1);return this.valueRange=new R(t,i),i=I.endOfWhiteSpace(r,i),this.parseComment(i)}}],[{key:"endOfQuote",value:function(e,t){for(var n=e[t];n&&'"'!==n;)n=e[t+="\\"===n?2:1];return t+1}}]),n}(I),J=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.apply(this,arguments)}return a(n,[{key:"strValue",get:function(){if(!this.valueRange||!this.context)return null;var e=[],t=this.valueRange,n=t.start,r=t.end,i=this.context,o=i.indent,a=i.src;"'"!==a[r-1]&&e.push(new j(this,"Missing closing 'quote"));for(var s="",l=n+1;l<r-1;++l){var u=a[l];if("\n"===u){I.atDocumentBoundary(a,l+1)&&e.push(new N(this,"Document boundary indicators are not allowed within string values"));var c=I.foldNewline(a,l,o);s+=c.fold,l=c.offset,c.error&&e.push(new N(this,"Multi-line single-quoted string needs to be sufficiently indented"))}else if("'"===u)s+=u,"'"!==a[l+=1]&&e.push(new j(this,"Unescaped single quote? This should not happen."));else if(" "===u||"\t"===u){for(var d=l,p=a[l+1];" "===p||"\t"===p;)p=a[(l+=1)+1];"\n"!==p&&(s+=l>d?a.slice(d,l+1):u)}else s+=u}return e.length>0?{errors:e,str:s}:s}},{key:"parse",value:function(e,t){this.context=e;var r=e.src,i=n.endOfQuote(r,t+1);return this.valueRange=new R(t,i),i=I.endOfWhiteSpace(r,i),this.parseComment(i)}}],[{key:"endOfQuote",value:function(e,t){for(var n=e[t];n;)if("'"===n){if("'"!==e[t+1])break;n=e[t+=2]}else n=e[t+=1];return t+1}}]),n}(I),ee=function(){function e(){var t=this,n=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},r=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},o=r.atLineStart,a=r.inCollection,l=r.inFlow,u=r.indent,c=r.lineStart,d=r.parent;i(this,e),s(this,"parseNode",(function(n,r){if(I.atDocumentBoundary(t.src,r))return null;var i=new e(t,n),o=i.parseProps(r),a=o.props,s=o.type,l=o.valueStart,u=function(e,t){switch(e){case S.ALIAS:return new G(e,t);case S.BLOCK_FOLDED:case S.BLOCK_LITERAL:return new Z(e,t);case S.FLOW_MAP:case S.FLOW_SEQ:return new X(e,t);case S.MAP_KEY:case S.MAP_VALUE:case S.SEQ_ITEM:return new U(e,t);case S.COMMENT:case S.PLAIN:return new B(e,t);case S.QUOTE_DOUBLE:return new Q(e,t);case S.QUOTE_SINGLE:return new J(e,t);default:return null}}(s,a),c=u.parse(i,l);if(u.range=new R(r,c),c<=r&&(u.error=new Error("Node#parse consumed no characters"),u.error.parseEnd=c,u.error.source=u,u.range.end=r+1),i.nodeStartsCollection(u)){u.error||i.atLineStart||i.parent.type!==S.DOCUMENT||(u.error=new j(u,"Block collection must not have preceding content here (e.g. directives-end indicator)"));var d=new W(u);return c=d.parse(new e(i),c),d.range=new R(r,c),d}return u})),this.atLineStart=null!=o?o:n.atLineStart||!1,this.inCollection=null!=a?a:n.inCollection||!1,this.inFlow=null!=l?l:n.inFlow||!1,this.indent=null!=u?u:n.indent,this.lineStart=null!=c?c:n.lineStart,this.parent=null!=d?d:n.parent||{},this.root=n.root,this.src=n.src}return a(e,[{key:"nodeStartsCollection",value:function(e){var t=this.inCollection,n=this.inFlow,r=this.src;if(t||n)return!1;if(e instanceof U)return!0;var i=e.range.end;return"\n"!==r[i]&&"\n"!==r[i-1]&&":"===r[i=I.endOfWhiteSpace(r,i)]}},{key:"parseProps",value:function(t){for(var n=this.inFlow,r=this.parent,i=this.src,o=[],a=!1,s=i[t=this.atLineStart?I.endOfIndent(i,t):I.endOfWhiteSpace(i,t)];s===C.ANCHOR||s===C.COMMENT||s===C.TAG||"\n"===s;){if("\n"===s){var l=t,u=void 0;do{u=l+1,l=I.endOfIndent(i,u)}while("\n"===i[l]);var c=l-(u+this.indent),d=r.type===S.SEQ_ITEM&&r.context.atLineStart;if("#"!==i[l]&&!I.nextNodeIsIndented(i[l],c,!d))break;this.atLineStart=!0,this.lineStart=u,a=!1,t=l}else if(s===C.COMMENT){var p=I.endOfLine(i,t+1);o.push(new R(t,p)),t=p}else{var f=I.endOfIdentifier(i,t+1);s===C.TAG&&","===i[f]&&/^[a-zA-Z0-9-]+\.[a-zA-Z0-9-]+,\d\d\d\d(-\d\d){0,2}\/\S/.test(i.slice(t+1,f+13))&&(f=I.endOfIdentifier(i,f+5)),o.push(new R(t,f)),a=!0,t=I.endOfWhiteSpace(i,f)}s=i[t]}return a&&":"===s&&I.atBlank(i,t+1,!0)&&(t-=1),{props:o,type:e.parseType(i,t,n),valueStart:t}}}],[{key:"parseType",value:function(e,t,n){switch(e[t]){case"*":return S.ALIAS;case">":return S.BLOCK_FOLDED;case"|":return S.BLOCK_LITERAL;case"{":return S.FLOW_MAP;case"[":return S.FLOW_SEQ;case"?":return!n&&I.atBlank(e,t+1,!0)?S.MAP_KEY:S.PLAIN;case":":return!n&&I.atBlank(e,t+1,!0)?S.MAP_VALUE:S.PLAIN;case"-":return!n&&I.atBlank(e,t+1,!0)?S.SEQ_ITEM:S.PLAIN;case'"':return S.QUOTE_DOUBLE;case"'":return S.QUOTE_SINGLE;default:return S.PLAIN}}}]),e}();function te(e){var t=[];-1!==e.indexOf("\r")&&(e=e.replace(/\r\n?/g,(function(e,n){return e.length>1&&t.push(n),"\n"})));var n=[],r=0;do{var i=new V,o=new ee({src:e});r=i.parse(o,r),n.push(i)}while(r<e.length);return n.setOrigRanges=function(){if(0===t.length)return!1;for(var e=1;e<t.length;++e)t[e]-=e;for(var r=0,i=0;i<n.length;++i)r=n[i].setOrigRanges(t,r);return t.splice(0,t.length),!0},n.toString=function(){return n.join("...\n")},n}function ne(e,t,n){return n?-1===n.indexOf("\n")?"".concat(e," #").concat(n):"".concat(e,"\n")+n.replace(/^/gm,"".concat(t||"","#")):e}var re=function e(){i(this,e)};function ie(e,t,n){if(Array.isArray(e))return e.map((function(e,t){return ie(e,String(t),n)}));if(e&&"function"==typeof e.toJSON){var r=n&&n.anchors&&n.anchors.get(e);r&&(n.onCreate=function(e){r.res=e,delete n.onCreate});var i=e.toJSON(t,n);return r&&n.onCreate&&n.onCreate(i),i}return n&&n.keep||"bigint"!=typeof e?e:Number(e)}var oe=function(e){l(n,e);var t=g(n);function n(e){var r;return i(this,n),(r=t.call(this)).value=e,r}return a(n,[{key:"toJSON",value:function(e,t){return t&&t.keep?this.value:ie(this.value,e,t)}},{key:"toString",value:function(){return String(this.value)}}]),n}(re);function ae(e,t,n){for(var r=n,i=t.length-1;i>=0;--i){var o=t[i];if(Number.isInteger(o)&&o>=0){var a=[];a[o]=r,r=a}else{var s={};Object.defineProperty(s,o,{value:r,writable:!0,enumerable:!0,configurable:!0}),r=s}}return e.createNode(r,!1)}var se=function(e){return null==e||"object"===r(e)&&e[Symbol.iterator]().next().done},le=function(e){l(n,e);var t=g(n);function n(e){var r;return i(this,n),s(h(r=t.call(this)),"items",[]),r.schema=e,r}return a(n,[{key:"addIn",value:function(e,t){if(se(e))this.add(t);else{var r=b(e),i=r[0],o=r.slice(1),a=this.get(i,!0);if(a instanceof n)a.addIn(o,t);else{if(void 0!==a||!this.schema)throw new Error("Expected YAML collection at ".concat(i,". Remaining path: ").concat(o));this.set(i,ae(this.schema,o,t))}}}},{key:"deleteIn",value:function(e){var t=b(e),r=t[0],i=t.slice(1);if(0===i.length)return this.delete(r);var o=this.get(r,!0);if(o instanceof n)return o.deleteIn(i);throw new Error("Expected YAML collection at ".concat(r,". Remaining path: ").concat(i))}},{key:"getIn",value:function(e,t){var r=b(e),i=r[0],o=r.slice(1),a=this.get(i,!0);return 0===o.length?!t&&a instanceof oe?a.value:a:a instanceof n?a.getIn(o,t):void 0}},{key:"hasAllNullValues",value:function(){return this.items.every((function(e){if(!e||"PAIR"!==e.type)return!1;var t=e.value;return null==t||t instanceof oe&&null==t.value&&!t.commentBefore&&!t.comment&&!t.tag}))}},{key:"hasIn",value:function(e){var t=b(e),r=t[0],i=t.slice(1);if(0===i.length)return this.has(r);var o=this.get(r,!0);return o instanceof n&&o.hasIn(i)}},{key:"setIn",value:function(e,t){var r=b(e),i=r[0],o=r.slice(1);if(0===o.length)this.set(i,t);else{var a=this.get(i,!0);if(a instanceof n)a.setIn(o,t);else{if(void 0!==a||!this.schema)throw new Error("Expected YAML collection at ".concat(i,". Remaining path: ").concat(o));this.set(i,ae(this.schema,o,t))}}}},{key:"toJSON",value:function(){return null}},{key:"toString",value:function(e,t,r,i){var o=this,a=t.blockItem,s=t.flowChars,l=t.isMap,u=t.itemIndent,c=e,d=c.indent,p=c.indentStep,f=c.stringify,h=this.type===S.FLOW_MAP||this.type===S.FLOW_SEQ||e.inFlow;h&&(u+=p);var m=l&&this.hasAllNullValues();e=Object.assign({},e,{allNullValues:m,indent:u,inFlow:h,type:null});var g,v=!1,y=!1,b=this.items.reduce((function(t,n,r){var i;n&&(!v&&n.spaceBefore&&t.push({type:"comment",str:""}),n.commentBefore&&n.commentBefore.match(/^.*$/gm).forEach((function(e){t.push({type:"comment",str:"#".concat(e)})})),n.comment&&(i=n.comment),h&&(!v&&n.spaceBefore||n.commentBefore||n.comment||n.key&&(n.key.commentBefore||n.key.comment)||n.value&&(n.value.commentBefore||n.value.comment))&&(y=!0)),v=!1;var a=f(n,e,(function(){return i=null}),(function(){return v=!0}));return h&&!y&&a.includes("\n")&&(y=!0),h&&r<o.items.length-1&&(a+=","),a=ne(a,u,i),v&&(i||h)&&(v=!1),t.push({type:"item",str:a}),t}),[]);if(0===b.length)g=s.start+s.end;else if(h){var w=s.start,_=s.end,x=b.map((function(e){return e.str}));if(y||x.reduce((function(e,t){return e+t.length+2}),2)>n.maxFlowStringSingleLineLength){g=w;var k,C=E(x);try{for(C.s();!(k=C.n()).done;){var A=k.value;g+=A?"\n".concat(p).concat(d).concat(A):"\n"}}catch(e){C.e(e)}finally{C.f()}g+="\n".concat(d).concat(_)}else g="".concat(w," ").concat(x.join(" ")," ").concat(_)}else{var T=b.map(a);g=T.shift();var O,P=E(T);try{for(P.s();!(O=P.n()).done;){var D=O.value;g+=D?"\n".concat(d).concat(D):"\n"}}catch(e){P.e(e)}finally{P.f()}}return this.comment?(g+="\n"+this.comment.replace(/^/gm,"".concat(d,"#")),r&&r()):v&&i&&i(),g}}]),n}(re);function ue(e){var t=e instanceof oe?e.value:e;return t&&"string"==typeof t&&(t=Number(t)),Number.isInteger(t)&&t>=0?t:null}s(le,"maxFlowStringSingleLineLength",60);var ce=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.apply(this,arguments)}return a(n,[{key:"add",value:function(e){this.items.push(e)}},{key:"delete",value:function(e){var t=ue(e);return"number"==typeof t&&this.items.splice(t,1).length>0}},{key:"get",value:function(e,t){var n=ue(e);if("number"==typeof n){var r=this.items[n];return!t&&r instanceof oe?r.value:r}}},{key:"has",value:function(e){var t=ue(e);return"number"==typeof t&&t<this.items.length}},{key:"set",value:function(e,t){var n=ue(e);if("number"!=typeof n)throw new Error("Expected a valid index, not ".concat(e,"."));this.items[n]=t}},{key:"toJSON",value:function(e,t){var n=[];t&&t.onCreate&&t.onCreate(n);var r,i=0,o=E(this.items);try{for(o.s();!(r=o.n()).done;){var a=r.value;n.push(ie(a,String(i++),t))}}catch(e){o.e(e)}finally{o.f()}return n}},{key:"toString",value:function(e,t,r){return e?v(u(n.prototype),"toString",this).call(this,e,{blockItem:function(e){return"comment"===e.type?e.str:"- ".concat(e.str)},flowChars:{start:"[",end:"]"},isMap:!1,itemIndent:(e.indent||"")+" "},t,r):JSON.stringify(this)}}]),n}(le),de=function(e){l(n,e);var t=g(n);function n(e){var r,o=arguments.length>1&&void 0!==arguments[1]?arguments[1]:null;return i(this,n),(r=t.call(this)).key=e,r.value=o,r.type=n.Type.PAIR,r}return a(n,[{key:"commentBefore",get:function(){return this.key instanceof re?this.key.commentBefore:void 0},set:function(e){if(null==this.key&&(this.key=new oe(null)),!(this.key instanceof re))throw new Error("Pair.commentBefore is an alias for Pair.key.commentBefore. To set it, the key must be a Node.");this.key.commentBefore=e}},{key:"addToJSMap",value:function(e,t){var n=ie(this.key,"",e);if(t instanceof Map){var i=ie(this.value,n,e);t.set(n,i)}else if(t instanceof Set)t.add(n);else{var o=function(e,t,n){return null===t?"":"object"!==r(t)?String(t):e instanceof re&&n&&n.doc?e.toString({anchors:Object.create(null),doc:n.doc,indent:"",indentStep:n.indentStep,inFlow:!0,inStringifyKey:!0,stringify:n.stringify}):JSON.stringify(t)}(this.key,n,e),a=ie(this.value,o,e);o in t?Object.defineProperty(t,o,{value:a,writable:!0,enumerable:!0,configurable:!0}):t[o]=a}return t}},{key:"toJSON",value:function(e,t){var n=t&&t.mapAsMap?new Map:{};return this.addToJSMap(t,n)}},{key:"toString",value:function(e,t,n){if(!e||!e.doc)return JSON.stringify(this);var i=e.doc.options,o=i.indent,a=i.indentSeq,s=i.simpleKeys,l=this.key,u=this.value,c=l instanceof re&&l.comment;if(s){if(c)throw new Error("With simple keys, key nodes cannot have comments");if(l instanceof le)throw new Error("With simple keys, collection cannot be used as a key value")}var d=!s&&(!l||c||(l instanceof re?l instanceof le||l.type===S.BLOCK_FOLDED||l.type===S.BLOCK_LITERAL:"object"===r(l))),p=e,f=p.doc,h=p.indent,m=p.indentStep,g=p.stringify;e=Object.assign({},e,{implicitKey:!d,indent:h+m});var v=!1,y=g(l,e,(function(){return c=null}),(function(){return v=!0}));if(y=ne(y,e.indent,c),!d&&y.length>1024){if(s)throw new Error("With simple keys, single line scalar must not span more than 1024 characters");d=!0}if(e.allNullValues&&!s)return this.comment?(y=ne(y,e.indent,this.comment),t&&t()):v&&!c&&n&&n(),e.inFlow&&!d?y:"? ".concat(y);y=d?"? ".concat(y,"\n").concat(h,":"):"".concat(y,":"),this.comment&&(y=ne(y,e.indent,this.comment),t&&t());var b="",w=null;if(u instanceof re){if(u.spaceBefore&&(b="\n"),u.commentBefore){var _=u.commentBefore.replace(/^/gm,"".concat(e.indent,"#"));b+="\n".concat(_)}w=u.comment}else u&&"object"===r(u)&&(u=f.schema.createNode(u,!0));e.implicitKey=!1,!d&&!this.comment&&u instanceof oe&&(e.indentAtStart=y.length+1),v=!1,!a&&o>=2&&!e.inFlow&&!d&&u instanceof ce&&u.type!==S.FLOW_SEQ&&!u.tag&&!f.anchors.getName(u)&&(e.indent=e.indent.substr(2));var x=g(u,e,(function(){return w=null}),(function(){return v=!0})),k=" ";return b||this.comment?k="".concat(b,"\n").concat(e.indent):!d&&u instanceof le?("["===x[0]||"{"===x[0])&&!x.includes("\n")||(k="\n".concat(e.indent)):"\n"===x[0]&&(k=""),v&&!w&&n&&n(),ne(y+k+x,e.indent,w)}}]),n}(re);s(de,"Type",{PAIR:"PAIR",MERGE_PAIR:"MERGE_PAIR"});var pe=function e(t,n){if(t instanceof fe){var r=n.get(t.source);return r.count*r.aliasCount}if(t instanceof le){var i,o=0,a=E(t.items);try{for(a.s();!(i=a.n()).done;){var s=e(i.value,n);s>o&&(o=s)}}catch(e){a.e(e)}finally{a.f()}return o}if(t instanceof de){var l=e(t.key,n),u=e(t.value,n);return Math.max(l,u)}return 1},fe=function(e){l(n,e);var t=g(n);function n(e){var r;return i(this,n),(r=t.call(this)).source=e,r.type=S.ALIAS,r}return a(n,[{key:"tag",set:function(e){throw new Error("Alias nodes cannot have tags")}},{key:"toJSON",value:function(e,t){if(!t)return ie(this.source,e,t);var n=t.anchors,r=t.maxAliasCount,i=n.get(this.source);if(!i||void 0===i.res){var o="This should not happen: Alias anchor was not resolved?";throw this.cstNode?new L(this.cstNode,o):new ReferenceError(o)}if(r>=0&&(i.count+=1,0===i.aliasCount&&(i.aliasCount=pe(this.source,n)),i.count*i.aliasCount>r)){var a="Excessive alias count indicates a resource exhaustion attack";throw this.cstNode?new L(this.cstNode,a):new ReferenceError(a)}return i.res}},{key:"toString",value:function(e){return n.stringify(this,e)}}],[{key:"stringify",value:function(e,t){var n=e.range,r=e.source,i=t.anchors,o=t.doc,a=t.implicitKey,s=t.inStringifyKey,l=Object.keys(i).find((function(e){return i[e]===r}));if(!l&&s&&(l=o.anchors.getName(r)||o.anchors.newName()),l)return"*".concat(l).concat(a?" ":"");var u=o.anchors.getName(r)?"Alias node must be after source node":"Source node not found for alias node";throw new Error("".concat(u," [").concat(n,"]"))}}]),n}(re);function he(e,t){var n,r=t instanceof oe?t.value:t,i=E(e);try{for(i.s();!(n=i.n()).done;){var o=n.value;if(o instanceof de){if(o.key===t||o.key===r)return o;if(o.key&&o.key.value===r)return o}}}catch(e){i.e(e)}finally{i.f()}}s(fe,"default",!0);var me=function(e){l(n,e);var t=g(n);function n(){return i(this,n),t.apply(this,arguments)}return a(n,[{key:"add",value:function(e,t){e?e instanceof de||(e=new de(e.key||e,e.value)):e=new de(e);var n=he(this.items,e.key),r=this.schema&&this.schema.sortMapEntries;if(n){if(!t)throw new Error("Key ".concat(e.key," already set"));n.value=e.value}else if(r){var i=this.items.findIndex((function(t){return r(e,t)<0}));-1===i?this.items.push(e):this.items.splice(i,0,e)}else this.items.push(e)}},{key:"delete",value:function(e){var t=he(this.items,e);return!!t&&this.items.splice(this.items.indexOf(t),1).length>0}},{key:"get",value:function(e,t){var n=he(this.items,e),r=n&&n.value;return!t&&r instanceof oe?r.value:r}},{key:"has",value:function(e){return!!he(this.items,e)}},{key:"set",value:function(e,t){this.add(new de(e,t),!0)}},{key:"toJSON",value:function(e,t,n){var r=n?new n:t&&t.mapAsMap?new Map:{};t&&t.onCreate&&t.onCreate(r);var i,o=E(this.items);try{for(o.s();!(i=o.n()).done;)i.value.addToJSMap(t,r)}catch(e){o.e(e)}finally{o.f()}return r}},{key:"toString",value:function(e,t,r){if(!e)return JSON.stringify(this);var i,o=E(this.items);try{for(o.s();!(i=o.n()).done;){var a=i.value;if(!(a instanceof de))throw new Error("Map items must all be pairs; found ".concat(JSON.stringify(a)," instead"))}}catch(e){o.e(e)}finally{o.f()}return v(u(n.prototype),"toString",this).call(this,e,{blockItem:function(e){return e.str},flowChars:{start:"{",end:"}"},isMap:!0,itemIndent:e.indent||""},t,r)}}]),n}(le),ge=function(e){l(n,e);var t=g(n);function n(e){var r;if(i(this,n),e instanceof de){var o=e.value;o instanceof ce||((o=new ce).items.push(e.value),o.range=e.value.range),(r=t.call(this,e.key,o)).range=e.range}else r=t.call(this,new oe("<<"),new ce);return r.type=de.Type.MERGE_PAIR,m(r)}return a(n,[{key:"addToJSMap",value:function(e,t){var n,r=E(this.value.items);try{for(r.s();!(n=r.n()).done;){var i=n.value.source;if(!(i instanceof me))throw new Error("Merge sources must be maps");var o,a=E(i.toJSON(null,e,Map));try{for(a.s();!(o=a.n()).done;){var s=y(o.value,2),l=s[0],u=s[1];t instanceof Map?t.has(l)||t.set(l,u):t instanceof Set?t.add(l):Object.prototype.hasOwnProperty.call(t,l)||Object.defineProperty(t,l,{value:u,writable:!0,enumerable:!0,configurable:!0})}}catch(e){a.e(e)}finally{a.f()}}}catch(e){r.e(e)}finally{r.f()}return t}},{key:"toString",value:function(e,t){var r=this.value;if(r.items.length>1)return v(u(n.prototype),"toString",this).call(this,e,t);this.value=r.items[0];var i=v(u(n.prototype),"toString",this).call(this,e,t);return this.value=r,i}}]),n}(de),ve={defaultType:S.BLOCK_LITERAL,lineWidth:76},ye={trueStr:"true",falseStr:"false"},be={asBigInt:!1},we={nullStr:"null"},_e={defaultType:S.PLAIN,doubleQuoted:{jsonEncoding:!1,minMultiLineLength:40},fold:{lineWidth:80,minContentWidth:20}};function xe(e,t,n){var r,i=E(t);try{for(i.s();!(r=i.n()).done;){var o=r.value,a=o.format,s=o.test,l=o.resolve;if(s){var u=e.match(s);if(u){var c=l.apply(null,u);return c instanceof oe||(c=new oe(c)),a&&(c.format=a),c}}}}catch(e){i.e(e)}finally{i.f()}return n&&(e=n(e)),new oe(e)}var ke="flow",Ee="block",Ce="quoted",Se=function(e,t){for(var n=e[t+1];" "===n||"\t"===n;){do{n=e[t+=1]}while(n&&"\n"!==n);n=e[t+1]}return t};function Ae(e,t,n,r){var i=r.indentAtStart,o=r.lineWidth,a=void 0===o?80:o,s=r.minContentWidth,l=void 0===s?20:s,u=r.onFold,c=r.onOverflow;if(!a||a<0)return e;var d=Math.max(1+l,1+a-t.length);if(e.length<=d)return e;var p=[],f={},h=a-t.length;"number"==typeof i&&(i>a-Math.max(2,l)?p.push(0):h=a-i);var m,g=void 0,v=void 0,y=!1,b=-1,w=-1,_=-1;for(n===Ee&&-1!==(b=Se(e,b))&&(h=b+d);m=e[b+=1];){if(n===Ce&&"\\"===m){switch(w=b,e[b+1]){case"x":b+=3;break;case"u":b+=5;break;case"U":b+=9;break;default:b+=1}_=b}if("\n"===m)n===Ee&&(b=Se(e,b)),h=b+d,g=void 0;else{if(" "===m&&v&&" "!==v&&"\n"!==v&&"\t"!==v){var x=e[b+1];x&&" "!==x&&"\n"!==x&&"\t"!==x&&(g=b)}if(b>=h)if(g)p.push(g),h=g+d,g=void 0;else if(n===Ce){for(;" "===v||"\t"===v;)v=m,m=e[b+=1],y=!0;var k=b>_+1?b-2:w-1;if(f[k])return e;p.push(k),f[k]=!0,h=k+d,g=void 0}else y=!0}v=m}if(y&&c&&c(),0===p.length)return e;u&&u();for(var E=e.slice(0,p[0]),C=0;C<p.length;++C){var S=p[C],A=p[C+1]||e.length;0===S?E="\n".concat(t).concat(e.slice(0,A)):(n===Ce&&f[S]&&(E+="".concat(e[S],"\\")),E+="\n".concat(t).concat(e.slice(S+1,A)))}return E}var Te=function(e){var t=e.indentAtStart;return t?Object.assign({indentAtStart:t},_e.fold):_e.fold},Oe=function(e){return/^(%|---|\.\.\.)/m.test(e)};function Pe(e,t){var n=t.implicitKey,r=_e.doubleQuoted,i=r.jsonEncoding,o=r.minMultiLineLength,a=JSON.stringify(e);if(i)return a;for(var s=t.indent||(Oe(e)?" ":""),l="",u=0,c=0,d=a[c];d;d=a[++c])if(" "===d&&"\\"===a[c+1]&&"n"===a[c+2]&&(l+=a.slice(u,c)+"\\ ",u=c+=1,d="\\"),"\\"===d)switch(a[c+1]){case"u":l+=a.slice(u,c);var p=a.substr(c+2,4);switch(p){case"0000":l+="\\0";break;case"0007":l+="\\a";break;case"000b":l+="\\v";break;case"001b":l+="\\e";break;case"0085":l+="\\N";break;case"00a0":l+="\\_";break;case"2028":l+="\\L";break;case"2029":l+="\\P";break;default:"00"===p.substr(0,2)?l+="\\x"+p.substr(2):l+=a.substr(c,6)}u=(c+=5)+1;break;case"n":if(n||'"'===a[c+2]||a.length<o)c+=1;else{for(l+=a.slice(u,c)+"\n\n";"\\"===a[c+2]&&"n"===a[c+3]&&'"'!==a[c+4];)l+="\n",c+=2;l+=s," "===a[c+2]&&(l+="\\"),u=(c+=1)+1}break;default:c+=1}return l=u?l+a.slice(u):a,n?l:Ae(l,s,Ce,Te(t))}function De(e,t){if(t.implicitKey){if(/\n/.test(e))return Pe(e,t)}else if(/[ \t]\n|\n[ \t]/.test(e))return Pe(e,t);var n=t.indent||(Oe(e)?" ":""),r="'"+e.replace(/'/g,"''").replace(/\n+/g,"$&\n".concat(n))+"'";return t.implicitKey?r:Ae(r,n,ke,Te(t))}function Re(e,t,n,r){var i=e.comment,o=e.type,a=e.value;if(/\n[\t ]+$/.test(a)||/^\s*$/.test(a))return Pe(a,t);var s=t.indent||(t.forceBlockIndent||Oe(a)?" ":""),l=s?"2":"1",u=o!==S.BLOCK_FOLDED&&(o===S.BLOCK_LITERAL||!function(e,t,n){if(!t||t<0)return!1;var r=t-n,i=e.length;if(i<=r)return!1;for(var o=0,a=0;o<i;++o)if("\n"===e[o]){if(o-a>r)return!0;if(i-(a=o+1)<=r)return!1}return!0}(a,_e.fold.lineWidth,s.length)),c=u?"|":">";if(!a)return c+"\n";var d="",p="";if(a=a.replace(/[\n\t ]*$/,(function(e){var t=e.indexOf("\n");return-1===t?c+="-":a!==e&&t===e.length-1||(c+="+",r&&r()),p=e.replace(/\n$/,""),""})).replace(/^[\n ]*/,(function(e){-1!==e.indexOf(" ")&&(c+=l);var t=e.match(/ +$/);return t?(d=e.slice(0,-t[0].length),t[0]):(d=e,"")})),p&&(p=p.replace(/\n+(?!\n|$)/g,"$&".concat(s))),d&&(d=d.replace(/\n+/g,"$&".concat(s))),i&&(c+=" #"+i.replace(/ ?[\r\n]+/g," "),n&&n()),!a)return"".concat(c).concat(l,"\n").concat(s).concat(p);if(u)return a=a.replace(/\n+/g,"$&".concat(s)),"".concat(c,"\n").concat(s).concat(d).concat(a).concat(p);a=a.replace(/\n+/g,"\n$&").replace(/(?:^|\n)([\t ].*)(?:([\n\t ]*)\n(?![\n\t ]))?/g,"$1$2").replace(/\n+/g,"$&".concat(s));var f=Ae("".concat(d).concat(a).concat(p),s,Ee,_e.fold);return"".concat(c,"\n").concat(s).concat(f)}function Ie(e,t,n,r){var i=_e.defaultType,o=t.implicitKey,a=t.inFlow,s=e,l=s.type,u=s.value;"string"!=typeof u&&(u=String(u),e=Object.assign({},e,{value:u}));var c=function(i){switch(i){case S.BLOCK_FOLDED:case S.BLOCK_LITERAL:return Re(e,t,n,r);case S.QUOTE_DOUBLE:return Pe(u,t);case S.QUOTE_SINGLE:return De(u,t);case S.PLAIN:return function(e,t,n,r){var i=e.comment,o=e.type,a=e.value,s=t.actualString,l=t.implicitKey,u=t.indent,c=t.inFlow;if(l&&/[\n[\]{},]/.test(a)||c&&/[[\]{},]/.test(a))return Pe(a,t);if(!a||/^[\n\t ,[\]{}#&*!|>'"%@`]|^[?-]$|^[?-][ \t]|[\n:][ \t]|[ \t]\n|[\n\t ]#|[\n\t :]$/.test(a))return l||c||-1===a.indexOf("\n")?-1!==a.indexOf('"')&&-1===a.indexOf("'")?De(a,t):Pe(a,t):Re(e,t,n,r);if(!l&&!c&&o!==S.PLAIN&&-1!==a.indexOf("\n"))return Re(e,t,n,r);if(""===u&&Oe(a))return t.forceBlockIndent=!0,Re(e,t,n,r);var d=a.replace(/\n+/g,"$&\n".concat(u));if(s){var p=t.doc.schema.tags;if("string"!=typeof xe(d,p,p.scalarFallback).value)return Pe(a,t)}var f=l?d:Ae(d,u,ke,Te(t));return!i||c||-1===f.indexOf("\n")&&-1===i.indexOf("\n")?f:(n&&n(),function(e,t,n){if(!n)return e;var r=n.replace(/[\s\S]^/gm,"$&".concat(t,"#"));return"#".concat(r,"\n").concat(t).concat(e)}(f,u,i))}(e,t,n,r);default:return null}};l!==S.QUOTE_DOUBLE&&/[\x00-\x08\x0b-\x1f\x7f-\x9f]/.test(u)?l=S.QUOTE_DOUBLE:!o&&!a||l!==S.BLOCK_FOLDED&&l!==S.BLOCK_LITERAL||(l=S.QUOTE_DOUBLE);var d=c(l);if(null===d&&null===(d=c(i)))throw new Error("Unsupported default string type ".concat(i));return d}function Me(e){var t=e.format,n=e.minFractionDigits,r=e.tag,i=e.value;if("bigint"==typeof i)return String(i);if(!isFinite(i))return isNaN(i)?".nan":i<0?"-.inf":".inf";var o=JSON.stringify(i);if(!t&&n&&(!r||"tag:yaml.org,2002:float"===r)&&/^\d/.test(o)){var a=o.indexOf(".");a<0&&(a=o.length,o+=".");for(var s=n-(o.length-a-1);s-- >0;)o+="0"}return o}function Le(e,t){var n,r,i;switch(t.type){case S.FLOW_MAP:n="}",r="flow map";break;case S.FLOW_SEQ:n="]",r="flow sequence";break;default:return void e.push(new N(t,"Not a flow collection!?"))}for(var o=t.items.length-1;o>=0;--o){var a=t.items[o];if(!a||a.type!==S.COMMENT){i=a;break}}if(i&&i.char!==n){var s,l="Expected ".concat(r," to end with ").concat(n);"number"==typeof i.offset?(s=new N(t,l)).offset=i.offset+1:(s=new N(i,l),i.range&&i.range.end&&(s.offset=i.range.end-i.range.start)),e.push(s)}}function Ne(e,t){var n=t.context.src[t.range.start-1];"\n"!==n&&"\t"!==n&&" "!==n&&e.push(new N(t,"Comments must be separated from other tokens by white space characters"))}function je(e,t){var n=String(t),r=n.substr(0,8)+"..."+n.substr(-8);return new N(e,'The "'.concat(r,'" key is too long'))}function Fe(e,t){var n,r=E(t);try{for(r.s();!(n=r.n()).done;){var i=n.value,o=i.afterKey,a=i.before,s=i.comment,l=e.items[a];l?(o&&l.value&&(l=l.value),void 0===s?!o&&l.commentBefore||(l.spaceBefore=!0):l.commentBefore?l.commentBefore+="\n"+s:l.commentBefore=s):void 0!==s&&(e.comment?e.comment+="\n"+s:e.comment=s)}}catch(e){r.e(e)}finally{r.f()}}function Be(e,t){var n=t.strValue;return n?"string"==typeof n?n:(n.errors.forEach((function(n){n.source||(n.source=t),e.errors.push(n)})),n.str):""}function ze(e,t,n){var r,i=e.schema.tags,o=[],a=E(i);try{for(a.s();!(r=a.n()).done;){var s=r.value;if(s.tag===n){if(!s.test){var l=s.resolve(e,t);return l instanceof le?l:new oe(l)}o.push(s)}}}catch(e){a.e(e)}finally{a.f()}var u=Be(e,t);return"string"==typeof u&&o.length>0?xe(u,o,i.scalarFallback):null}var Ue=function(e){if(!e)return!1;var t=e.type;return t===S.MAP_KEY||t===S.MAP_VALUE||t===S.SEQ_ITEM};function qe(e,t){if(!t)return null;t.error&&e.errors.push(t.error);var n=function(e,t){var n,r={before:[],after:[]},i=!1,o=!1,a=E(Ue(t.context.parent)?t.context.parent.props.concat(t.props):t.props);try{for(a.s();!(n=a.n()).done;){var s=n.value,l=s.start,u=s.end;switch(t.context.src[l]){case C.COMMENT:t.commentHasRequiredWhitespace(l)||e.push(new N(t,"Comments must be separated from other tokens by white space characters"));var c=t.header,d=t.valueRange;(d&&(l>d.start||c&&l>c.start)?r.after:r.before).push(t.context.src.slice(l+1,u));break;case C.ANCHOR:i&&e.push(new N(t,"A node can have at most one anchor")),i=!0;break;case C.TAG:o&&e.push(new N(t,"A node can have at most one tag")),o=!0}}}catch(e){a.e(e)}finally{a.f()}return{comments:r,hasAnchor:i,hasTag:o}}(e.errors,t),r=n.comments,i=n.hasAnchor,o=n.hasTag;if(i){var a=e.anchors,s=t.anchor,l=a.getNode(s);l&&(a.map[a.newName(s)]=l),a.map[s]=t}t.type===S.ALIAS&&(i||o)&&e.errors.push(new N(t,"An alias node must not specify any properties"));var u=function(e,t){var n=e.anchors,r=e.errors,i=e.schema;if(t.type===S.ALIAS){var o=t.rawValue,a=n.getNode(o);if(!a){var s="Aliased anchor not found: ".concat(o);return r.push(new L(t,s)),null}var l=new fe(a);return n._cstAliases.push(l),l}var u=function(e,t){var n=t.tag,r=t.type,i=!1;if(n){var o=n.handle,a=n.suffix,s=n.verbatim;if(s){if("!"!==s&&"!!"!==s)return s;var l="Verbatim tags aren't resolved, so ".concat(s," is invalid.");e.errors.push(new N(t,l))}else if("!"!==o||a)try{return function(e,t){var n=t.tag,r=n.handle,i=n.suffix,o=e.tagPrefixes.find((function(e){return e.handle===r}));if(!o){var a=e.getDefaults().tagPrefixes;if(a&&(o=a.find((function(e){return e.handle===r}))),!o)throw new N(t,"The ".concat(r," tag handle is non-default and was not declared."))}if(!i)throw new N(t,"The ".concat(r," tag has no suffix."));if("!"===r&&"1.0"===(e.version||e.options.version)){if("^"===i[0])return e.warnings.push(new F(t,"YAML 1.0 ^ tag expansion is not supported")),i;if(/[:/]/.test(i)){var s=i.match(/^([a-z0-9-]+)\/(.*)/i);return s?"tag:".concat(s[1],".yaml.org,2002:").concat(s[2]):"tag:".concat(i)}}return o.prefix+decodeURIComponent(i)}(e,t)}catch(t){e.errors.push(t)}else i=!0}switch(r){case S.BLOCK_FOLDED:case S.BLOCK_LITERAL:case S.QUOTE_DOUBLE:case S.QUOTE_SINGLE:return T.STR;case S.FLOW_MAP:case S.MAP:return T.MAP;case S.FLOW_SEQ:case S.SEQ:return T.SEQ;case S.PLAIN:return i?T.STR:null;default:return null}}(e,t);if(u)return function(e,t,n){try{var r=ze(e,t,n);if(r)return n&&t.tag&&(r.tag=n),r}catch(n){return n.source||(n.source=t),e.errors.push(n),null}try{var i=function(e){switch(e.type){case S.FLOW_MAP:case S.MAP:return T.MAP;case S.FLOW_SEQ:case S.SEQ:return T.SEQ;default:return T.STR}}(t);if(!i)throw new Error("The tag ".concat(n," is unavailable"));var o="The tag ".concat(n," is unavailable, falling back to ").concat(i);e.warnings.push(new F(t,o));var a=ze(e,t,i);return a.tag=n,a}catch(n){var s=new L(t,n.message);return s.stack=n.stack,e.errors.push(s),null}}(e,t,u);if(t.type!==S.PLAIN){var c="Failed to resolve ".concat(t.type," node here");return r.push(new j(t,c)),null}try{return xe(Be(e,t),i.tags,i.tags.scalarFallback)}catch(e){return e.source||(e.source=t),r.push(e),null}}(e,t);if(u){u.range=[t.range.start,t.range.end],e.options.keepCstNodes&&(u.cstNode=t),e.options.keepNodeTypes&&(u.type=t.type);var c=r.before.join("\n");c&&(u.commentBefore=u.commentBefore?"".concat(u.commentBefore,"\n").concat(c):c);var d=r.after.join("\n");d&&(u.comment=u.comment?"".concat(u.comment,"\n").concat(d):d)}return t.resolved=u}function $e(e,t){if(t.type!==S.MAP&&t.type!==S.FLOW_MAP){var n="A ".concat(t.type," node cannot be resolved as a mapping");return e.errors.push(new j(t,n)),null}var r=t.type===S.FLOW_MAP?function(e,t){for(var n=[],r=[],i=void 0,o=!1,a="{",s=0;s<t.items.length;++s){var l=t.items[s];if("string"==typeof l.char){var u=l.char,c=l.offset;if("?"===u&&void 0===i&&!o){o=!0,a=":";continue}if(":"===u){if(void 0===i&&(i=null),":"===a){a=",";continue}}else if(o&&(void 0===i&&","!==u&&(i=null),o=!1),void 0!==i&&(r.push(new de(i)),i=void 0,","===u)){a=":";continue}if("}"===u){if(s===t.items.length-1)continue}else if(u===a){a=":";continue}var d="Flow map contains an unexpected ".concat(u),p=new j(t,d);p.offset=c,e.errors.push(p)}else l.type===S.BLANK_LINE?n.push({afterKey:!!i,before:r.length}):l.type===S.COMMENT?(Ne(e.errors,l),n.push({afterKey:!!i,before:r.length,comment:l.comment})):void 0===i?(","===a&&e.errors.push(new N(l,"Separator , missing in flow map")),i=qe(e,l)):(","!==a&&e.errors.push(new N(l,"Indicator : missing in flow map entry")),r.push(new de(i,qe(e,l))),i=void 0,o=!1)}return Le(e.errors,t),void 0!==i&&r.push(new de(i)),{comments:n,items:r}}(e,t):function(e,t){for(var n=[],r=[],i=void 0,o=null,a=0;a<t.items.length;++a){var s=t.items[a];switch(s.type){case S.BLANK_LINE:n.push({afterKey:!!i,before:r.length});break;case S.COMMENT:n.push({afterKey:!!i,before:r.length,comment:s.comment});break;case S.MAP_KEY:void 0!==i&&r.push(new de(i)),s.error&&e.errors.push(s.error),i=qe(e,s.node),o=null;break;case S.MAP_VALUE:if(void 0===i&&(i=null),s.error&&e.errors.push(s.error),!s.context.atLineStart&&s.node&&s.node.type===S.MAP&&!s.node.context.atLineStart){e.errors.push(new N(s.node,"Nested mappings are not allowed in compact mappings"))}var l=s.node;if(!l&&s.props.length>0){(l=new B(S.PLAIN,[])).context={parent:s,src:s.context.src};var u=s.range.start+1;if(l.range={start:u,end:u},l.valueRange={start:u,end:u},"number"==typeof s.range.origStart){var c=s.range.origStart+1;l.range.origStart=l.range.origEnd=c,l.valueRange.origStart=l.valueRange.origEnd=c}}var d=new de(i,qe(e,l));He(s,d),r.push(d),i&&"number"==typeof o&&s.range.start>o+1024&&e.errors.push(je(t,i)),i=void 0,o=null;break;default:void 0!==i&&r.push(new de(i)),i=qe(e,s),o=s.range.start,s.error&&e.errors.push(s.error);e:for(var p=a+1;;++p){var f=t.items[p];switch(f&&f.type){case S.BLANK_LINE:case S.COMMENT:continue e;case S.MAP_VALUE:break e;default:e.errors.push(new N(s,"Implicit map keys need to be followed by map values"));break e}}if(s.valueRangeContainsNewline){e.errors.push(new N(s,"Implicit map keys need to be on a single line"))}}}return void 0!==i&&r.push(new de(i)),{comments:n,items:r}}(e,t),i=r.comments,o=r.items,a=new me;a.items=o,Fe(a,i);for(var s=!1,l=0;l<o.length;++l){var u=o[l].key;if(u instanceof le&&(s=!0),e.schema.merge&&u&&"<<"===u.value){o[l]=new ge(o[l]);var c=o[l].value.items,d=null;c.some((function(e){if(e instanceof fe){var t=e.source.type;return t!==S.MAP&&t!==S.FLOW_MAP&&(d="Merge nodes aliases can only point to maps")}return d="Merge nodes can only have Alias nodes as values"})),d&&e.errors.push(new N(t,d))}else for(var p=l+1;p<o.length;++p){var f=o[p].key;if(u===f||u&&f&&Object.prototype.hasOwnProperty.call(u,"value")&&u.value===f.value){var h='Map keys must be unique; "'.concat(u,'" is repeated');e.errors.push(new N(t,h));break}}}return s&&!e.options.mapAsMap&&e.warnings.push(new F(t,"Keys with collection values will be stringified as YAML due to JS Object restrictions. Use mapAsMap: true to avoid this.")),t.resolved=a,a}var We=function(e){var t=e.context,n=t.lineStart,r=t.node,i=t.src,o=e.props;if(0===o.length)return!1;var a=o[0].start;if(r&&a>r.valueRange.start)return!1;if(i[a]!==C.COMMENT)return!1;for(var s=n;s<a;++s)if("\n"===i[s])return!1;return!0};function He(e,t){if(We(e)){var n=e.getPropValue(0,C.COMMENT,!0),r=!1,i=t.value.commentBefore;if(i&&i.startsWith(n))t.value.commentBefore=i.substr(n.length+1),r=!0;else{var o=t.value.comment;!e.node&&o&&o.startsWith(n)&&(t.value.comment=o.substr(n.length+1),r=!0)}r&&(t.comment=n)}}function Ve(e,t){if(t.type!==S.SEQ&&t.type!==S.FLOW_SEQ){var n="A ".concat(t.type," node cannot be resolved as a sequence");return e.errors.push(new j(t,n)),null}var r=t.type===S.FLOW_SEQ?function(e,t){for(var n=[],r=[],i=!1,o=void 0,a=null,s="[",l=null,u=0;u<t.items.length;++u){var c=t.items[u];if("string"==typeof c.char){var d=c.char,p=c.offset;if(":"===d||!i&&void 0===o||(i&&void 0===o&&(o=s?r.pop():null),r.push(new de(o)),i=!1,o=void 0,a=null),d===s)s=null;else if(s||"?"!==d){if("["!==s&&":"===d&&void 0===o){if(","===s){if((o=r.pop())instanceof de){var f=new N(t,"Chaining flow sequence pairs is invalid");f.offset=p,e.errors.push(f)}if(!i&&"number"==typeof a){var h=c.range?c.range.start:c.offset;h>a+1024&&e.errors.push(je(t,o));for(var m=l.context.src,g=a;g<h;++g)if("\n"===m[g]){e.errors.push(new N(l,"Implicit keys of flow sequence pairs need to be on a single line"));break}}}else o=null;a=null,i=!1,s=null}else if("["===s||"]"!==d||u<t.items.length-1){var v="Flow sequence contains an unexpected ".concat(d),y=new j(t,v);y.offset=p,e.errors.push(y)}}else i=!0}else if(c.type===S.BLANK_LINE)n.push({before:r.length});else if(c.type===S.COMMENT)Ne(e.errors,c),n.push({comment:c.comment,before:r.length});else{if(s){var b="Expected a ".concat(s," in flow sequence");e.errors.push(new N(c,b))}var w=qe(e,c);void 0===o?(r.push(w),l=c):(r.push(new de(o,w)),o=void 0),a=c.range.start,s=","}}return Le(e.errors,t),void 0!==o&&r.push(new de(o)),{comments:n,items:r}}(e,t):function(e,t){for(var n=[],r=[],i=0;i<t.items.length;++i){var o=t.items[i];switch(o.type){case S.BLANK_LINE:n.push({before:r.length});break;case S.COMMENT:n.push({comment:o.comment,before:r.length});break;case S.SEQ_ITEM:if(o.error&&e.errors.push(o.error),r.push(qe(e,o.node)),o.hasProps){e.errors.push(new N(o,"Sequence items cannot have tags or anchors before the - indicator"))}break;default:o.error&&e.errors.push(o.error),e.errors.push(new j(o,"Unexpected ".concat(o.type," node in sequence")))}}return{comments:n,items:r}}(e,t),i=r.comments,o=r.items,a=new ce;return a.items=o,Fe(a,i),!e.options.mapAsMap&&o.some((function(e){return e instanceof de&&e.key instanceof le}))&&e.warnings.push(new F(t,"Keys with collection values will be stringified as YAML due to JS Object restrictions. Use mapAsMap: true to avoid this.")),t.resolved=a,a}var Ge=n(48834).Buffer,Ke={identify:function(e){return e instanceof Uint8Array},default:!1,tag:"tag:yaml.org,2002:binary",resolve:function(e,t){var n=Be(e,t);if("function"==typeof Ge)return Ge.from(n,"base64");if("function"==typeof atob){for(var r=atob(n.replace(/[\n\r]/g,"")),i=new Uint8Array(r.length),o=0;o<r.length;++o)i[o]=r.charCodeAt(o);return i}return e.errors.push(new L(t,"This environment does not support reading binary tags; either Buffer or atob is required")),null},options:ve,stringify:function(e,t,n,r){var i,o=e.comment,a=e.type,s=e.value;if("function"==typeof Ge)i=s instanceof Ge?s.toString("base64"):Ge.from(s.buffer).toString("base64");else{if("function"!=typeof btoa)throw new Error("This environment does not support writing binary tags; either Buffer or btoa is required");for(var l="",u=0;u<s.length;++u)l+=String.fromCharCode(s[u]);i=btoa(l)}if(a||(a=ve.defaultType),a===S.QUOTE_DOUBLE)s=i;else{for(var c=ve.lineWidth,d=Math.ceil(i.length/c),p=new Array(d),f=0,h=0;f<d;++f,h+=c)p[f]=i.substr(h,c);s=p.join(a===S.BLOCK_LITERAL?"\n":" ")}return Ie({comment:o,type:a,value:s},t,n,r)}};function Ye(e,t){for(var n=Ve(e,t),r=0;r<n.items.length;++r){var i=n.items[r];if(!(i instanceof de)){if(i instanceof me){if(i.items.length>1)throw new N(t,"Each pair must have its own sequence indicator");var o=i.items[0]||new de;i.commentBefore&&(o.commentBefore=o.commentBefore?"".concat(i.commentBefore,"\n").concat(o.commentBefore):i.commentBefore),i.comment&&(o.comment=o.comment?"".concat(i.comment,"\n").concat(o.comment):i.comment),i=o}n.items[r]=i instanceof de?i:new de(i)}}return n}function Ze(e,t,n){var r=new ce(e);r.tag="tag:yaml.org,2002:pairs";var i,o=E(t);try{for(o.s();!(i=o.n()).done;){var a=i.value,s=void 0,l=void 0;if(Array.isArray(a)){if(2!==a.length)throw new TypeError("Expected [key, value] tuple: ".concat(a));s=a[0],l=a[1]}else if(a&&a instanceof Object){var u=Object.keys(a);if(1!==u.length)throw new TypeError("Expected { key: value } tuple: ".concat(a));l=a[s=u[0]]}else s=a;var c=e.createPair(s,l,n);r.items.push(c)}}catch(e){o.e(e)}finally{o.f()}return r}var Xe={default:!1,tag:"tag:yaml.org,2002:pairs",resolve:Ye,createNode:Ze},Qe=function(e){l(n,e);var t=g(n);function n(){var e;return i(this,n),s(h(e=t.call(this)),"add",me.prototype.add.bind(h(e))),s(h(e),"delete",me.prototype.delete.bind(h(e))),s(h(e),"get",me.prototype.get.bind(h(e))),s(h(e),"has",me.prototype.has.bind(h(e))),s(h(e),"set",me.prototype.set.bind(h(e))),e.tag=n.tag,e}return a(n,[{key:"toJSON",value:function(e,t){var n=new Map;t&&t.onCreate&&t.onCreate(n);var r,i=E(this.items);try{for(i.s();!(r=i.n()).done;){var o=r.value,a=void 0,s=void 0;if(o instanceof de?(a=ie(o.key,"",t),s=ie(o.value,a,t)):a=ie(o,"",t),n.has(a))throw new Error("Ordered maps must not include duplicate keys");n.set(a,s)}}catch(e){i.e(e)}finally{i.f()}return n}}]),n}(ce);s(Qe,"tag","tag:yaml.org,2002:omap");var Je={identify:function(e){return e instanceof Map},nodeClass:Qe,default:!1,tag:"tag:yaml.org,2002:omap",resolve:function(e,t){var n,r=Ye(e,t),i=[],o=E(r.items);try{for(o.s();!(n=o.n()).done;){var a=n.value.key;if(a instanceof oe){if(i.includes(a.value))throw new N(t,"Ordered maps must not include duplicate keys");i.push(a.value)}}}catch(e){o.e(e)}finally{o.f()}return Object.assign(new Qe,r)},createNode:function(e,t,n){var r=Ze(e,t,n),i=new Qe;return i.items=r.items,i}},et=function(e){l(n,e);var t=g(n);function n(){var e;return i(this,n),(e=t.call(this)).tag=n.tag,e}return a(n,[{key:"add",value:function(e){var t=e instanceof de?e:new de(e);he(this.items,t.key)||this.items.push(t)}},{key:"get",value:function(e,t){var n=he(this.items,e);return!t&&n instanceof de?n.key instanceof oe?n.key.value:n.key:n}},{key:"set",value:function(e,t){if("boolean"!=typeof t)throw new Error("Expected boolean value for set(key, value) in a YAML set, not ".concat(r(t)));var n=he(this.items,e);n&&!t?this.items.splice(this.items.indexOf(n),1):!n&&t&&this.items.push(new de(e))}},{key:"toJSON",value:function(e,t){return v(u(n.prototype),"toJSON",this).call(this,e,t,Set)}},{key:"toString",value:function(e,t,r){if(!e)return JSON.stringify(this);if(this.hasAllNullValues())return v(u(n.prototype),"toString",this).call(this,e,t,r);throw new Error("Set items must all have null values")}}]),n}(me);s(et,"tag","tag:yaml.org,2002:set");var tt={identify:function(e){return e instanceof Set},nodeClass:et,default:!1,tag:"tag:yaml.org,2002:set",resolve:function(e,t){var n=$e(e,t);if(!n.hasAllNullValues())throw new N(t,"Set items must all have null values");return Object.assign(new et,n)},createNode:function(e,t,n){var r,i=new et,o=E(t);try{for(o.s();!(r=o.n()).done;){var a=r.value;i.items.push(e.createPair(a,null,n))}}catch(e){o.e(e)}finally{o.f()}return i}},nt=function(e,t){var n=t.split(":").reduce((function(e,t){return 60*e+Number(t)}),0);return"-"===e?-n:n},rt=function(e){var t=e.value;if(isNaN(t)||!isFinite(t))return Me(t);var n="";t<0&&(n="-",t=Math.abs(t));var r=[t%60];return t<60?r.unshift(0):(t=Math.round((t-r[0])/60),r.unshift(t%60),t>=60&&(t=Math.round((t-r[0])/60),r.unshift(t))),n+r.map((function(e){return e<10?"0"+String(e):String(e)})).join(":").replace(/000000\d*$/,"")},it={identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:int",format:"TIME",test:/^([-+]?)([0-9][0-9_]*(?::[0-5]?[0-9])+)$/,resolve:function(e,t,n){return nt(t,n.replace(/_/g,""))},stringify:rt},ot={identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",format:"TIME",test:/^([-+]?)([0-9][0-9_]*(?::[0-5]?[0-9])+\.[0-9_]*)$/,resolve:function(e,t,n){return nt(t,n.replace(/_/g,""))},stringify:rt},at={identify:function(e){return e instanceof Date},default:!0,tag:"tag:yaml.org,2002:timestamp",test:RegExp("^(?:([0-9]{4})-([0-9]{1,2})-([0-9]{1,2})(?:(?:t|T|[ \\t]+)([0-9]{1,2}):([0-9]{1,2}):([0-9]{1,2}(\\.[0-9]+)?)(?:[ \\t]*(Z|[-+][012]?[0-9](?::[0-9]{2})?))?)?)$"),resolve:function(e,t,n,r,i,o,a,s,l){s&&(s=(s+"00").substr(1,3));var u=Date.UTC(t,n-1,r,i||0,o||0,a||0,s||0);if(l&&"Z"!==l){var c=nt(l[0],l.slice(1));Math.abs(c)<30&&(c*=60),u-=6e4*c}return new Date(u)},stringify:function(e){return e.value.toISOString().replace(/((T00:00)?:00)?\.000Z$/,"")}};function st(e){var t="undefined"!=typeof process&&process.env||{};return e?"undefined"!=typeof YAML_SILENCE_DEPRECATION_WARNINGS?!YAML_SILENCE_DEPRECATION_WARNINGS:!t.YAML_SILENCE_DEPRECATION_WARNINGS:"undefined"!=typeof YAML_SILENCE_WARNINGS?!YAML_SILENCE_WARNINGS:!t.YAML_SILENCE_WARNINGS}function lt(e,t){if(st(!1)){var n="undefined"!=typeof process&&process.emitWarning;n?n(e,t):console.warn(t?"".concat(t,": ").concat(e):e)}}var ut={},ct={createNode:function(e,t,n){var i=new me(e);if(t instanceof Map){var o,a=E(t);try{for(a.s();!(o=a.n()).done;){var s=y(o.value,2),l=s[0],u=s[1];i.items.push(e.createPair(l,u,n))}}catch(e){a.e(e)}finally{a.f()}}else if(t&&"object"===r(t))for(var c=0,d=Object.keys(t);c<d.length;c++){var p=d[c];i.items.push(e.createPair(p,t[p],n))}return"function"==typeof e.sortMapEntries&&i.items.sort(e.sortMapEntries),i},default:!0,nodeClass:me,tag:"tag:yaml.org,2002:map",resolve:$e},dt={createNode:function(e,t,n){var r=new ce(e);if(t&&t[Symbol.iterator]){var i,o=E(t);try{for(o.s();!(i=o.n()).done;){var a=i.value,s=e.createNode(a,n.wrapScalars,null,n);r.items.push(s)}}catch(e){o.e(e)}finally{o.f()}}return r},default:!0,nodeClass:ce,tag:"tag:yaml.org,2002:seq",resolve:Ve},pt=[ct,dt,{identify:function(e){return"string"==typeof e},default:!0,tag:"tag:yaml.org,2002:str",resolve:Be,stringify:function(e,t,n,r){return Ie(e,t=Object.assign({actualString:!0},t),n,r)},options:_e}],ft=function(e){return"bigint"==typeof e||Number.isInteger(e)},ht=function(e,t,n){return be.asBigInt?BigInt(e):parseInt(t,n)};function mt(e,t,n){var r=e.value;return ft(r)&&r>=0?n+r.toString(t):Me(e)}var gt={identify:function(e){return null==e},createNode:function(e,t,n){return n.wrapScalars?new oe(null):null},default:!0,tag:"tag:yaml.org,2002:null",test:/^(?:~|[Nn]ull|NULL)?$/,resolve:function(){return null},options:we,stringify:function(){return we.nullStr}},vt={identify:function(e){return"boolean"==typeof e},default:!0,tag:"tag:yaml.org,2002:bool",test:/^(?:[Tt]rue|TRUE|[Ff]alse|FALSE)$/,resolve:function(e){return"t"===e[0]||"T"===e[0]},options:ye,stringify:function(e){return e.value?ye.trueStr:ye.falseStr}},yt={identify:function(e){return ft(e)&&e>=0},default:!0,tag:"tag:yaml.org,2002:int",format:"OCT",test:/^0o([0-7]+)$/,resolve:function(e,t){return ht(e,t,8)},options:be,stringify:function(e){return mt(e,8,"0o")}},bt={identify:ft,default:!0,tag:"tag:yaml.org,2002:int",test:/^[-+]?[0-9]+$/,resolve:function(e){return ht(e,e,10)},options:be,stringify:Me},wt={identify:function(e){return ft(e)&&e>=0},default:!0,tag:"tag:yaml.org,2002:int",format:"HEX",test:/^0x([0-9a-fA-F]+)$/,resolve:function(e,t){return ht(e,t,16)},options:be,stringify:function(e){return mt(e,16,"0x")}},_t={identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",test:/^(?:[-+]?\.inf|(\.nan))$/i,resolve:function(e,t){return t?NaN:"-"===e[0]?Number.NEGATIVE_INFINITY:Number.POSITIVE_INFINITY},stringify:Me},xt={identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",format:"EXP",test:/^[-+]?(?:\.[0-9]+|[0-9]+(?:\.[0-9]*)?)[eE][-+]?[0-9]+$/,resolve:function(e){return parseFloat(e)},stringify:function(e){var t=e.value;return Number(t).toExponential()}},kt={identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",test:/^[-+]?(?:\.([0-9]+)|[0-9]+\.([0-9]*))$/,resolve:function(e,t,n){var r=t||n,i=new oe(parseFloat(e));return r&&"0"===r[r.length-1]&&(i.minFractionDigits=r.length),i},stringify:Me},Et=pt.concat([gt,vt,yt,bt,wt,_t,xt,kt]),Ct=function(e){return"bigint"==typeof e||Number.isInteger(e)},St=function(e){var t=e.value;return JSON.stringify(t)},At=[ct,dt,{identify:function(e){return"string"==typeof e},default:!0,tag:"tag:yaml.org,2002:str",resolve:Be,stringify:St},{identify:function(e){return null==e},createNode:function(e,t,n){return n.wrapScalars?new oe(null):null},default:!0,tag:"tag:yaml.org,2002:null",test:/^null$/,resolve:function(){return null},stringify:St},{identify:function(e){return"boolean"==typeof e},default:!0,tag:"tag:yaml.org,2002:bool",test:/^true|false$/,resolve:function(e){return"true"===e},stringify:St},{identify:Ct,default:!0,tag:"tag:yaml.org,2002:int",test:/^-?(?:0|[1-9][0-9]*)$/,resolve:function(e){return be.asBigInt?BigInt(e):parseInt(e,10)},stringify:function(e){var t=e.value;return Ct(t)?t.toString():JSON.stringify(t)}},{identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",test:/^-?(?:0|[1-9][0-9]*)(?:\.[0-9]*)?(?:[eE][-+]?[0-9]+)?$/,resolve:function(e){return parseFloat(e)},stringify:St}];At.scalarFallback=function(e){throw new SyntaxError("Unresolved plain scalar ".concat(JSON.stringify(e)))};var Tt=function(e){return e.value?ye.trueStr:ye.falseStr},Ot=function(e){return"bigint"==typeof e||Number.isInteger(e)};function Pt(e,t,n){var r=t.replace(/_/g,"");if(be.asBigInt){switch(n){case 2:r="0b".concat(r);break;case 8:r="0o".concat(r);break;case 16:r="0x".concat(r)}var i=BigInt(r);return"-"===e?BigInt(-1)*i:i}var o=parseInt(r,n);return"-"===e?-1*o:o}function Dt(e,t,n){var r=e.value;if(Ot(r)){var i=r.toString(t);return r<0?"-"+n+i.substr(1):n+i}return Me(e)}var Rt=pt.concat([{identify:function(e){return null==e},createNode:function(e,t,n){return n.wrapScalars?new oe(null):null},default:!0,tag:"tag:yaml.org,2002:null",test:/^(?:~|[Nn]ull|NULL)?$/,resolve:function(){return null},options:we,stringify:function(){return we.nullStr}},{identify:function(e){return"boolean"==typeof e},default:!0,tag:"tag:yaml.org,2002:bool",test:/^(?:Y|y|[Yy]es|YES|[Tt]rue|TRUE|[Oo]n|ON)$/,resolve:function(){return!0},options:ye,stringify:Tt},{identify:function(e){return"boolean"==typeof e},default:!0,tag:"tag:yaml.org,2002:bool",test:/^(?:N|n|[Nn]o|NO|[Ff]alse|FALSE|[Oo]ff|OFF)$/i,resolve:function(){return!1},options:ye,stringify:Tt},{identify:Ot,default:!0,tag:"tag:yaml.org,2002:int",format:"BIN",test:/^([-+]?)0b([0-1_]+)$/,resolve:function(e,t,n){return Pt(t,n,2)},stringify:function(e){return Dt(e,2,"0b")}},{identify:Ot,default:!0,tag:"tag:yaml.org,2002:int",format:"OCT",test:/^([-+]?)0([0-7_]+)$/,resolve:function(e,t,n){return Pt(t,n,8)},stringify:function(e){return Dt(e,8,"0")}},{identify:Ot,default:!0,tag:"tag:yaml.org,2002:int",test:/^([-+]?)([0-9][0-9_]*)$/,resolve:function(e,t,n){return Pt(t,n,10)},stringify:Me},{identify:Ot,default:!0,tag:"tag:yaml.org,2002:int",format:"HEX",test:/^([-+]?)0x([0-9a-fA-F_]+)$/,resolve:function(e,t,n){return Pt(t,n,16)},stringify:function(e){return Dt(e,16,"0x")}},{identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",test:/^(?:[-+]?\.inf|(\.nan))$/i,resolve:function(e,t){return t?NaN:"-"===e[0]?Number.NEGATIVE_INFINITY:Number.POSITIVE_INFINITY},stringify:Me},{identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",format:"EXP",test:/^[-+]?([0-9][0-9_]*)?(\.[0-9_]*)?[eE][-+]?[0-9]+$/,resolve:function(e){return parseFloat(e.replace(/_/g,""))},stringify:function(e){var t=e.value;return Number(t).toExponential()}},{identify:function(e){return"number"==typeof e},default:!0,tag:"tag:yaml.org,2002:float",test:/^[-+]?(?:[0-9][0-9_]*)?\.([0-9_]*)$/,resolve:function(e,t){var n=new oe(parseFloat(e.replace(/_/g,"")));if(t){var r=t.replace(/_/g,"");"0"===r[r.length-1]&&(n.minFractionDigits=r.length)}return n},stringify:Me}],Ke,Je,Xe,tt,it,ot,at),It={core:Et,failsafe:pt,json:At,yaml11:Rt},Mt={binary:Ke,bool:vt,float:kt,floatExp:xt,floatNaN:_t,floatTime:ot,int:bt,intHex:wt,intOct:yt,intTime:it,map:ct,null:gt,omap:Je,pairs:Xe,seq:dt,set:tt,timestamp:at};var Lt=function(e,t){return e.key<t.key?-1:e.key>t.key?1:0},Nt=function(){function e(t){var n=t.customTags,r=t.merge,o=t.schema,a=t.sortMapEntries,s=t.tags;i(this,e),this.merge=!!r,this.name=o,this.sortMapEntries=!0===a?Lt:a||null,!n&&s&&function(e,t){if(!ut[e]&&st(!0)){ut[e]=!0;var n="The option '".concat(e,"' will be removed in a future release");lt(n+=t?", use '".concat(t,"' instead."):".","DeprecationWarning")}}("tags","customTags"),this.tags=function(e,t,n,r){var i=e[r.replace(/\W/g,"")];if(!i){var o=Object.keys(e).map((function(e){return JSON.stringify(e)})).join(", ");throw new Error('Unknown schema "'.concat(r,'"; use one of ').concat(o))}if(Array.isArray(n)){var a,s=E(n);try{for(s.s();!(a=s.n()).done;){var l=a.value;i=i.concat(l)}}catch(e){s.e(e)}finally{s.f()}}else"function"==typeof n&&(i=n(i.slice()));for(var u=0;u<i.length;++u){var c=i[u];if("string"==typeof c){var d=t[c];if(!d){var p=Object.keys(t).map((function(e){return JSON.stringify(e)})).join(", ");throw new Error('Unknown custom tag "'.concat(c,'"; use one of ').concat(p))}i[u]=d}}return i}(It,Mt,n||s,o)}return a(e,[{key:"createNode",value:function(t,n,i,o){var a={defaultPrefix:e.defaultPrefix,schema:this,wrapScalars:n};return function(e,t,n){if(e instanceof re)return e;var i=n.defaultPrefix,o=n.onTagObj,a=n.prevObjects,s=n.schema,l=n.wrapScalars;t&&t.startsWith("!!")&&(t=i+t.slice(2));var u=function(e,t,n){if(t){var r=n.filter((function(e){return e.tag===t})),i=r.find((function(e){return!e.format}))||r[0];if(!i)throw new Error("Tag ".concat(t," not found"));return i}return n.find((function(t){return(t.identify&&t.identify(e)||t.class&&e instanceof t.class)&&!t.format}))}(e,t,s.tags);if(!u){if("function"==typeof e.toJSON&&(e=e.toJSON()),!e||"object"!==r(e))return l?new oe(e):e;u=e instanceof Map?ct:e[Symbol.iterator]?dt:ct}o&&(o(u),delete n.onTagObj);var c={value:void 0,node:void 0};if(e&&"object"===r(e)&&a){var d=a.get(e);if(d){var p=new fe(d);return n.aliasNodes.push(p),p}c.value=e,a.set(e,c)}return c.node=u.createNode?u.createNode(n.schema,e,n):l?new oe(e):e,t&&c.node instanceof re&&(c.node.tag=t),c.node}(t,i,o?Object.assign(o,a):a)}},{key:"createPair",value:function(e,t,n){n||(n={wrapScalars:!0});var r=this.createNode(e,n.wrapScalars,null,n),i=this.createNode(t,n.wrapScalars,null,n);return new de(r,i)}}]),e}();s(Nt,"defaultPrefix",A),s(Nt,"defaultTags",T);var jt={anchorPrefix:"a",customTags:null,indent:2,indentSeq:!0,keepCstNodes:!1,keepNodeTypes:!0,keepBlobsInJSON:!0,mapAsMap:!1,maxAliasCount:100,prettyErrors:!1,simpleKeys:!1,version:"1.2"},Ft={get binary(){return ve},set binary(e){Object.assign(ve,e)},get bool(){return ye},set bool(e){Object.assign(ye,e)},get int(){return be},set int(e){Object.assign(be,e)},get null(){return we},set null(e){Object.assign(we,e)},get str(){return _e},set str(e){Object.assign(_e,e)}},Bt={"1.0":{schema:"yaml-1.1",merge:!0,tagPrefixes:[{handle:"!",prefix:A},{handle:"!!",prefix:"tag:private.yaml.org,2002:"}]},1.1:{schema:"yaml-1.1",merge:!0,tagPrefixes:[{handle:"!",prefix:"!"},{handle:"!!",prefix:A}]},1.2:{schema:"core",merge:!1,tagPrefixes:[{handle:"!",prefix:"!"},{handle:"!!",prefix:A}]}};function zt(e,t){if("1.0"===(e.version||e.options.version)){var n=t.match(/^tag:private\.yaml\.org,2002:([^:/]+)$/);if(n)return"!"+n[1];var r=t.match(/^tag:([a-zA-Z0-9-]+)\.yaml\.org,2002:(.*)/);return r?"!".concat(r[1],"/").concat(r[2]):"!".concat(t.replace(/^tag:/,""))}var i=e.tagPrefixes.find((function(e){return 0===t.indexOf(e.prefix)}));if(!i){var o=e.getDefaults().tagPrefixes;i=o&&o.find((function(e){return 0===t.indexOf(e.prefix)}))}if(!i)return"!"===t[0]?t:"!<".concat(t,">");var a=t.substr(i.prefix.length).replace(/[!,[\]{}]/g,(function(e){return{"!":"%21",",":"%2C","[":"%5B","]":"%5D","{":"%7B","}":"%7D"}[e]}));return i.handle+a}function Ut(e,t,n,i){var o,a=t.doc,s=a.anchors,l=a.schema;if(!(e instanceof re)){var u={aliasNodes:[],onTagObj:function(e){return o=e},prevObjects:new Map};e=l.createNode(e,!0,null,u);var c,d=E(u.aliasNodes);try{for(d.s();!(c=d.n()).done;){var p=c.value;p.source=p.source.node;var f=s.getName(p.source);f||(f=s.newName(),s.map[f]=p.source)}}catch(e){d.e(e)}finally{d.f()}}if(e instanceof de)return e.toString(t,n,i);o||(o=function(e,t){if(t instanceof fe)return fe;if(t.tag){var n=e.filter((function(e){return e.tag===t.tag}));if(n.length>0)return n.find((function(e){return e.format===t.format}))||n[0]}var i,o;if(t instanceof oe){o=t.value;var a=e.filter((function(e){return e.identify&&e.identify(o)||e.class&&o instanceof e.class}));i=a.find((function(e){return e.format===t.format}))||a.find((function(e){return!e.format}))}else o=t,i=e.find((function(e){return e.nodeClass&&o instanceof e.nodeClass}));if(!i){var s=o&&o.constructor?o.constructor.name:r(o);throw new Error("Tag not resolved for ".concat(s," value"))}return i}(l.tags,e));var h=function(e,t,n){var r=n.anchors,i=n.doc,o=[],a=i.anchors.getName(e);return a&&(r[a]=e,o.push("&".concat(a))),e.tag?o.push(zt(i,e.tag)):t.default||o.push(zt(i,t.tag)),o.join(" ")}(e,o,t);h.length>0&&(t.indentAtStart=(t.indentAtStart||0)+h.length+1);var m="function"==typeof o.stringify?o.stringify(e,t,n,i):e instanceof oe?Ie(e,t,n,i):e.toString(t,n,i);return h?e instanceof oe||"{"===m[0]||"["===m[0]?"".concat(h," ").concat(m):"".concat(h,"\n").concat(t.indent).concat(m):m}var qt=function(){function e(t){i(this,e),s(this,"map",Object.create(null)),this.prefix=t}return a(e,[{key:"createAlias",value:function(e,t){return this.setAnchor(e,t),new fe(e)}},{key:"createMergePair",value:function(){for(var e=this,t=new ge,n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];return t.value.items=r.map((function(t){if(t instanceof fe){if(t.source instanceof me)return t}else if(t instanceof me)return e.createAlias(t);throw new Error("Merge sources must be Map nodes or their Aliases")})),t}},{key:"getName",value:function(e){var t=this.map;return Object.keys(t).find((function(n){return t[n]===e}))}},{key:"getNames",value:function(){return Object.keys(this.map)}},{key:"getNode",value:function(e){return this.map[e]}},{key:"newName",value:function(e){e||(e=this.prefix);for(var t=Object.keys(this.map),n=1;;++n){var r="".concat(e).concat(n);if(!t.includes(r))return r}}},{key:"resolveNodes",value:function(){var e=this.map,t=this._cstAliases;Object.keys(e).forEach((function(t){e[t]=e[t].resolved})),t.forEach((function(e){e.source=e.source.resolved})),delete this._cstAliases}},{key:"setAnchor",value:function(t,n){if(null!=t&&!e.validAnchorNode(t))throw new Error("Anchors may only be set for Scalar, Seq and Map nodes");if(n&&/[\x00-\x19\s,[\]{}]/.test(n))throw new Error("Anchor names must not contain whitespace or control characters");var r=this.map,i=t&&Object.keys(r).find((function(e){return r[e]===t}));if(i){if(!n)return i;i!==n&&(delete r[i],r[n]=t)}else{if(!n){if(!t)return null;n=this.newName()}r[n]=t}return n}}],[{key:"validAnchorNode",value:function(e){return e instanceof oe||e instanceof ce||e instanceof me}}]),e}(),$t=function e(t,n){if(t&&"object"===r(t)){var i=t.tag;t instanceof le?(i&&(n[i]=!0),t.items.forEach((function(t){return e(t,n)}))):t instanceof de?(e(t.key,n),e(t.value,n)):t instanceof oe&&i&&(n[i]=!0)}return n},Wt=function(e){return Object.keys($t(e,{}))};function Ht(e,t){var n=e.tagPrefixes,r=y(t.parameters,2),i=r[0],o=r[1];if(!i||!o)throw new N(t,"Insufficient parameters given for %TAG directive");if(n.some((function(e){return e.handle===i})))throw new N(t,"The %TAG directive must only be given at most once per handle in the same document.");return{handle:i,prefix:o}}function Vt(e,t){var n=y(t.parameters,1)[0];if("YAML:1.0"===t.name&&(n="1.0"),!n)throw new N(t,"Insufficient parameters given for %YAML directive");if(!Bt[n]){var r=e.version||e.options.version,i="Document will be parsed as YAML ".concat(r," rather than YAML ").concat(n);e.warnings.push(new F(t,i))}return n}function Gt(e){if(e instanceof le)return!0;throw new Error("Expected a YAML collection as document contents")}var Kt=function(){function e(t){i(this,e),this.anchors=new qt(t.anchorPrefix),this.commentBefore=null,this.comment=null,this.contents=null,this.directivesEndMarker=null,this.errors=[],this.options=t,this.schema=null,this.tagPrefixes=[],this.version=null,this.warnings=[]}return a(e,[{key:"add",value:function(e){return Gt(this.contents),this.contents.add(e)}},{key:"addIn",value:function(e,t){Gt(this.contents),this.contents.addIn(e,t)}},{key:"delete",value:function(e){return Gt(this.contents),this.contents.delete(e)}},{key:"deleteIn",value:function(e){return se(e)?null!=this.contents&&(this.contents=null,!0):(Gt(this.contents),this.contents.deleteIn(e))}},{key:"getDefaults",value:function(){return e.defaults[this.version]||e.defaults[this.options.version]||{}}},{key:"get",value:function(e,t){return this.contents instanceof le?this.contents.get(e,t):void 0}},{key:"getIn",value:function(e,t){return se(e)?!t&&this.contents instanceof oe?this.contents.value:this.contents:this.contents instanceof le?this.contents.getIn(e,t):void 0}},{key:"has",value:function(e){return this.contents instanceof le&&this.contents.has(e)}},{key:"hasIn",value:function(e){return se(e)?void 0!==this.contents:this.contents instanceof le&&this.contents.hasIn(e)}},{key:"set",value:function(e,t){Gt(this.contents),this.contents.set(e,t)}},{key:"setIn",value:function(e,t){se(e)?this.contents=t:(Gt(this.contents),this.contents.setIn(e,t))}},{key:"setSchema",value:function(e,t){if(e||t||!this.schema){"number"==typeof e&&(e=e.toFixed(1)),"1.0"===e||"1.1"===e||"1.2"===e?(this.version?this.version=e:this.options.version=e,delete this.options.schema):e&&"string"==typeof e&&(this.options.schema=e),Array.isArray(t)&&(this.options.customTags=t);var n=Object.assign({},this.getDefaults(),this.options);this.schema=new Nt(n)}}},{key:"parse",value:function(e,t){this.options.keepCstNodes&&(this.cstNode=e),this.options.keepNodeTypes&&(this.type="DOCUMENT");var n=e.directives,r=void 0===n?[]:n,i=e.contents,o=void 0===i?[]:i,a=e.directivesEndMarker,s=e.error,l=e.valueRange;if(s&&(s.source||(s.source=this),this.errors.push(s)),function(e,t,n){var r,i=[],o=!1,a=E(t);try{for(a.s();!(r=a.n()).done;){var s=r.value,l=s.comment,u=s.name;switch(u){case"TAG":try{e.tagPrefixes.push(Ht(e,s))}catch(t){e.errors.push(t)}o=!0;break;case"YAML":case"YAML:1.0":e.version&&e.errors.push(new N(s,"The %YAML directive must only be given at most once per document."));try{e.version=Vt(e,s)}catch(t){e.errors.push(t)}o=!0;break;default:if(u){var c="YAML only supports %TAG and %YAML directives, and not %".concat(u);e.warnings.push(new F(s,c))}}l&&i.push(l)}}catch(e){a.e(e)}finally{a.f()}n&&!o&&"1.1"===(e.version||n.version||e.options.version)&&(e.tagPrefixes=n.tagPrefixes.map((function(e){return{handle:e.handle,prefix:e.prefix}})),e.version=n.version),e.commentBefore=i.join("\n")||null}(this,r,t),a&&(this.directivesEndMarker=!0),this.range=l?[l.start,l.end]:null,this.setSchema(),this.anchors._cstAliases=[],function(e,t){var n,r={before:[],after:[]},i=void 0,o=!1,a=E(t);try{for(a.s();!(n=a.n()).done;){var s=n.value;if(s.valueRange){if(void 0!==i){e.errors.push(new j(s,"Document contains trailing content not separated by a ... or --- line"));break}var l=qe(e,s);o&&(l.spaceBefore=!0,o=!1),i=l}else null!==s.comment?(void 0===i?r.before:r.after).push(s.comment):s.type===S.BLANK_LINE&&(o=!0,void 0===i&&r.before.length>0&&!e.commentBefore&&(e.commentBefore=r.before.join("\n"),r.before=[]))}}catch(e){a.e(e)}finally{a.f()}if(e.contents=i||null,i){var u=r.before.join("\n");if(u){var c=i instanceof le&&i.items[0]?i.items[0]:i;c.commentBefore=c.commentBefore?"".concat(u,"\n").concat(c.commentBefore):u}e.comment=r.after.join("\n")||null}else e.comment=r.before.concat(r.after).join("\n")||null}(this,o),this.anchors.resolveNodes(),this.options.prettyErrors){var u,c=E(this.errors);try{for(c.s();!(u=c.n()).done;){var d=u.value;d instanceof M&&d.makePretty()}}catch(e){c.e(e)}finally{c.f()}var p,f=E(this.warnings);try{for(f.s();!(p=f.n()).done;){var h=p.value;h instanceof M&&h.makePretty()}}catch(e){f.e(e)}finally{f.f()}}return this}},{key:"listNonDefaultTags",value:function(){return Wt(this.contents).filter((function(e){return 0!==e.indexOf(Nt.defaultPrefix)}))}},{key:"setTagPrefix",value:function(e,t){if("!"!==e[0]||"!"!==e[e.length-1])throw new Error("Handle must start and end with !");if(t){var n=this.tagPrefixes.find((function(t){return t.handle===e}));n?n.prefix=t:this.tagPrefixes.push({handle:e,prefix:t})}else this.tagPrefixes=this.tagPrefixes.filter((function(t){return t.handle!==e}))}},{key:"toJSON",value:function(e,t){var n=this,r=this.options,i=r.keepBlobsInJSON,o=r.mapAsMap,a=r.maxAliasCount,s=i&&("string"!=typeof e||!(this.contents instanceof oe)),l={doc:this,indentStep:" ",keep:s,mapAsMap:s&&!!o,maxAliasCount:a,stringify:Ut},u=Object.keys(this.anchors.map);u.length>0&&(l.anchors=new Map(u.map((function(e){return[n.anchors.map[e],{alias:[],aliasCount:0,count:1}]}))));var c=ie(this.contents,e,l);if("function"==typeof t&&l.anchors){var d,p=E(l.anchors.values());try{for(p.s();!(d=p.n()).done;){var f=d.value,h=f.count;t(f.res,h)}}catch(e){p.e(e)}finally{p.f()}}return c}},{key:"toString",value:function(){if(this.errors.length>0)throw new Error("Document with errors cannot be stringified");var e=this.options.indent;if(!Number.isInteger(e)||e<=0){var t=JSON.stringify(e);throw new Error('"indent" option must be a positive integer, not '.concat(t))}this.setSchema();var n=[],r=!1;if(this.version){var i="%YAML 1.2";"yaml-1.1"===this.schema.name&&("1.0"===this.version?i="%YAML:1.0":"1.1"===this.version&&(i="%YAML 1.1")),n.push(i),r=!0}var o=this.listNonDefaultTags();this.tagPrefixes.forEach((function(e){var t=e.handle,i=e.prefix;o.some((function(e){return 0===e.indexOf(i)}))&&(n.push("%TAG ".concat(t," ").concat(i)),r=!0)})),(r||this.directivesEndMarker)&&n.push("---"),this.commentBefore&&(!r&&this.directivesEndMarker||n.unshift(""),n.unshift(this.commentBefore.replace(/^/gm,"#")));var a={anchors:Object.create(null),doc:this,indent:"",indentStep:" ".repeat(e),stringify:Ut},s=!1,l=null;if(this.contents){this.contents instanceof re&&(this.contents.spaceBefore&&(r||this.directivesEndMarker)&&n.push(""),this.contents.commentBefore&&n.push(this.contents.commentBefore.replace(/^/gm,"#")),a.forceBlockIndent=!!this.comment,l=this.contents.comment);var u=l?null:function(){return s=!0},c=Ut(this.contents,a,(function(){return l=null}),u);n.push(ne(c,"",l))}else void 0!==this.contents&&n.push(Ut(this.contents,a));return this.comment&&(s&&!l||""===n[n.length-1]||n.push(""),n.push(this.comment.replace(/^/gm,"#"))),n.join("\n")+"\n"}}]),e}();s(Kt,"defaults",Bt);var Yt=function(e){l(n,e);var t=g(n);function n(e){return i(this,n),t.call(this,Object.assign({},jt,e))}return n}(Kt);function Zt(e,t){var n=te(e),r=new Yt(t).parse(n[0]);return n.length>1&&r.errors.unshift(new N(n[1],"Source contains multiple documents; please use YAML.parseAllDocuments()")),r}var Xt={createNode:function(e){var t=!(arguments.length>1&&void 0!==arguments[1])||arguments[1],n=arguments.length>2?arguments[2]:void 0;void 0===n&&"string"==typeof t&&(n=t,t=!0);var r=Object.assign({},Kt.defaults[jt.version],jt);return new Nt(r).createNode(e,t,n)},defaultOptions:jt,Document:Yt,parse:function(e,t){var n=Zt(e,t);if(n.warnings.forEach((function(e){return lt(e)})),n.errors.length>0)throw n.errors[0];return n.toJSON()},parseAllDocuments:function(e,t){var n,r,i=[],o=E(te(e));try{for(o.s();!(r=o.n()).done;){var a=r.value,s=new Yt(t);s.parse(a,n),i.push(s),n=s}}catch(e){o.e(e)}finally{o.f()}return i},parseCST:te,parseDocument:Zt,scalarOptions:Ft,stringify:function(e,t){var n=new Yt(t);return n.contents=e,String(n)}}},22017:e=>{"use strict";e.exports=JSON.parse('{"nbsp":" ","iexcl":"¡","cent":"¢","pound":"£","curren":"¤","yen":"¥","brvbar":"¦","sect":"§","uml":"¨","copy":"©","ordf":"ª","laquo":"«","not":"¬","shy":"­","reg":"®","macr":"¯","deg":"°","plusmn":"±","sup2":"²","sup3":"³","acute":"´","micro":"µ","para":"¶","middot":"·","cedil":"¸","sup1":"¹","ordm":"º","raquo":"»","frac14":"¼","frac12":"½","frac34":"¾","iquest":"¿","Agrave":"À","Aacute":"Á","Acirc":"Â","Atilde":"Ã","Auml":"Ä","Aring":"Å","AElig":"Æ","Ccedil":"Ç","Egrave":"È","Eacute":"É","Ecirc":"Ê","Euml":"Ë","Igrave":"Ì","Iacute":"Í","Icirc":"Î","Iuml":"Ï","ETH":"Ð","Ntilde":"Ñ","Ograve":"Ò","Oacute":"Ó","Ocirc":"Ô","Otilde":"Õ","Ouml":"Ö","times":"×","Oslash":"Ø","Ugrave":"Ù","Uacute":"Ú","Ucirc":"Û","Uuml":"Ü","Yacute":"Ý","THORN":"Þ","szlig":"ß","agrave":"à","aacute":"á","acirc":"â","atilde":"ã","auml":"ä","aring":"å","aelig":"æ","ccedil":"ç","egrave":"è","eacute":"é","ecirc":"ê","euml":"ë","igrave":"ì","iacute":"í","icirc":"î","iuml":"ï","eth":"ð","ntilde":"ñ","ograve":"ò","oacute":"ó","ocirc":"ô","otilde":"õ","ouml":"ö","divide":"÷","oslash":"ø","ugrave":"ù","uacute":"ú","ucirc":"û","uuml":"ü","yacute":"ý","thorn":"þ","yuml":"ÿ","fnof":"ƒ","Alpha":"Α","Beta":"Β","Gamma":"Γ","Delta":"Δ","Epsilon":"Ε","Zeta":"Ζ","Eta":"Η","Theta":"Θ","Iota":"Ι","Kappa":"Κ","Lambda":"Λ","Mu":"Μ","Nu":"Ν","Xi":"Ξ","Omicron":"Ο","Pi":"Π","Rho":"Ρ","Sigma":"Σ","Tau":"Τ","Upsilon":"Υ","Phi":"Φ","Chi":"Χ","Psi":"Ψ","Omega":"Ω","alpha":"α","beta":"β","gamma":"γ","delta":"δ","epsilon":"ε","zeta":"ζ","eta":"η","theta":"θ","iota":"ι","kappa":"κ","lambda":"λ","mu":"μ","nu":"ν","xi":"ξ","omicron":"ο","pi":"π","rho":"ρ","sigmaf":"ς","sigma":"σ","tau":"τ","upsilon":"υ","phi":"φ","chi":"χ","psi":"ψ","omega":"ω","thetasym":"ϑ","upsih":"ϒ","piv":"ϖ","bull":"•","hellip":"…","prime":"","Prime":"″","oline":"‾","frasl":"","weierp":"℘","image":"","real":"","trade":"™","alefsym":"ℵ","larr":"←","uarr":"↑","rarr":"→","darr":"↓","harr":"↔","crarr":"↵","lArr":"⇐","uArr":"⇑","rArr":"⇒","dArr":"⇓","hArr":"⇔","forall":"∀","part":"∂","exist":"∃","empty":"∅","nabla":"∇","isin":"∈","notin":"∉","ni":"∋","prod":"∏","sum":"∑","minus":"","lowast":"","radic":"√","prop":"∝","infin":"∞","ang":"∠","and":"∧","or":"","cap":"∩","cup":"","int":"∫","there4":"∴","sim":"","cong":"≅","asymp":"≈","ne":"≠","equiv":"≡","le":"≤","ge":"≥","sub":"⊂","sup":"⊃","nsub":"⊄","sube":"⊆","supe":"⊇","oplus":"⊕","otimes":"⊗","perp":"⊥","sdot":"⋅","lceil":"⌈","rceil":"⌉","lfloor":"⌊","rfloor":"⌋","lang":"〈","rang":"〉","loz":"◊","spades":"♠","clubs":"♣","hearts":"♥","diams":"♦","quot":"\\"","amp":"&","lt":"<","gt":">","OElig":"Œ","oelig":"œ","Scaron":"Š","scaron":"š","Yuml":"Ÿ","circ":"ˆ","tilde":"˜","ensp":"","emsp":"","thinsp":"","zwnj":"","zwj":"","lrm":"","rlm":"","ndash":"","mdash":"—","lsquo":"","rsquo":"","sbquo":"","ldquo":"“","rdquo":"”","bdquo":"„","dagger":"†","Dagger":"‡","permil":"‰","lsaquo":"","rsaquo":"","euro":"€"}')},93562:e=>{"use strict";e.exports=JSON.parse('{"AElig":"Æ","AMP":"&","Aacute":"Á","Acirc":"Â","Agrave":"À","Aring":"Å","Atilde":"Ã","Auml":"Ä","COPY":"©","Ccedil":"Ç","ETH":"Ð","Eacute":"É","Ecirc":"Ê","Egrave":"È","Euml":"Ë","GT":">","Iacute":"Í","Icirc":"Î","Igrave":"Ì","Iuml":"Ï","LT":"<","Ntilde":"Ñ","Oacute":"Ó","Ocirc":"Ô","Ograve":"Ò","Oslash":"Ø","Otilde":"Õ","Ouml":"Ö","QUOT":"\\"","REG":"®","THORN":"Þ","Uacute":"Ú","Ucirc":"Û","Ugrave":"Ù","Uuml":"Ü","Yacute":"Ý","aacute":"á","acirc":"â","acute":"´","aelig":"æ","agrave":"à","amp":"&","aring":"å","atilde":"ã","auml":"ä","brvbar":"¦","ccedil":"ç","cedil":"¸","cent":"¢","copy":"©","curren":"¤","deg":"°","divide":"÷","eacute":"é","ecirc":"ê","egrave":"è","eth":"ð","euml":"ë","frac12":"½","frac14":"¼","frac34":"¾","gt":">","iacute":"í","icirc":"î","iexcl":"¡","igrave":"ì","iquest":"¿","iuml":"ï","laquo":"«","lt":"<","macr":"¯","micro":"µ","middot":"·","nbsp":" ","not":"¬","ntilde":"ñ","oacute":"ó","ocirc":"ô","ograve":"ò","ordf":"ª","ordm":"º","oslash":"ø","otilde":"õ","ouml":"ö","para":"¶","plusmn":"±","pound":"£","quot":"\\"","raquo":"»","reg":"®","sect":"§","shy":"­","sup1":"¹","sup2":"²","sup3":"³","szlig":"ß","thorn":"þ","times":"×","uacute":"ú","ucirc":"û","ugrave":"ù","uml":"¨","uuml":"ü","yacute":"ý","yen":"¥","yuml":"ÿ"}')},71216:e=>{"use strict";e.exports=JSON.parse('{"0":"<22>","128":"€","130":"","131":"ƒ","132":"„","133":"…","134":"†","135":"‡","136":"ˆ","137":"‰","138":"Š","139":"","140":"Œ","142":"Ž","145":"","146":"","147":"“","148":"”","149":"•","150":"","151":"—","152":"˜","153":"™","154":"š","155":"","156":"œ","158":"ž","159":"Ÿ"}')},98176:e=>{"use strict";e.exports=JSON.parse('["altGlyph","altGlyphDef","altGlyphItem","animateColor","animateMotion","animateTransform","clipPath","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feDistantLight","feDropShadow","feFlood","feFuncA","feFuncB","feFuncG","feFuncR","feGaussianBlur","feImage","feMerge","feMergeNode","feMorphology","feOffset","fePointLight","feSpecularLighting","feSpotLight","feTile","feTurbulence","foreignObject","glyphRef","linearGradient","radialGradient","solidColor","textArea","textPath"]')},25183:e=>{"use strict";e.exports=JSON.parse('["area","base","basefont","bgsound","br","col","command","embed","frame","hr","image","img","input","isindex","keygen","link","menuitem","meta","nextid","param","source","track","wbr"]')},47185:e=>{"use strict";e.exports=JSON.parse('{"classId":"classID","dataType":"datatype","itemId":"itemID","strokeDashArray":"strokeDasharray","strokeDashOffset":"strokeDashoffset","strokeLineCap":"strokeLinecap","strokeLineJoin":"strokeLinejoin","strokeMiterLimit":"strokeMiterlimit","typeOf":"typeof","xLinkActuate":"xlinkActuate","xLinkArcRole":"xlinkArcrole","xLinkHref":"xlinkHref","xLinkRole":"xlinkRole","xLinkShow":"xlinkShow","xLinkTitle":"xlinkTitle","xLinkType":"xlinkType","xmlnsXLink":"xmlnsXlink"}')},99026:e=>{"use strict";e.exports=JSON.parse('{"abandonedHeadElementChild":{"reason":"Unexpected metadata element after head","description":"Unexpected element after head. Expected the element before `</head>`","url":false},"abruptClosingOfEmptyComment":{"reason":"Unexpected abruptly closed empty comment","description":"Unexpected `>` or `->`. Expected `--\x3e` to close comments"},"abruptDoctypePublicIdentifier":{"reason":"Unexpected abruptly closed public identifier","description":"Unexpected `>`. Expected a closing `\\"` or `\'` after the public identifier"},"abruptDoctypeSystemIdentifier":{"reason":"Unexpected abruptly closed system identifier","description":"Unexpected `>`. Expected a closing `\\"` or `\'` after the identifier identifier"},"absenceOfDigitsInNumericCharacterReference":{"reason":"Unexpected non-digit at start of numeric character reference","description":"Unexpected `%c`. Expected `[0-9]` for decimal references or `[0-9a-fA-F]` for hexadecimal references"},"cdataInHtmlContent":{"reason":"Unexpected CDATA section in HTML","description":"Unexpected `<![CDATA[` in HTML. Remove it, use a comment, or encode special characters instead"},"characterReferenceOutsideUnicodeRange":{"reason":"Unexpected too big numeric character reference","description":"Unexpectedly high character reference. Expected character references to be at most hexadecimal 10ffff (or decimal 1114111)"},"closingOfElementWithOpenChildElements":{"reason":"Unexpected closing tag with open child elements","description":"Unexpectedly closing tag. Expected other tags to be closed first","url":false},"controlCharacterInInputStream":{"reason":"Unexpected control character","description":"Unexpected control character `%x`. Expected a non-control code point, 0x00, or ASCII whitespace"},"controlCharacterReference":{"reason":"Unexpected control character reference","description":"Unexpectedly control character in reference. Expected a non-control code point, 0x00, or ASCII whitespace"},"disallowedContentInNoscriptInHead":{"reason":"Disallowed content inside `<noscript>` in `<head>`","description":"Unexpected text character `%c`. Only use text in `<noscript>`s in `<body>`","url":false},"duplicateAttribute":{"reason":"Unexpected duplicate attribute","description":"Unexpectedly double attribute. Expected attributes to occur only once"},"endTagWithAttributes":{"reason":"Unexpected attribute on closing tag","description":"Unexpected attribute. Expected `>` instead"},"endTagWithTrailingSolidus":{"reason":"Unexpected slash at end of closing tag","description":"Unexpected `%c-1`. Expected `>` instead"},"endTagWithoutMatchingOpenElement":{"reason":"Unexpected unopened end tag","description":"Unexpected end tag. Expected no end tag or another end tag","url":false},"eofBeforeTagName":{"reason":"Unexpected end of file","description":"Unexpected end of file. Expected tag name instead"},"eofInCdata":{"reason":"Unexpected end of file in CDATA","description":"Unexpected end of file. Expected `]]>` to close the CDATA"},"eofInComment":{"reason":"Unexpected end of file in comment","description":"Unexpected end of file. Expected `--\x3e` to close the comment"},"eofInDoctype":{"reason":"Unexpected end of file in doctype","description":"Unexpected end of file. Expected a valid doctype (such as `<!doctype html>`)"},"eofInElementThatCanContainOnlyText":{"reason":"Unexpected end of file in element that can only contain text","description":"Unexpected end of file. Expected text or a closing tag","url":false},"eofInScriptHtmlCommentLikeText":{"reason":"Unexpected end of file in comment inside script","description":"Unexpected end of file. Expected `--\x3e` to close the comment"},"eofInTag":{"reason":"Unexpected end of file in tag","description":"Unexpected end of file. Expected `>` to close the tag"},"incorrectlyClosedComment":{"reason":"Incorrectly closed comment","description":"Unexpected `%c-1`. Expected `--\x3e` to close the comment"},"incorrectlyOpenedComment":{"reason":"Incorrectly opened comment","description":"Unexpected `%c`. Expected `\x3c!--` to open the comment"},"invalidCharacterSequenceAfterDoctypeName":{"reason":"Invalid sequence after doctype name","description":"Unexpected sequence at `%c`. Expected `public` or `system`"},"invalidFirstCharacterOfTagName":{"reason":"Invalid first character in tag name","description":"Unexpected `%c`. Expected an ASCII letter instead"},"misplacedDoctype":{"reason":"Misplaced doctype","description":"Unexpected doctype. Expected doctype before head","url":false},"misplacedStartTagForHeadElement":{"reason":"Misplaced `<head>` start tag","description":"Unexpected start tag `<head>`. Expected `<head>` directly after doctype","url":false},"missingAttributeValue":{"reason":"Missing attribute value","description":"Unexpected `%c-1`. Expected an attribute value or no `%c-1` instead"},"missingDoctype":{"reason":"Missing doctype before other content","description":"Expected a `<!doctype html>` before anything else","url":false},"missingDoctypeName":{"reason":"Missing doctype name","description":"Unexpected doctype end at `%c`. Expected `html` instead"},"missingDoctypePublicIdentifier":{"reason":"Missing public identifier in doctype","description":"Unexpected `%c`. Expected identifier for `public` instead"},"missingDoctypeSystemIdentifier":{"reason":"Missing system identifier in doctype","description":"Unexpected `%c`. Expected identifier for `system` instead (suggested: `\\"about:legacy-compat\\"`)"},"missingEndTagName":{"reason":"Missing name in end tag","description":"Unexpected `%c`. Expected an ASCII letter instead"},"missingQuoteBeforeDoctypePublicIdentifier":{"reason":"Missing quote before public identifier in doctype","description":"Unexpected `%c`. Expected `\\"` or `\'` instead"},"missingQuoteBeforeDoctypeSystemIdentifier":{"reason":"Missing quote before system identifier in doctype","description":"Unexpected `%c`. Expected `\\"` or `\'` instead"},"missingSemicolonAfterCharacterReference":{"reason":"Missing semicolon after character reference","description":"Unexpected `%c`. Expected `;` instead"},"missingWhitespaceAfterDoctypePublicKeyword":{"reason":"Missing whitespace after public identifier in doctype","description":"Unexpected `%c`. Expected ASCII whitespace instead"},"missingWhitespaceAfterDoctypeSystemKeyword":{"reason":"Missing whitespace after system identifier in doctype","description":"Unexpected `%c`. Expected ASCII whitespace instead"},"missingWhitespaceBeforeDoctypeName":{"reason":"Missing whitespace before doctype name","description":"Unexpected `%c`. Expected ASCII whitespace instead"},"missingWhitespaceBetweenAttributes":{"reason":"Missing whitespace between attributes","description":"Unexpected `%c`. Expected ASCII whitespace instead"},"missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers":{"reason":"Missing whitespace between public and system identifiers in doctype","description":"Unexpected `%c`. Expected ASCII whitespace instead"},"nestedComment":{"reason":"Unexpected nested comment","description":"Unexpected `\x3c!--`. Expected `--\x3e`"},"nestedNoscriptInHead":{"reason":"Unexpected nested `<noscript>` in `<head>`","description":"Unexpected `<noscript>`. Expected a closing tag or a meta element","url":false},"nonConformingDoctype":{"reason":"Unexpected non-conforming doctype declaration","description":"Expected `<!doctype html>` or `<!doctype html system \\"about:legacy-compat\\">`","url":false},"nonVoidHtmlElementStartTagWithTrailingSolidus":{"reason":"Unexpected trailing slash on start tag of non-void element","description":"Unexpected `/`. Expected `>` instead"},"noncharacterCharacterReference":{"reason":"Unexpected noncharacter code point referenced by character reference","description":"Unexpected code point. Do not use noncharacters in HTML"},"noncharacterInInputStream":{"reason":"Unexpected noncharacter character","description":"Unexpected code point `%x`. Do not use noncharacters in HTML"},"nullCharacterReference":{"reason":"Unexpected NULL character referenced by character reference","description":"Unexpected code point. Do not use NULL characters in HTML"},"openElementsLeftAfterEof":{"reason":"Unexpected end of file","description":"Unexpected end of file. Expected closing tag instead","url":false},"surrogateCharacterReference":{"reason":"Unexpected surrogate character referenced by character reference","description":"Unexpected code point. Do not use lone surrogate characters in HTML"},"surrogateInInputStream":{"reason":"Unexpected surrogate character","description":"Unexpected code point `%x`. Do not use lone surrogate characters in HTML"},"unexpectedCharacterAfterDoctypeSystemIdentifier":{"reason":"Invalid character after system identifier in doctype","description":"Unexpected character at `%c`. Expected `>`"},"unexpectedCharacterInAttributeName":{"reason":"Unexpected character in attribute name","description":"Unexpected `%c`. Expected whitespace, `/`, `>`, `=`, or probably an ASCII letter"},"unexpectedCharacterInUnquotedAttributeValue":{"reason":"Unexpected character in unquoted attribute value","description":"Unexpected `%c`. Quote the attribute value to include it"},"unexpectedEqualsSignBeforeAttributeName":{"reason":"Unexpected equals sign before attribute name ","description":"Unexpected `%c`. Add an attribute name before it"},"unexpectedNullCharacter":{"reason":"Unexpected NULL character","description":"Unexpected code point `%x`. Do not use NULL characters in HTML"},"unexpectedQuestionMarkInsteadOfTagName":{"reason":"Unexpected question mark instead of tag name","description":"Unexpected `%c`. Expected an ASCII letter instead"},"unexpectedSolidusInTag":{"reason":"Unexpected slash in tag","description":"Unexpected `%c-1`. Expected it followed by `>` or in a quoted attribute value"},"unknownNamedCharacterReference":{"reason":"Unexpected unknown named character reference","description":"Unexpected character reference. Expected known named character references"}}')},11653:e=>{"use strict";e.exports=JSON.parse('["cent","copy","divide","gt","lt","not","para","times"]')},26916:e=>{"use strict";e.exports=JSON.parse('{"html":"http://www.w3.org/1999/xhtml","mathml":"http://www.w3.org/1998/Math/MathML","svg":"http://www.w3.org/2000/svg","xlink":"http://www.w3.org/1999/xlink","xml":"http://www.w3.org/XML/1998/namespace","xmlns":"http://www.w3.org/2000/xmlns/"}')},74388:e=>{"use strict";e.exports=JSON.parse('{"$id":"https://raw.githubusercontent.com/ajv-validator/ajv/master/lib/refs/data.json#","description":"Meta-schema for $data reference (JSON AnySchema extension proposal)","type":"object","required":["$data"],"properties":{"$data":{"type":"string","anyOf":[{"format":"relative-json-pointer"},{"format":"json-pointer"}]}},"additionalProperties":false}')},44746:e=>{"use strict";e.exports=JSON.parse('{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://json-schema.org/draft-07/schema#","title":"Core schema meta-schema","definitions":{"schemaArray":{"type":"array","minItems":1,"items":{"$ref":"#"}},"nonNegativeInteger":{"type":"integer","minimum":0},"nonNegativeIntegerDefault0":{"allOf":[{"$ref":"#/definitions/nonNegativeInteger"},{"default":0}]},"simpleTypes":{"enum":["array","boolean","integer","null","number","object","string"]},"stringArray":{"type":"array","items":{"type":"string"},"uniqueItems":true,"default":[]}},"type":["object","boolean"],"properties":{"$id":{"type":"string","format":"uri-reference"},"$schema":{"type":"string","format":"uri"},"$ref":{"type":"string","format":"uri-reference"},"$comment":{"type":"string"},"title":{"type":"string"},"description":{"type":"string"},"default":true,"readOnly":{"type":"boolean","default":false},"examples":{"type":"array","items":true},"multipleOf":{"type":"number","exclusiveMinimum":0},"maximum":{"type":"number"},"exclusiveMaximum":{"type":"number"},"minimum":{"type":"number"},"exclusiveMinimum":{"type":"number"},"maxLength":{"$ref":"#/definitions/nonNegativeInteger"},"minLength":{"$ref":"#/definitions/nonNegativeIntegerDefault0"},"pattern":{"type":"string","format":"regex"},"additionalItems":{"$ref":"#"},"items":{"anyOf":[{"$ref":"#"},{"$ref":"#/definitions/schemaArray"}],"default":true},"maxItems":{"$ref":"#/definitions/nonNegativeInteger"},"minItems":{"$ref":"#/definitions/nonNegativeIntegerDefault0"},"uniqueItems":{"type":"boolean","default":false},"contains":{"$ref":"#"},"maxProperties":{"$ref":"#/definitions/nonNegativeInteger"},"minProperties":{"$ref":"#/definitions/nonNegativeIntegerDefault0"},"required":{"$ref":"#/definitions/stringArray"},"additionalProperties":{"$ref":"#"},"definitions":{"type":"object","additionalProperties":{"$ref":"#"},"default":{}},"properties":{"type":"object","additionalProperties":{"$ref":"#"},"default":{}},"patternProperties":{"type":"object","additionalProperties":{"$ref":"#"},"propertyNames":{"format":"regex"},"default":{}},"dependencies":{"type":"object","additionalProperties":{"anyOf":[{"$ref":"#"},{"$ref":"#/definitions/stringArray"}]}},"propertyNames":{"$ref":"#"},"const":true,"enum":{"type":"array","items":true,"minItems":1,"uniqueItems":true},"type":{"anyOf":[{"$ref":"#/definitions/simpleTypes"},{"type":"array","items":{"$ref":"#/definitions/simpleTypes"},"minItems":1,"uniqueItems":true}]},"format":{"type":"string"},"contentMediaType":{"type":"string"},"contentEncoding":{"type":"string"},"if":{"$ref":"#"},"then":{"$ref":"#"},"else":{"$ref":"#"},"allOf":{"$ref":"#/definitions/schemaArray"},"anyOf":{"$ref":"#/definitions/schemaArray"},"oneOf":{"$ref":"#/definitions/schemaArray"},"not":{"$ref":"#"}},"default":true}')},88194:e=>{"use strict";e.exports=JSON.parse('["cent","copy","divide","gt","lt","not","para","times"]')}},__webpack_module_cache__={},leafPrototypes,getProto;function __webpack_require__(e){var t=__webpack_module_cache__[e];if(void 0!==t)return t.exports;var n=__webpack_module_cache__[e]={id:e,loaded:!1,exports:{}};return __webpack_modules__[e].call(n.exports,n,n.exports,__webpack_require__),n.loaded=!0,n.exports}__webpack_require__.amdO={},__webpack_require__.n=e=>{var t=e&&e.__esModule?()=>e.default:()=>e;return __webpack_require__.d(t,{a:t}),t},getProto=Object.getPrototypeOf?e=>Object.getPrototypeOf(e):e=>e.__proto__,__webpack_require__.t=function(e,t){if(1&t&&(e=this(e)),8&t)return e;if("object"==typeof e&&e){if(4&t&&e.__esModule)return e;if(16&t&&"function"==typeof e.then)return e}var n=Object.create(null);__webpack_require__.r(n);var r={};leafPrototypes=leafPrototypes||[null,getProto({}),getProto([]),getProto(getProto)];for(var i=2&t&&e;"object"==typeof i&&!~leafPrototypes.indexOf(i);i=getProto(i))Object.getOwnPropertyNames(i).forEach((t=>r[t]=()=>e[t]));return r.default=()=>e,__webpack_require__.d(n,r),n},__webpack_require__.d=(e,t)=>{for(var n in t)__webpack_require__.o(t,n)&&!__webpack_require__.o(e,n)&&Object.defineProperty(e,n,{enumerable:!0,get:t[n]})},__webpack_require__.g=function(){if("object"==typeof globalThis)return globalThis;try{return this||new Function("return this")()}catch(e){if("object"==typeof window)return window}}(),__webpack_require__.hmd=e=>((e=Object.create(e)).children||(e.children=[]),Object.defineProperty(e,"exports",{enumerable:!0,set:()=>{throw new Error("ES Modules may not assign module.exports or exports.*, Use ESM export syntax, instead: "+e.id)}}),e),__webpack_require__.o=(e,t)=>Object.prototype.hasOwnProperty.call(e,t),__webpack_require__.r=e=>{"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},__webpack_require__.nmd=e=>(e.paths=[],e.children||(e.children=[]),e);var __webpack_exports__={};return(()=>{"use strict";__webpack_require__.d(__webpack_exports__,{DecapCms:()=>Vge});var e={};__webpack_require__.r(e),__webpack_require__.d(e,{SLUG_MISSING_REQUIRED_DATE:()=>_a,addFileTemplateFields:()=>Sa,compileStringTemplate:()=>Ea,dateParsers:()=>ba,expandPath:()=>ka,extractTemplateVars:()=>Ca,keyToPathArray:()=>xa,parseDateFromEntry:()=>wa});var t={};__webpack_require__.r(t),__webpack_require__.d(t,{FILE:()=>mg,HTML:()=>yg,TEXT:()=>vg,URL:()=>gg});var n={};__webpack_require__.r(n),__webpack_require__.d(n,{bg:()=>Tme,ca:()=>ime,cs:()=>Jhe,da:()=>eme,de:()=>tme,en:()=>nme,es:()=>rme,fa:()=>ame,fr:()=>ome,gr:()=>sme,he:()=>Dme,hr:()=>Sme,hu:()=>lme,it:()=>ume,ja:()=>dme,ko:()=>Cme,lt:()=>cme,mk:()=>Ome,nb_no:()=>fme,nl:()=>pme,nn_no:()=>hme,pl:()=>mme,pt:()=>gme,ro:()=>vme,ru:()=>yme,sl:()=>Ame,sv:()=>bme,th:()=>wme,tr:()=>_me,ua:()=>Rme,uk:()=>xme,vi:()=>kme,zh_Hans:()=>Pme,zh_Hant:()=>Eme});var r=__webpack_require__(58335),i=__webpack_require__.n(r),o=__webpack_require__(2784),a=__webpack_require__.t(o,2),s=__webpack_require__(17029),l=o.createContext(null),u=function(e){e()},c=function(){return u},d={notify:function(){},get:function(){return[]}};function p(e,t){var n,r=d;function i(){a.onStateChange&&a.onStateChange()}function o(){n||(n=t?t.addNestedSub(i):e.subscribe(i),r=function(){var e=c(),t=null,n=null;return{clear:function(){t=null,n=null},notify:function(){e((function(){for(var e=t;e;)e.callback(),e=e.next}))},get:function(){for(var e=[],n=t;n;)e.push(n),n=n.next;return e},subscribe:function(e){var r=!0,i=n={callback:e,next:null,prev:n};return i.prev?i.prev.next=i:t=i,function(){r&&null!==t&&(r=!1,i.next?i.next.prev=i.prev:n=i.prev,i.prev?i.prev.next=i.next:t=i.next)}}}}())}var a={addNestedSub:function(e){return o(),r.subscribe(e)},notifyNestedSubs:function(){r.notify()},handleChangeWrapper:i,isSubscribed:function(){return Boolean(n)},trySubscribe:o,tryUnsubscribe:function(){n&&(n(),n=void 0,r.clear(),r=d)},getListeners:function(){return r}};return a}var f="undefined"!=typeof window&&void 0!==window.document&&void 0!==window.document.createElement?o.useLayoutEffect:o.useEffect;const h=function(e){var t=e.store,n=e.context,r=e.children,i=(0,o.useMemo)((function(){var e=p(t);return{store:t,subscription:e}}),[t]),a=(0,o.useMemo)((function(){return t.getState()}),[t]);f((function(){var e=i.subscription;return e.onStateChange=e.notifyNestedSubs,e.trySubscribe(),a!==t.getState()&&e.notifyNestedSubs(),function(){e.tryUnsubscribe(),e.onStateChange=null}}),[i,a]);var s=n||l;return o.createElement(s.Provider,{value:i},r)};function m(){return m=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},m.apply(this,arguments)}function g(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}var v=__webpack_require__(73463),y=__webpack_require__.n(v),b=__webpack_require__(63920),w=["getDisplayName","methodName","renderCountProp","shouldHandleStateChanges","storeKey","withRef","forwardRef","context"],_=["reactReduxForwardedRef"],x=[],k=[null,null];function E(e,t){var n=e[1];return[t.payload,n+1]}function C(e,t,n){f((function(){return e.apply(void 0,t)}),n)}function S(e,t,n,r,i,o,a){e.current=r,t.current=i,n.current=!1,o.current&&(o.current=null,a())}function A(e,t,n,r,i,o,a,s,l,u){if(e){var c=!1,d=null,p=function(){if(!c){var e,n,p=t.getState();try{e=r(p,i.current)}catch(e){n=e,d=e}n||(d=null),e===o.current?a.current||l():(o.current=e,s.current=e,a.current=!0,u({type:"STORE_UPDATED",payload:{error:n}}))}};return n.onStateChange=p,n.trySubscribe(),p(),function(){if(c=!0,n.tryUnsubscribe(),n.onStateChange=null,d)throw d}}}var T=function(){return[null,0]};function O(e,t){void 0===t&&(t={});var n=t,r=n.getDisplayName,i=void 0===r?function(e){return"ConnectAdvanced("+e+")"}:r,a=n.methodName,s=void 0===a?"connectAdvanced":a,u=n.renderCountProp,c=void 0===u?void 0:u,d=n.shouldHandleStateChanges,f=void 0===d||d,h=n.storeKey,v=void 0===h?"store":h,O=(n.withRef,n.forwardRef),P=void 0!==O&&O,D=n.context,R=void 0===D?l:D,I=g(n,w),M=R;return function(t){var n=t.displayName||t.name||"Component",r=i(n),a=m({},I,{getDisplayName:i,methodName:s,renderCountProp:c,shouldHandleStateChanges:f,storeKey:v,displayName:r,wrappedComponentName:n,WrappedComponent:t}),l=I.pure,u=l?o.useMemo:function(e){return e()};function d(n){var r=(0,o.useMemo)((function(){var e=n.reactReduxForwardedRef,t=g(n,_);return[n.context,e,t]}),[n]),i=r[0],s=r[1],l=r[2],c=(0,o.useMemo)((function(){return i&&i.Consumer&&(0,b.isContextConsumer)(o.createElement(i.Consumer,null))?i:M}),[i,M]),d=(0,o.useContext)(c),h=Boolean(n.store)&&Boolean(n.store.getState)&&Boolean(n.store.dispatch);Boolean(d)&&Boolean(d.store);var v=h?n.store:d.store,y=(0,o.useMemo)((function(){return function(t){return e(t.dispatch,a)}(v)}),[v]),w=(0,o.useMemo)((function(){if(!f)return k;var e=p(v,h?null:d.subscription),t=e.notifyNestedSubs.bind(e);return[e,t]}),[v,h,d]),O=w[0],P=w[1],D=(0,o.useMemo)((function(){return h?d:m({},d,{subscription:O})}),[h,d,O]),R=(0,o.useReducer)(E,x,T),I=R[0][0],L=R[1];if(I&&I.error)throw I.error;var N=(0,o.useRef)(),j=(0,o.useRef)(l),F=(0,o.useRef)(),B=(0,o.useRef)(!1),z=u((function(){return F.current&&l===j.current?F.current:y(v.getState(),l)}),[v,I,l]);C(S,[j,N,B,l,z,F,P]),C(A,[f,v,O,y,j,N,B,F,P,L],[v,O,y]);var U=(0,o.useMemo)((function(){return o.createElement(t,m({},z,{ref:s}))}),[s,t,z]);return(0,o.useMemo)((function(){return f?o.createElement(c.Provider,{value:D},U):U}),[c,U,D])}var h=l?o.memo(d):d;if(h.WrappedComponent=t,h.displayName=d.displayName=r,P){var w=o.forwardRef((function(e,t){return o.createElement(h,m({},e,{reactReduxForwardedRef:t}))}));return w.displayName=r,w.WrappedComponent=t,y()(w,t)}return y()(h,t)}}function P(e,t){return e===t?0!==e||0!==t||1/e==1/t:e!=e&&t!=t}function D(e,t){if(P(e,t))return!0;if("object"!=typeof e||null===e||"object"!=typeof t||null===t)return!1;var n=Object.keys(e),r=Object.keys(t);if(n.length!==r.length)return!1;for(var i=0;i<n.length;i++)if(!Object.prototype.hasOwnProperty.call(t,n[i])||!P(e[n[i]],t[n[i]]))return!1;return!0}function R(e){return function(t,n){var r=e(t,n);function i(){return r}return i.dependsOnOwnProps=!1,i}}function I(e){return null!==e.dependsOnOwnProps&&void 0!==e.dependsOnOwnProps?Boolean(e.dependsOnOwnProps):1!==e.length}function M(e,t){return function(t,n){n.displayName;var r=function(e,t){return r.dependsOnOwnProps?r.mapToProps(e,t):r.mapToProps(e)};return r.dependsOnOwnProps=!0,r.mapToProps=function(t,n){r.mapToProps=e,r.dependsOnOwnProps=I(e);var i=r(t,n);return"function"==typeof i&&(r.mapToProps=i,r.dependsOnOwnProps=I(i),i=r(t,n)),i},r}}const L=[function(e){return"function"==typeof e?M(e):void 0},function(e){return e?void 0:R((function(e){return{dispatch:e}}))},function(e){return e&&"object"==typeof e?R((function(t){return function(e,t){var n={},r=function(r){var i=e[r];"function"==typeof i&&(n[r]=function(){return t(i.apply(void 0,arguments))})};for(var i in e)r(i);return n}(e,t)})):void 0}],N=[function(e){return"function"==typeof e?M(e):void 0},function(e){return e?void 0:R((function(){return{}}))}];function j(e,t,n){return m({},n,e,t)}const F=[function(e){return"function"==typeof e?function(e){return function(t,n){n.displayName;var r,i=n.pure,o=n.areMergedPropsEqual,a=!1;return function(t,n,s){var l=e(t,n,s);return a?i&&o(l,r)||(r=l):(a=!0,r=l),r}}}(e):void 0},function(e){return e?void 0:function(){return j}}];var B=["initMapStateToProps","initMapDispatchToProps","initMergeProps"];function z(e,t,n,r){return function(i,o){return n(e(i,o),t(r,o),o)}}function U(e,t,n,r,i){var o,a,s,l,u,c=i.areStatesEqual,d=i.areOwnPropsEqual,p=i.areStatePropsEqual,f=!1;return function(i,h){return f?function(i,f){var h,m,g=!d(f,a),v=!c(i,o,f,a);return o=i,a=f,g&&v?(s=e(o,a),t.dependsOnOwnProps&&(l=t(r,a)),u=n(s,l,a)):g?(e.dependsOnOwnProps&&(s=e(o,a)),t.dependsOnOwnProps&&(l=t(r,a)),u=n(s,l,a)):v?(h=e(o,a),m=!p(h,s),s=h,m&&(u=n(s,l,a)),u):u}(i,h):(s=e(o=i,a=h),l=t(r,a),u=n(s,l,a),f=!0,u)}}function q(e,t){var n=t.initMapStateToProps,r=t.initMapDispatchToProps,i=t.initMergeProps,o=g(t,B),a=n(e,o),s=r(e,o),l=i(e,o);return(o.pure?U:z)(a,s,l,e,o)}var $=["pure","areStatesEqual","areOwnPropsEqual","areStatePropsEqual","areMergedPropsEqual"];function W(e,t,n){for(var r=t.length-1;r>=0;r--){var i=t[r](e);if(i)return i}return function(t,r){throw new Error("Invalid value of type "+typeof e+" for "+n+" argument when connecting component "+r.wrappedComponentName+".")}}function H(e,t){return e===t}function V(e){var t=void 0===e?{}:e,n=t.connectHOC,r=void 0===n?O:n,i=t.mapStateToPropsFactories,o=void 0===i?N:i,a=t.mapDispatchToPropsFactories,s=void 0===a?L:a,l=t.mergePropsFactories,u=void 0===l?F:l,c=t.selectorFactory,d=void 0===c?q:c;return function(e,t,n,i){void 0===i&&(i={});var a=i,l=a.pure,c=void 0===l||l,p=a.areStatesEqual,f=void 0===p?H:p,h=a.areOwnPropsEqual,v=void 0===h?D:h,y=a.areStatePropsEqual,b=void 0===y?D:y,w=a.areMergedPropsEqual,_=void 0===w?D:w,x=g(a,$),k=W(e,o,"mapStateToProps"),E=W(t,s,"mapDispatchToProps"),C=W(n,u,"mergeProps");return r(d,m({methodName:"connect",getDisplayName:function(e){return"Connect("+e+")"},shouldHandleStateChanges:Boolean(e),initMapStateToProps:k,initMapDispatchToProps:E,initMergeProps:C,pure:c,areStatesEqual:f,areOwnPropsEqual:v,areStatePropsEqual:b,areMergedPropsEqual:_},x))}}const G=V();function K(){return(0,o.useContext)(l)}function Y(e){void 0===e&&(e=l);var t=e===l?K:function(){return(0,o.useContext)(e)};return function(){return t().store}}var Z=Y();function X(e){void 0===e&&(e=l);var t=e===l?Z:Y(e);return function(){return t().dispatch}}var Q,J=X(),ee=__webpack_require__(28316);function te(e,t){return te=Object.setPrototypeOf?Object.setPrototypeOf.bind():function(e,t){return e.__proto__=t,e},te(e,t)}function ne(e,t){e.prototype=Object.create(t.prototype),e.prototype.constructor=e,te(e,t)}Q=ee.unstable_batchedUpdates,u=Q;var re=__webpack_require__(13980),ie=__webpack_require__.n(re);function oe(e){return"/"===e.charAt(0)}function ae(e,t){for(var n=t,r=n+1,i=e.length;r<i;n+=1,r+=1)e[n]=e[r];e.pop()}const se=function(e,t){void 0===t&&(t="");var n,r=e&&e.split("/")||[],i=t&&t.split("/")||[],o=e&&oe(e),a=t&&oe(t),s=o||a;if(e&&oe(e)?i=r:r.length&&(i.pop(),i=i.concat(r)),!i.length)return"/";if(i.length){var l=i[i.length-1];n="."===l||".."===l||""===l}else n=!1;for(var u=0,c=i.length;c>=0;c--){var d=i[c];"."===d?ae(i,c):".."===d?(ae(i,c),u++):u&&(ae(i,c),u--)}if(!s)for(;u--;u)i.unshift("..");!s||""===i[0]||i[0]&&oe(i[0])||i.unshift("");var p=i.join("/");return n&&"/"!==p.substr(-1)&&(p+="/"),p};function le(e){return e.valueOf?e.valueOf():Object.prototype.valueOf.call(e)}const ue=function e(t,n){if(t===n)return!0;if(null==t||null==n)return!1;if(Array.isArray(t))return Array.isArray(n)&&t.length===n.length&&t.every((function(t,r){return e(t,n[r])}));if("object"==typeof t||"object"==typeof n){var r=le(t),i=le(n);return r!==t||i!==n?e(r,i):Object.keys(Object.assign({},t,n)).every((function(r){return e(t[r],n[r])}))}return!1};var ce=!0,de="Invariant failed";function pe(e,t){if(!e){if(ce)throw new Error(de);var n="function"==typeof t?t():t,r=n?"".concat(de,": ").concat(n):de;throw new Error(r)}}function fe(e){return"/"===e.charAt(0)?e:"/"+e}function he(e){return"/"===e.charAt(0)?e.substr(1):e}function me(e,t){return function(e,t){return 0===e.toLowerCase().indexOf(t.toLowerCase())&&-1!=="/?#".indexOf(e.charAt(t.length))}(e,t)?e.substr(t.length):e}function ge(e){return"/"===e.charAt(e.length-1)?e.slice(0,-1):e}function ve(e){var t=e.pathname,n=e.search,r=e.hash,i=t||"/";return n&&"?"!==n&&(i+="?"===n.charAt(0)?n:"?"+n),r&&"#"!==r&&(i+="#"===r.charAt(0)?r:"#"+r),i}function ye(e,t,n,r){var i;"string"==typeof e?(i=function(e){var t=e||"/",n="",r="",i=t.indexOf("#");-1!==i&&(r=t.substr(i),t=t.substr(0,i));var o=t.indexOf("?");return-1!==o&&(n=t.substr(o),t=t.substr(0,o)),{pathname:t,search:"?"===n?"":n,hash:"#"===r?"":r}}(e),i.state=t):(void 0===(i=m({},e)).pathname&&(i.pathname=""),i.search?"?"!==i.search.charAt(0)&&(i.search="?"+i.search):i.search="",i.hash?"#"!==i.hash.charAt(0)&&(i.hash="#"+i.hash):i.hash="",void 0!==t&&void 0===i.state&&(i.state=t));try{i.pathname=decodeURI(i.pathname)}catch(e){throw e instanceof URIError?new URIError('Pathname "'+i.pathname+'" could not be decoded. This is likely caused by an invalid percent-encoding.'):e}return n&&(i.key=n),r?i.pathname?"/"!==i.pathname.charAt(0)&&(i.pathname=se(i.pathname,r.pathname)):i.pathname=r.pathname:i.pathname||(i.pathname="/"),i}function be(){var e=null,t=[];return{setPrompt:function(t){return e=t,function(){e===t&&(e=null)}},confirmTransitionTo:function(t,n,r,i){if(null!=e){var o="function"==typeof e?e(t,n):e;"string"==typeof o?"function"==typeof r?r(o,i):i(!0):i(!1!==o)}else i(!0)},appendListener:function(e){var n=!0;function r(){n&&e.apply(void 0,arguments)}return t.push(r),function(){n=!1,t=t.filter((function(e){return e!==r}))}},notifyListeners:function(){for(var e=arguments.length,n=new Array(e),r=0;r<e;r++)n[r]=arguments[r];t.forEach((function(e){return e.apply(void 0,n)}))}}}var we=!("undefined"==typeof window||!window.document||!window.document.createElement);function _e(e,t){t(window.confirm(e))}var xe="hashchange",ke={hashbang:{encodePath:function(e){return"!"===e.charAt(0)?e:"!/"+he(e)},decodePath:function(e){return"!"===e.charAt(0)?e.substr(1):e}},noslash:{encodePath:he,decodePath:fe},slash:{encodePath:fe,decodePath:fe}};function Ee(e){var t=e.indexOf("#");return-1===t?e:e.slice(0,t)}function Ce(){var e=window.location.href,t=e.indexOf("#");return-1===t?"":e.substring(t+1)}function Se(e){window.location.replace(Ee(window.location.href)+"#"+e)}var Ae=__webpack_require__(79056),Te=__webpack_require__.n(Ae),Oe=__webpack_require__(48570),Pe=1073741823,De="undefined"!=typeof globalThis?globalThis:"undefined"!=typeof window?window:void 0!==__webpack_require__.g?__webpack_require__.g:{},Re=o.createContext||function(e,t){var n,r,i,a="__create-react-context-"+((De[i="__global_unique_id__"]=(De[i]||0)+1)+"__"),s=function(e){function n(){for(var t,n,r,i=arguments.length,o=new Array(i),a=0;a<i;a++)o[a]=arguments[a];return(t=e.call.apply(e,[this].concat(o))||this).emitter=(n=t.props.value,r=[],{on:function(e){r.push(e)},off:function(e){r=r.filter((function(t){return t!==e}))},get:function(){return n},set:function(e,t){n=e,r.forEach((function(e){return e(n,t)}))}}),t}ne(n,e);var r=n.prototype;return r.getChildContext=function(){var e;return(e={})[a]=this.emitter,e},r.componentWillReceiveProps=function(e){if(this.props.value!==e.value){var n,r=this.props.value,i=e.value;!function(e,t){return e===t?0!==e||1/e==1/t:e!=e&&t!=t}(r,i)?(n="function"==typeof t?t(r,i):Pe,0!=(n|=0)&&this.emitter.set(e.value,n)):n=0}},r.render=function(){return this.props.children},n}(o.Component);s.childContextTypes=((n={})[a]=ie().object.isRequired,n);var l=function(t){function n(){for(var e,n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];return(e=t.call.apply(t,[this].concat(r))||this).observedBits=void 0,e.state={value:e.getValue()},e.onUpdate=function(t,n){0!=((0|e.observedBits)&n)&&e.setState({value:e.getValue()})},e}ne(n,t);var r=n.prototype;return r.componentWillReceiveProps=function(e){var t=e.observedBits;this.observedBits=null==t?Pe:t},r.componentDidMount=function(){this.context[a]&&this.context[a].on(this.onUpdate);var e=this.props.observedBits;this.observedBits=null==e?Pe:e},r.componentWillUnmount=function(){this.context[a]&&this.context[a].off(this.onUpdate)},r.getValue=function(){return this.context[a]?this.context[a].get():e},r.render=function(){return(e=this.props.children,Array.isArray(e)?e[0]:e)(this.state.value);var e},n}(o.Component);return l.contextTypes=((r={})[a]=ie().object,r),{Provider:s,Consumer:l}},Ie=function(e){var t=Re();return t.displayName=e,t},Me=Ie("Router-History"),Le=Ie("Router"),Ne=function(e){function t(t){var n;return(n=e.call(this,t)||this).state={location:t.history.location},n._isMounted=!1,n._pendingLocation=null,t.staticContext||(n.unlisten=t.history.listen((function(e){n._pendingLocation=e}))),n}ne(t,e),t.computeRootMatch=function(e){return{path:"/",url:"/",params:{},isExact:"/"===e}};var n=t.prototype;return n.componentDidMount=function(){var e=this;this._isMounted=!0,this.unlisten&&this.unlisten(),this.props.staticContext||(this.unlisten=this.props.history.listen((function(t){e._isMounted&&e.setState({location:t})}))),this._pendingLocation&&this.setState({location:this._pendingLocation})},n.componentWillUnmount=function(){this.unlisten&&(this.unlisten(),this._isMounted=!1,this._pendingLocation=null)},n.render=function(){return o.createElement(Le.Provider,{value:{history:this.props.history,location:this.state.location,match:t.computeRootMatch(this.state.location.pathname),staticContext:this.props.staticContext}},o.createElement(Me.Provider,{children:this.props.children||null,value:this.props.history}))},t}(o.Component);o.Component;var je=function(e){function t(){return e.apply(this,arguments)||this}ne(t,e);var n=t.prototype;return n.componentDidMount=function(){this.props.onMount&&this.props.onMount.call(this,this)},n.componentDidUpdate=function(e){this.props.onUpdate&&this.props.onUpdate.call(this,this,e)},n.componentWillUnmount=function(){this.props.onUnmount&&this.props.onUnmount.call(this,this)},n.render=function(){return null},t}(o.Component),Fe={},Be=1e4,ze=0;function Ue(e,t){return void 0===e&&(e="/"),void 0===t&&(t={}),"/"===e?e:function(e){if(Fe[e])return Fe[e];var t=Te().compile(e);return ze<Be&&(Fe[e]=t,ze++),t}(e)(t,{pretty:!0})}function qe(e){var t=e.computedMatch,n=e.to,r=e.push,i=void 0!==r&&r;return o.createElement(Le.Consumer,null,(function(e){e||pe(!1);var r=e.history,a=e.staticContext,s=i?r.push:r.replace,l=ye(t?"string"==typeof n?Ue(n,t.params):m({},n,{pathname:Ue(n.pathname,t.params)}):n);return a?(s(l),null):o.createElement(je,{onMount:function(){s(l)},onUpdate:function(e,t){var n=ye(t.to);(function(e,t){return e.pathname===t.pathname&&e.search===t.search&&e.hash===t.hash&&e.key===t.key&&ue(e.state,t.state)})(n,m({},l,{key:n.key}))||s(l)},to:n})}))}var $e={},We=0;function He(e,t){void 0===t&&(t={}),("string"==typeof t||Array.isArray(t))&&(t={path:t});var n=t,r=n.path,i=n.exact,o=void 0!==i&&i,a=n.strict,s=void 0!==a&&a,l=n.sensitive,u=void 0!==l&&l;return[].concat(r).reduce((function(t,n){if(!n&&""!==n)return null;if(t)return t;var r=function(e,t){var n=""+t.end+t.strict+t.sensitive,r=$e[n]||($e[n]={});if(r[e])return r[e];var i=[],o={regexp:Te()(e,i,t),keys:i};return We<1e4&&(r[e]=o,We++),o}(n,{end:o,strict:s,sensitive:u}),i=r.regexp,a=r.keys,l=i.exec(e);if(!l)return null;var c=l[0],d=l.slice(1),p=e===c;return o&&!p?null:{path:n,url:"/"===n&&""===c?"/":c,isExact:p,params:a.reduce((function(e,t,n){return e[t.name]=d[n],e}),{})}}),null)}var Ve=function(e){function t(){return e.apply(this,arguments)||this}return ne(t,e),t.prototype.render=function(){var e=this;return o.createElement(Le.Consumer,null,(function(t){t||pe(!1);var n=e.props.location||t.location,r=m({},t,{location:n,match:e.props.computedMatch?e.props.computedMatch:e.props.path?He(n.pathname,e.props):t.match}),i=e.props,a=i.children,s=i.component,l=i.render;return Array.isArray(a)&&function(e){return 0===o.Children.count(e)}(a)&&(a=null),o.createElement(Le.Provider,{value:r},r.match?a?"function"==typeof a?a(r):a:s?o.createElement(s,r):l?l(r):null:"function"==typeof a?a(r):null)}))},t}(o.Component);o.Component;var Ge=function(e){function t(){return e.apply(this,arguments)||this}return ne(t,e),t.prototype.render=function(){var e=this;return o.createElement(Le.Consumer,null,(function(t){t||pe(!1);var n,r,i=e.props.location||t.location;return o.Children.forEach(e.props.children,(function(e){if(null==r&&o.isValidElement(e)){n=e;var a=e.props.path||e.props.from;r=a?He(i.pathname,m({},e.props,{path:a})):t.match}})),r?o.cloneElement(n,{location:i,computedMatch:r}):null}))},t}(o.Component);o.useContext;var Ke=function(){function e(e){var t=this;this._insertTag=function(e){var n;n=0===t.tags.length?t.insertionPoint?t.insertionPoint.nextSibling:t.prepend?t.container.firstChild:t.before:t.tags[t.tags.length-1].nextSibling,t.container.insertBefore(e,n),t.tags.push(e)},this.isSpeedy=void 0===e.speedy||e.speedy,this.tags=[],this.ctr=0,this.nonce=e.nonce,this.key=e.key,this.container=e.container,this.prepend=e.prepend,this.insertionPoint=e.insertionPoint,this.before=null}var t=e.prototype;return t.hydrate=function(e){e.forEach(this._insertTag)},t.insert=function(e){this.ctr%(this.isSpeedy?65e3:1)==0&&this._insertTag(function(e){var t=document.createElement("style");return t.setAttribute("data-emotion",e.key),void 0!==e.nonce&&t.setAttribute("nonce",e.nonce),t.appendChild(document.createTextNode("")),t.setAttribute("data-s",""),t}(this));var t=this.tags[this.tags.length-1];if(this.isSpeedy){var n=function(e){if(e.sheet)return e.sheet;for(var t=0;t<document.styleSheets.length;t++)if(document.styleSheets[t].ownerNode===e)return document.styleSheets[t]}(t);try{n.insertRule(e,n.cssRules.length)}catch(e){}}else t.appendChild(document.createTextNode(e));this.ctr++},t.flush=function(){this.tags.forEach((function(e){return e.parentNode&&e.parentNode.removeChild(e)})),this.tags=[],this.ctr=0},e}(),Ye=Math.abs,Ze=String.fromCharCode,Xe=Object.assign;function Qe(e){return e.trim()}function Je(e,t,n){return e.replace(t,n)}function et(e,t){return e.indexOf(t)}function tt(e,t){return 0|e.charCodeAt(t)}function nt(e,t,n){return e.slice(t,n)}function rt(e){return e.length}function it(e){return e.length}function ot(e,t){return t.push(e),e}var at=1,st=1,lt=0,ut=0,ct=0,dt="";function pt(e,t,n,r,i,o,a){return{value:e,root:t,parent:n,type:r,props:i,children:o,line:at,column:st,length:a,return:""}}function ft(e,t){return Xe(pt("",null,null,"",null,null,0),e,{length:-e.length},t)}function ht(){return ct=ut>0?tt(dt,--ut):0,st--,10===ct&&(st=1,at--),ct}function mt(){return ct=ut<lt?tt(dt,ut++):0,st++,10===ct&&(st=1,at++),ct}function gt(){return tt(dt,ut)}function vt(){return ut}function yt(e,t){return nt(dt,e,t)}function bt(e){switch(e){case 0:case 9:case 10:case 13:case 32:return 5;case 33:case 43:case 44:case 47:case 62:case 64:case 126:case 59:case 123:case 125:return 4;case 58:return 3;case 34:case 39:case 40:case 91:return 2;case 41:case 93:return 1}return 0}function wt(e){return at=st=1,lt=rt(dt=e),ut=0,[]}function _t(e){return dt="",e}function xt(e){return Qe(yt(ut-1,Ct(91===e?e+2:40===e?e+1:e)))}function kt(e){for(;(ct=gt())&&ct<33;)mt();return bt(e)>2||bt(ct)>3?"":" "}function Et(e,t){for(;--t&&mt()&&!(ct<48||ct>102||ct>57&&ct<65||ct>70&&ct<97););return yt(e,vt()+(t<6&&32==gt()&&32==mt()))}function Ct(e){for(;mt();)switch(ct){case e:return ut;case 34:case 39:34!==e&&39!==e&&Ct(ct);break;case 40:41===e&&Ct(e);break;case 92:mt()}return ut}function St(e,t){for(;mt()&&e+ct!==57&&(e+ct!==84||47!==gt()););return"/*"+yt(t,ut-1)+"*"+Ze(47===e?e:mt())}function At(e){for(;!bt(gt());)mt();return yt(e,ut)}var Tt="-ms-",Ot="-moz-",Pt="-webkit-",Dt="comm",Rt="rule",It="decl",Mt="@keyframes";function Lt(e,t){for(var n="",r=it(e),i=0;i<r;i++)n+=t(e[i],i,e,t)||"";return n}function Nt(e,t,n,r){switch(e.type){case"@layer":if(e.children.length)break;case"@import":case It:return e.return=e.return||e.value;case Dt:return"";case Mt:return e.return=e.value+"{"+Lt(e.children,r)+"}";case Rt:e.value=e.props.join(",")}return rt(n=Lt(e.children,r))?e.return=e.value+"{"+n+"}":""}function jt(e){return _t(Ft("",null,null,null,[""],e=wt(e),0,[0],e))}function Ft(e,t,n,r,i,o,a,s,l){for(var u=0,c=0,d=a,p=0,f=0,h=0,m=1,g=1,v=1,y=0,b="",w=i,_=o,x=r,k=b;g;)switch(h=y,y=mt()){case 40:if(108!=h&&58==tt(k,d-1)){-1!=et(k+=Je(xt(y),"&","&\f"),"&\f")&&(v=-1);break}case 34:case 39:case 91:k+=xt(y);break;case 9:case 10:case 13:case 32:k+=kt(h);break;case 92:k+=Et(vt()-1,7);continue;case 47:switch(gt()){case 42:case 47:ot(zt(St(mt(),vt()),t,n),l);break;default:k+="/"}break;case 123*m:s[u++]=rt(k)*v;case 125*m:case 59:case 0:switch(y){case 0:case 125:g=0;case 59+c:-1==v&&(k=Je(k,/\f/g,"")),f>0&&rt(k)-d&&ot(f>32?Ut(k+";",r,n,d-1):Ut(Je(k," ","")+";",r,n,d-2),l);break;case 59:k+=";";default:if(ot(x=Bt(k,t,n,u,c,i,s,b,w=[],_=[],d),o),123===y)if(0===c)Ft(k,t,x,x,w,o,d,s,_);else switch(99===p&&110===tt(k,3)?100:p){case 100:case 108:case 109:case 115:Ft(e,x,x,r&&ot(Bt(e,x,x,0,0,i,s,b,i,w=[],d),_),i,_,d,s,r?w:_);break;default:Ft(k,x,x,x,[""],_,0,s,_)}}u=c=f=0,m=v=1,b=k="",d=a;break;case 58:d=1+rt(k),f=h;default:if(m<1)if(123==y)--m;else if(125==y&&0==m++&&125==ht())continue;switch(k+=Ze(y),y*m){case 38:v=c>0?1:(k+="\f",-1);break;case 44:s[u++]=(rt(k)-1)*v,v=1;break;case 64:45===gt()&&(k+=xt(mt())),p=gt(),c=d=rt(b=k+=At(vt())),y++;break;case 45:45===h&&2==rt(k)&&(m=0)}}return o}function Bt(e,t,n,r,i,o,a,s,l,u,c){for(var d=i-1,p=0===i?o:[""],f=it(p),h=0,m=0,g=0;h<r;++h)for(var v=0,y=nt(e,d+1,d=Ye(m=a[h])),b=e;v<f;++v)(b=Qe(m>0?p[v]+" "+y:Je(y,/&\f/g,p[v])))&&(l[g++]=b);return pt(e,t,n,0===i?Rt:s,l,u,c)}function zt(e,t,n){return pt(e,t,n,Dt,Ze(ct),nt(e,2,-2),0)}function Ut(e,t,n,r){return pt(e,t,n,It,nt(e,0,r),nt(e,r+1,-1),r)}var qt=function(e,t,n){for(var r=0,i=0;r=i,i=gt(),38===r&&12===i&&(t[n]=1),!bt(i);)mt();return yt(e,ut)},$t=new WeakMap,Wt=function(e){if("rule"===e.type&&e.parent&&!(e.length<1)){for(var t=e.value,n=e.parent,r=e.column===n.column&&e.line===n.line;"rule"!==n.type;)if(!(n=n.parent))return;if((1!==e.props.length||58===t.charCodeAt(0)||$t.get(n))&&!r){$t.set(e,!0);for(var i=[],o=function(e,t){return _t(function(e,t){var n=-1,r=44;do{switch(bt(r)){case 0:38===r&&12===gt()&&(t[n]=1),e[n]+=qt(ut-1,t,n);break;case 2:e[n]+=xt(r);break;case 4:if(44===r){e[++n]=58===gt()?"&\f":"",t[n]=e[n].length;break}default:e[n]+=Ze(r)}}while(r=mt());return e}(wt(e),t))}(t,i),a=n.props,s=0,l=0;s<o.length;s++)for(var u=0;u<a.length;u++,l++)e.props[l]=i[s]?o[s].replace(/&\f/g,a[u]):a[u]+" "+o[s]}}},Ht=function(e){if("decl"===e.type){var t=e.value;108===t.charCodeAt(0)&&98===t.charCodeAt(2)&&(e.return="",e.value="")}};function Vt(e,t){switch(function(e,t){return 45^tt(e,0)?(((t<<2^tt(e,0))<<2^tt(e,1))<<2^tt(e,2))<<2^tt(e,3):0}(e,t)){case 5103:return Pt+"print-"+e+e;case 5737:case 4201:case 3177:case 3433:case 1641:case 4457:case 2921:case 5572:case 6356:case 5844:case 3191:case 6645:case 3005:case 6391:case 5879:case 5623:case 6135:case 4599:case 4855:case 4215:case 6389:case 5109:case 5365:case 5621:case 3829:return Pt+e+e;case 5349:case 4246:case 4810:case 6968:case 2756:return Pt+e+Ot+e+Tt+e+e;case 6828:case 4268:return Pt+e+Tt+e+e;case 6165:return Pt+e+Tt+"flex-"+e+e;case 5187:return Pt+e+Je(e,/(\w+).+(:[^]+)/,Pt+"box-$1$2"+Tt+"flex-$1$2")+e;case 5443:return Pt+e+Tt+"flex-item-"+Je(e,/flex-|-self/,"")+e;case 4675:return Pt+e+Tt+"flex-line-pack"+Je(e,/align-content|flex-|-self/,"")+e;case 5548:return Pt+e+Tt+Je(e,"shrink","negative")+e;case 5292:return Pt+e+Tt+Je(e,"basis","preferred-size")+e;case 6060:return Pt+"box-"+Je(e,"-grow","")+Pt+e+Tt+Je(e,"grow","positive")+e;case 4554:return Pt+Je(e,/([^-])(transform)/g,"$1"+Pt+"$2")+e;case 6187:return Je(Je(Je(e,/(zoom-|grab)/,Pt+"$1"),/(image-set)/,Pt+"$1"),e,"")+e;case 5495:case 3959:return Je(e,/(image-set\([^]*)/,Pt+"$1$`$1");case 4968:return Je(Je(e,/(.+:)(flex-)?(.*)/,Pt+"box-pack:$3"+Tt+"flex-pack:$3"),/s.+-b[^;]+/,"justify")+Pt+e+e;case 4095:case 3583:case 4068:case 2532:return Je(e,/(.+)-inline(.+)/,Pt+"$1$2")+e;case 8116:case 7059:case 5753:case 5535:case 5445:case 5701:case 4933:case 4677:case 5533:case 5789:case 5021:case 4765:if(rt(e)-1-t>6)switch(tt(e,t+1)){case 109:if(45!==tt(e,t+4))break;case 102:return Je(e,/(.+:)(.+)-([^]+)/,"$1"+Pt+"$2-$3$1"+Ot+(108==tt(e,t+3)?"$3":"$2-$3"))+e;case 115:return~et(e,"stretch")?Vt(Je(e,"stretch","fill-available"),t)+e:e}break;case 4949:if(115!==tt(e,t+1))break;case 6444:switch(tt(e,rt(e)-3-(~et(e,"!important")&&10))){case 107:return Je(e,":",":"+Pt)+e;case 101:return Je(e,/(.+:)([^;!]+)(;|!.+)?/,"$1"+Pt+(45===tt(e,14)?"inline-":"")+"box$3$1"+Pt+"$2$3$1"+Tt+"$2box$3")+e}break;case 5936:switch(tt(e,t+11)){case 114:return Pt+e+Tt+Je(e,/[svh]\w+-[tblr]{2}/,"tb")+e;case 108:return Pt+e+Tt+Je(e,/[svh]\w+-[tblr]{2}/,"tb-rl")+e;case 45:return Pt+e+Tt+Je(e,/[svh]\w+-[tblr]{2}/,"lr")+e}return Pt+e+Tt+e+e}return e}var Gt=[function(e,t,n,r){if(e.length>-1&&!e.return)switch(e.type){case It:e.return=Vt(e.value,e.length);break;case Mt:return Lt([ft(e,{value:Je(e.value,"@","@"+Pt)})],r);case Rt:if(e.length)return function(e,t){return e.map(t).join("")}(e.props,(function(t){switch(function(e,t){return(e=/(::plac\w+|:read-\w+)/.exec(e))?e[0]:e}(t)){case":read-only":case":read-write":return Lt([ft(e,{props:[Je(t,/:(read-\w+)/,":-moz-$1")]})],r);case"::placeholder":return Lt([ft(e,{props:[Je(t,/:(plac\w+)/,":"+Pt+"input-$1")]}),ft(e,{props:[Je(t,/:(plac\w+)/,":-moz-$1")]}),ft(e,{props:[Je(t,/:(plac\w+)/,Tt+"input-$1")]})],r)}return""}))}}],Kt=function(e){var t=e.key;if("css"===t){var n=document.querySelectorAll("style[data-emotion]:not([data-s])");Array.prototype.forEach.call(n,(function(e){-1!==e.getAttribute("data-emotion").indexOf(" ")&&(document.head.appendChild(e),e.setAttribute("data-s",""))}))}var r,i,o=e.stylisPlugins||Gt,a={},s=[];r=e.container||document.head,Array.prototype.forEach.call(document.querySelectorAll('style[data-emotion^="'+t+' "]'),(function(e){for(var t=e.getAttribute("data-emotion").split(" "),n=1;n<t.length;n++)a[t[n]]=!0;s.push(e)}));var l,u,c=[Nt,(u=function(e){l.insert(e)},function(e){e.root||(e=e.return)&&u(e)})],d=function(e){var t=it(e);return function(n,r,i,o){for(var a="",s=0;s<t;s++)a+=e[s](n,r,i,o)||"";return a}}([Wt,Ht].concat(o,c));i=function(e,t,n,r){l=n,function(e){Lt(jt(e),d)}(e?e+"{"+t.styles+"}":t.styles),r&&(p.inserted[t.name]=!0)};var p={key:t,sheet:new Ke({key:t,container:r,nonce:e.nonce,speedy:e.speedy,prepend:e.prepend,insertionPoint:e.insertionPoint}),nonce:e.nonce,inserted:a,registered:{},insert:i};return p.sheet.hydrate(s),p};function Yt(e,t,n){var r="";return n.split(" ").forEach((function(n){void 0!==e[n]?t.push(e[n]+";"):r+=n+" "})),r}var Zt=function(e,t,n){var r=e.key+"-"+t.name;!1===n&&void 0===e.registered[r]&&(e.registered[r]=t.styles)},Xt=function(e,t,n){Zt(e,t,n);var r=e.key+"-"+t.name;if(void 0===e.inserted[t.name]){var i=t;do{e.insert(t===i?"."+r:"",i,e.sheet,!0),i=i.next}while(void 0!==i)}},Qt={animationIterationCount:1,aspectRatio:1,borderImageOutset:1,borderImageSlice:1,borderImageWidth:1,boxFlex:1,boxFlexGroup:1,boxOrdinalGroup:1,columnCount:1,columns:1,flex:1,flexGrow:1,flexPositive:1,flexShrink:1,flexNegative:1,flexOrder:1,gridRow:1,gridRowEnd:1,gridRowSpan:1,gridRowStart:1,gridColumn:1,gridColumnEnd:1,gridColumnSpan:1,gridColumnStart:1,msGridRow:1,msGridRowSpan:1,msGridColumn:1,msGridColumnSpan:1,fontWeight:1,lineHeight:1,opacity:1,order:1,orphans:1,tabSize:1,widows:1,zIndex:1,zoom:1,WebkitLineClamp:1,fillOpacity:1,floodOpacity:1,stopOpacity:1,strokeDasharray:1,strokeDashoffset:1,strokeMiterlimit:1,strokeOpacity:1,strokeWidth:1};function Jt(e){var t=Object.create(null);return function(n){return void 0===t[n]&&(t[n]=e(n)),t[n]}}var en=/[A-Z]|^ms/g,tn=/_EMO_([^_]+?)_([^]*?)_EMO_/g,nn=function(e){return 45===e.charCodeAt(1)},rn=function(e){return null!=e&&"boolean"!=typeof e},on=Jt((function(e){return nn(e)?e:e.replace(en,"-$&").toLowerCase()})),an=function(e,t){switch(e){case"animation":case"animationName":if("string"==typeof t)return t.replace(tn,(function(e,t,n){return ln={name:t,styles:n,next:ln},t}))}return 1===Qt[e]||nn(e)||"number"!=typeof t||0===t?t:t+"px"};function sn(e,t,n){if(null==n)return"";if(void 0!==n.__emotion_styles)return n;switch(typeof n){case"boolean":return"";case"object":if(1===n.anim)return ln={name:n.name,styles:n.styles,next:ln},n.name;if(void 0!==n.styles){var r=n.next;if(void 0!==r)for(;void 0!==r;)ln={name:r.name,styles:r.styles,next:ln},r=r.next;return n.styles+";"}return function(e,t,n){var r="";if(Array.isArray(n))for(var i=0;i<n.length;i++)r+=sn(e,t,n[i])+";";else for(var o in n){var a=n[o];if("object"!=typeof a)null!=t&&void 0!==t[a]?r+=o+"{"+t[a]+"}":rn(a)&&(r+=on(o)+":"+an(o,a)+";");else if(!Array.isArray(a)||"string"!=typeof a[0]||null!=t&&void 0!==t[a[0]]){var s=sn(e,t,a);switch(o){case"animation":case"animationName":r+=on(o)+":"+s+";";break;default:r+=o+"{"+s+"}"}}else for(var l=0;l<a.length;l++)rn(a[l])&&(r+=on(o)+":"+an(o,a[l])+";")}return r}(e,t,n);case"function":if(void 0!==e){var i=ln,o=n(e);return ln=i,sn(e,t,o)}}if(null==t)return n;var a=t[n];return void 0!==a?a:n}var ln,un=/label:\s*([^\s;\n{]+)\s*(;|$)/g,cn=function(e,t,n){if(1===e.length&&"object"==typeof e[0]&&null!==e[0]&&void 0!==e[0].styles)return e[0];var r=!0,i="";ln=void 0;var o=e[0];null==o||void 0===o.raw?(r=!1,i+=sn(n,t,o)):i+=o[0];for(var a=1;a<e.length;a++)i+=sn(n,t,e[a]),r&&(i+=o[a]);un.lastIndex=0;for(var s,l="";null!==(s=un.exec(i));)l+="-"+s[1];var u=function(e){for(var t,n=0,r=0,i=e.length;i>=4;++r,i-=4)t=1540483477*(65535&(t=255&e.charCodeAt(r)|(255&e.charCodeAt(++r))<<8|(255&e.charCodeAt(++r))<<16|(255&e.charCodeAt(++r))<<24))+(59797*(t>>>16)<<16),n=1540483477*(65535&(t^=t>>>24))+(59797*(t>>>16)<<16)^1540483477*(65535&n)+(59797*(n>>>16)<<16);switch(i){case 3:n^=(255&e.charCodeAt(r+2))<<16;case 2:n^=(255&e.charCodeAt(r+1))<<8;case 1:n=1540483477*(65535&(n^=255&e.charCodeAt(r)))+(59797*(n>>>16)<<16)}return(((n=1540483477*(65535&(n^=n>>>13))+(59797*(n>>>16)<<16))^n>>>15)>>>0).toString(36)}(i)+l;return{name:u,styles:i,next:ln}},dn=!!a.useInsertionEffect&&a.useInsertionEffect,pn=dn||function(e){return e()},fn=dn||o.useLayoutEffect,hn={}.hasOwnProperty,mn=o.createContext("undefined"!=typeof HTMLElement?Kt({key:"css"}):null);mn.Provider;var gn=function(e){return(0,o.forwardRef)((function(t,n){var r=(0,o.useContext)(mn);return e(t,r,n)}))},vn=o.createContext({}),yn="__EMOTION_TYPE_PLEASE_DO_NOT_USE__",bn=function(e){var t=e.cache,n=e.serialized,r=e.isStringTag;return Zt(t,n,r),pn((function(){return Xt(t,n,r)})),null},wn=gn((function(e,t,n){var r=e.css;"string"==typeof r&&void 0!==t.registered[r]&&(r=t.registered[r]);var i=e[yn],a=[r],s="";"string"==typeof e.className?s=Yt(t.registered,a,e.className):null!=e.className&&(s=e.className+" ");var l=cn(a,void 0,o.useContext(vn));s+=t.key+"-"+l.name;var u={};for(var c in e)hn.call(e,c)&&"css"!==c&&c!==yn&&(u[c]=e[c]);return u.ref=n,u.className=s,o.createElement(o.Fragment,null,o.createElement(bn,{cache:t,serialized:l,isStringTag:"string"==typeof i}),o.createElement(i,u))})),_n=wn,xn=function(e,t){var n=arguments;if(null==t||!hn.call(t,"css"))return o.createElement.apply(void 0,n);var r=n.length,i=new Array(r);i[0]=_n,i[1]=function(e,t){var n={};for(var r in t)hn.call(t,r)&&(n[r]=t[r]);return n[yn]=e,n}(e,t);for(var a=2;a<r;a++)i[a]=n[a];return o.createElement.apply(null,i)},kn=gn((function(e,t){var n=e.styles,r=cn([n],void 0,o.useContext(vn)),i=o.useRef();return fn((function(){var e=t.key+"-global",n=new t.sheet.constructor({key:e,nonce:t.sheet.nonce,container:t.sheet.container,speedy:t.sheet.isSpeedy}),o=!1,a=document.querySelector('style[data-emotion="'+e+" "+r.name+'"]');return t.sheet.tags.length&&(n.before=t.sheet.tags[0]),null!==a&&(o=!0,a.setAttribute("data-emotion",e),n.hydrate([a])),i.current=[n,o],function(){n.flush()}}),[t]),fn((function(){var e=i.current,n=e[0];if(e[1])e[1]=!1;else{if(void 0!==r.next&&Xt(t,r.next,!0),n.tags.length){var o=n.tags[n.tags.length-1].nextElementSibling;n.before=o,n.flush()}t.insert("",r,n,!1)}}),[t,r.name]),null}));function En(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return cn(t)}var Cn=function(){var e=En.apply(void 0,arguments),t="animation-"+e.name;return{name:t,styles:"@keyframes "+t+"{"+e.styles+"}",anim:1,toString:function(){return"_EMO_"+this.name+"_"+this.styles+"_EMO_"}}},Sn=function e(t){for(var n=t.length,r=0,i="";r<n;r++){var o=t[r];if(null!=o){var a=void 0;switch(typeof o){case"boolean":break;case"object":if(Array.isArray(o))a=e(o);else for(var s in a="",o)o[s]&&s&&(a&&(a+=" "),a+=s);break;default:a=o}a&&(i&&(i+=" "),i+=a)}}return i},An=function(e){var t=e.cache,n=e.serializedArr;return pn((function(){for(var e=0;e<n.length;e++)Xt(t,n[e],!1)})),null},Tn=gn((function(e,t){var n=[],r=function(){for(var e=arguments.length,r=new Array(e),i=0;i<e;i++)r[i]=arguments[i];var o=cn(r,t.registered);return n.push(o),Zt(t,o,!1),t.key+"-"+o.name},i={css:r,cx:function(){for(var e=arguments.length,n=new Array(e),i=0;i<e;i++)n[i]=arguments[i];return function(e,t,n){var r=[],i=Yt(e,r,n);return r.length<2?n:i+t(r)}(t.registered,r,Sn(n))},theme:o.useContext(vn)},a=e.children(i);return o.createElement(o.Fragment,null,o.createElement(An,{cache:t,serializedArr:n}),a)}));function On(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Pn(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?On(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):On(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Dn={primary:'\n system-ui,\n -apple-system,\n BlinkMacSystemFont,\n "Segoe UI",\n Roboto,\n Helvetica,\n Arial,\n sans-serif,\n "Apple Color Emoji",\n "Segoe UI Emoji",\n "Segoe UI Symbol"\n ',mono:"\n 'SFMono-Regular',\n Consolas,\n \"Liberation Mono\",\n Menlo,\n Courier,\n monospace;\n "},Rn="#fff",In="#eff0f4",Mn="#798291",Ln="#313d3e",Nn="#3a69c7",jn="#e8f5fe",Fn="#005614",Bn="#caef6f",zn="#754e00",Un="#ffee9c",qn="#ff003b",$n="#fcefea",Wn="#70399f",Hn="#f6d8ff",Vn="#17a2b8",Gn="#ddf5f9",Kn={statusDraftText:Wn,statusDraftBackground:Hn,statusReviewText:zn,statusReviewBackground:Un,statusReadyText:Fn,statusReadyBackground:Bn,text:Mn,textLight:Rn,textLead:Ln,background:In,foreground:Rn,active:Nn,activeBackground:jn,inactive:Mn,button:Ln,buttonText:Rn,inputBackground:Rn,infoText:Nn,infoBackground:jn,successText:Fn,successBackground:Bn,warnText:zn,warnBackground:Un,errorText:qn,errorBackground:$n,textFieldBorder:"#dfdfe3",controlLabel:"#5D626F",checkerboardLight:"#f2f2f2",checkerboardDark:"#e6e6e6",mediaDraftText:Wn,mediaDraftBackground:Hn},Yn={topBarHeight:"56px",inputPadding:"16px 20px",borderRadius:"5px",richTextEditorMinHeight:"300px",borderWidth:"2px",topCardWidth:"682px",pageMargin:"28px 18px",objectWidgetTopBarContainerPadding:"0 14px 14px"},Zn={textField:`solid ${Yn.borderWidth} ${Kn.textFieldBorder}`},Xn=".2s ease",Qn="\n box-shadow: 0 2px 4px 0 rgba(19, 39, 48, 0.12);\n ",Jn="\n box-shadow: 0 2px 6px 0 rgba(68, 74, 87, 0.05), 0 1px 3px 0 rgba(68, 74, 87, 0.1);\n ",er="\n box-shadow: 0 2px 6px 0 rgba(68, 74, 87, 0.15), 0 1px 3px 0 rgba(68, 74, 87, 0.3);\n ",tr="\n box-shadow: 0 4px 12px 0 rgba(68, 74, 87, 0.15), 0 1px 3px 0 rgba(68, 74, 87, 0.25);\n ",nr="\n box-shadow: inset 0 0 4px rgba(68, 74, 87, 0.3);\n ",rr={fieldLabel:En("font-size:12px;text-transform:uppercase;font-weight:600;color:",Kn.controlLabel,";;label:fieldLabel;",";label:fieldLabel;")},ir={checkerboard:`\n linear-gradient(\n 45deg,\n ${Kn.checkerboardDark} 25%,\n transparent 25%,\n transparent 75%,\n ${Kn.checkerboardDark} 75%,\n ${Kn.checkerboardDark}\n )\n `},or={checkerboard:En("background-color:",Kn.checkerboardLight,";background-size:16px 16px;background-position:0 0,8px 8px;background-image:",ir.checkerboard,",",ir.checkerboard,";;label:checkerboard;",";label:checkerboard;")},ar={name:"1bvw0ad-badge",styles:"font-size:13px;line-height:1;label:badge;"},sr=En(ar,";display:block;border-radius:",Yn.borderRadius,";padding:4px 10px;text-align:center;;label:backgroundBadge;",";label:backgroundBadge;"),lr=En(ar,";display:inline-block;font-weight:700;text-transform:uppercase;;label:textBadge;",";label:textBadge;"),ur=En(Jn,";border-radius:5px;background-color:#fff;;label:card;",";label:card;"),cr={button:En("border:0;border-radius:",Yn.borderRadius,";cursor:pointer;;label:button;",";label:button;"),default:En("height:36px;line-height:36px;font-weight:500;padding:0 15px;background-color:",Mn,";color:",Rn,";;label:default;",";label:default;"),widget:{name:"ffqcjd-widget",styles:"display:flex;justify-content:center;align-items:center;padding:2px 12px;font-size:12px;font-weight:bold;border-radius:3px;label:widget;"},medium:{name:"xdagg1-medium",styles:"height:27px;line-height:27px;font-size:12px;font-weight:600;border-radius:3px;padding:0 24px 0 14px;label:medium;"},small:{name:"eopypj-small",styles:"font-size:13px;height:23px;line-height:23px;label:small;"},gray:En("background-color:",Kn.button,";color:",Kn.buttonText,";&:focus,&:hover{color:",Rn,";background-color:#555a65;};label:gray;",";label:gray;"),grayText:En("background-color:transparent;color:",Mn,";;label:grayText;",";label:grayText;"),green:En("background-color:#aae31f;color:",Fn,";;label:green;",";label:green;"),lightRed:En("background-color:",$n,";color:","#D60032",";;label:lightRed;",";label:lightRed;"),lightBlue:En("background-color:",jn,";color:",Nn,";;label:lightBlue;",";label:lightBlue;"),lightTeal:En("background-color:",Gn,";color:#1195aa;;label:lightTeal;",";label:lightTeal;"),teal:En("background-color:",Vn,";color:",Rn,";;label:teal;",";label:teal;"),disabled:En("background-color:",In,";color:",Mn,";cursor:default;;label:disabled;",";label:disabled;")},dr=En("color:",Rn,";width:0;height:0;border:5px solid transparent;border-radius:2px;;label:caret;",";label:caret;"),pr={card:ur,caretDown:En(dr,";border-top:6px solid currentColor;border-bottom:0;;label:caretDown;",";label:caretDown;"),caretRight:En(dr,";border-left:6px solid currentColor;border-right:0;;label:caretRight;",";label:caretRight;"),badge:En(sr,";color:",Kn.infoText,";background-color:",Kn.infoBackground,";;label:badge;",";label:badge;"),badgeSuccess:En(sr,";color:",Kn.successText,";background-color:",Kn.successBackground,";;label:badgeSuccess;",";label:badgeSuccess;"),badgeDanger:En(sr,";color:",qn,";background-color:#fbe0d7;;label:badgeDanger;",";label:badgeDanger;"),textBadge:En(lr,";color:",Kn.infoText,";;label:textBadge;",";label:textBadge;"),textBadgeSuccess:En(lr,";color:",Kn.successText,";;label:textBadgeSuccess;",";label:textBadgeSuccess;"),textBadgeDanger:En(lr,";color:",qn,";;label:textBadgeDanger;",";label:textBadgeDanger;"),loaderSize:{name:"1vt2zqf-loaderSize",styles:"width:2.2857rem;height:2.2857rem;label:loaderSize;"},cardTop:En(ur,";width:",Yn.topCardWidth,";max-width:100%;padding:18px 20px;margin-bottom:28px;;label:cardTop;",";label:cardTop;"),cardTopHeading:{name:"1jf7yv6-cardTopHeading",styles:"font-size:22px;font-weight:600;line-height:37px;margin:0;padding:0;label:cardTopHeading;"},cardTopDescription:En("max-width:480px;color:",Kn.text,";font-size:14px;margin-top:8px;;label:cardTopDescription;",";label:cardTopDescription;"),objectWidgetTopBarContainer:En("padding:",Yn.objectWidgetTopBarContainerPadding,";;label:objectWidgetTopBarContainer;",";label:objectWidgetTopBarContainer;"),dropdownList:En(tr,";background-color:",Rn,";border-radius:",Yn.borderRadius,";overflow:hidden;;label:dropdownList;",";label:dropdownList;"),dropdownItem:En(cr.button,";background-color:transparent;border-radius:0;color:",Ln,";font-weight:500;border-bottom:1px solid #eaebf1;padding:8px 14px;display:flex;justify-content:space-between;align-items:center;min-width:max-content;&:last-of-type{border-bottom:0;}&.active,&:hover,&:active,&:focus{color:",Kn.active,";background-color:",Kn.activeBackground,";};label:dropdownItem;",";label:dropdownItem;"),viewControlsText:En("font-size:14px;color:",Kn.text,";margin-right:12px;white-space:nowrap;;label:viewControlsText;",";label:viewControlsText;")},fr={control:e=>Pn(Pn({},e),{},{border:0,boxShadow:"none",padding:"9px 0 9px 12px"}),option:(e,t)=>Pn(Pn({},e),{},{backgroundColor:t.isSelected?`${Kn.active}`:t.isFocused?`${Kn.activeBackground}`:"transparent",paddingLeft:"22px"}),menu:e=>Pn(Pn({},e),{},{right:0,zIndex:hr.zIndex300}),container:e=>Pn(Pn({},e),{},{padding:"0 !important"}),indicatorSeparator:(e,t)=>t.hasValue&&t.selectProps.isClearable?Pn(Pn({},e),{},{backgroundColor:`${Kn.textFieldBorder}`}):{display:"none"},dropdownIndicator:e=>Pn(Pn({},e),{},{color:`${Kn.controlLabel}`}),clearIndicator:e=>Pn(Pn({},e),{},{color:`${Kn.controlLabel}`}),multiValue:e=>Pn(Pn({},e),{},{backgroundColor:Kn.background}),multiValueLabel:e=>Pn(Pn({},e),{},{color:Kn.textLead,fontWeight:500}),multiValueRemove:e=>Pn(Pn({},e),{},{color:Kn.controlLabel,":hover":{color:Kn.errorText,backgroundColor:Kn.errorBackground}})},hr={zIndex0:0,zIndex1:1,zIndex2:2,zIndex10:10,zIndex100:100,zIndex200:200,zIndex299:299,zIndex300:300,zIndex1000:1e3,zIndex10000:1e4,zIndex99999:99999};function mr(){return xn(kn,{styles:En("*,*:before,*:after{box-sizing:border-box;}:focus{outline:-webkit-focus-ring-color auto ",Yn.borderRadius,";}[data-whatintent='mouse'] *:focus{outline:none;}input{border:0;}body{font-family:",Dn.primary,";font-weight:normal;background-color:",Kn.background,";color:",Kn.text,";margin:0;}ul,ol{padding-left:0;}h1,h2,h3,h4,h5,h6,p{font-family:",Dn.primary,";color:",Kn.textLead,";font-size:15px;line-height:1.5;margin-top:0;}h1,h2,h3,h4,h5,h6{font-weight:500;}h1{font-size:24px;letter-spacing:0.4px;color:",Kn.textLead,";}a,button{font-size:14px;font-weight:500;}a{color:",Kn.text,";text-decoration:none;}button{font-family:inherit;}img{max-width:100%;}textarea{resize:none;};label:GlobalStyles;",";label:styles;")})}var gr=__webpack_require__(9316),vr=__webpack_require__(74081),yr=__webpack_require__(58267);function br(e){return function(t){var n=t.dispatch,r=t.getState;return function(t){return function(i){return"function"==typeof i?i(n,r,e):t(i)}}}}var wr=br();wr.withExtraArgument=br;const _r=wr,xr="WAIT_UNTIL_ACTION";var kr=__webpack_require__(46670);function Er(e){for(var t=arguments.length,n=Array(t>1?t-1:0),r=1;r<t;r++)n[r-1]=arguments[r];throw Error("[Immer] minified error nr: "+e+(n.length?" "+n.map((function(e){return"'"+e+"'"})).join(","):"")+". Find the full error at: https://bit.ly/3cXEKWf")}function Cr(e){return!!e&&!!e[ci]}function Sr(e){var t;return!!e&&(function(e){if(!e||"object"!=typeof e)return!1;var t=Object.getPrototypeOf(e);if(null===t)return!0;var n=Object.hasOwnProperty.call(t,"constructor")&&t.constructor;return n===Object||"function"==typeof n&&Function.toString.call(n)===di}(e)||Array.isArray(e)||!!e[ui]||!!(null===(t=e.constructor)||void 0===t?void 0:t[ui])||Dr(e)||Rr(e))}function Ar(e,t,n){void 0===n&&(n=!1),0===Tr(e)?(n?Object.keys:pi)(e).forEach((function(r){n&&"symbol"==typeof r||t(r,e[r],e)})):e.forEach((function(n,r){return t(r,n,e)}))}function Tr(e){var t=e[ci];return t?t.i>3?t.i-4:t.i:Array.isArray(e)?1:Dr(e)?2:Rr(e)?3:0}function Or(e,t){return 2===Tr(e)?e.has(t):Object.prototype.hasOwnProperty.call(e,t)}function Pr(e,t,n){var r=Tr(e);2===r?e.set(t,n):3===r?e.add(n):e[t]=n}function Dr(e){return oi&&e instanceof Map}function Rr(e){return ai&&e instanceof Set}function Ir(e){return e.o||e.t}function Mr(e){if(Array.isArray(e))return Array.prototype.slice.call(e);var t=fi(e);delete t[ci];for(var n=pi(t),r=0;r<n.length;r++){var i=n[r],o=t[i];!1===o.writable&&(o.writable=!0,o.configurable=!0),(o.get||o.set)&&(t[i]={configurable:!0,writable:!0,enumerable:o.enumerable,value:e[i]})}return Object.create(Object.getPrototypeOf(e),t)}function Lr(e,t){return void 0===t&&(t=!1),jr(e)||Cr(e)||!Sr(e)||(Tr(e)>1&&(e.set=e.add=e.clear=e.delete=Nr),Object.freeze(e),t&&Ar(e,(function(e,t){return Lr(t,!0)}),!0)),e}function Nr(){Er(2)}function jr(e){return null==e||"object"!=typeof e||Object.isFrozen(e)}function Fr(e){var t=hi[e];return t||Er(18,e),t}function Br(){return ri}function zr(e,t){t&&(Fr("Patches"),e.u=[],e.s=[],e.v=t)}function Ur(e){qr(e),e.p.forEach(Wr),e.p=null}function qr(e){e===ri&&(ri=e.l)}function $r(e){return ri={p:[],l:ri,h:e,m:!0,_:0}}function Wr(e){var t=e[ci];0===t.i||1===t.i?t.j():t.g=!0}function Hr(e,t){t._=t.p.length;var n=t.p[0],r=void 0!==e&&e!==n;return t.h.O||Fr("ES5").S(t,e,r),r?(n[ci].P&&(Ur(t),Er(4)),Sr(e)&&(e=Vr(t,e),t.l||Kr(t,e)),t.u&&Fr("Patches").M(n[ci].t,e,t.u,t.s)):e=Vr(t,n,[]),Ur(t),t.u&&t.v(t.u,t.s),e!==li?e:void 0}function Vr(e,t,n){if(jr(t))return t;var r=t[ci];if(!r)return Ar(t,(function(i,o){return Gr(e,r,t,i,o,n)}),!0),t;if(r.A!==e)return t;if(!r.P)return Kr(e,r.t,!0),r.t;if(!r.I){r.I=!0,r.A._--;var i=4===r.i||5===r.i?r.o=Mr(r.k):r.o,o=i,a=!1;3===r.i&&(o=new Set(i),i.clear(),a=!0),Ar(o,(function(t,o){return Gr(e,r,i,t,o,n,a)})),Kr(e,i,!1),n&&e.u&&Fr("Patches").N(r,n,e.u,e.s)}return r.o}function Gr(e,t,n,r,i,o,a){if(Cr(i)){var s=Vr(e,i,o&&t&&3!==t.i&&!Or(t.R,r)?o.concat(r):void 0);if(Pr(n,r,s),!Cr(s))return;e.m=!1}else a&&n.add(i);if(Sr(i)&&!jr(i)){if(!e.h.D&&e._<1)return;Vr(e,i),t&&t.A.l||Kr(e,i)}}function Kr(e,t,n){void 0===n&&(n=!1),!e.l&&e.h.D&&e.m&&Lr(t,n)}function Yr(e,t){var n=e[ci];return(n?Ir(n):e)[t]}function Zr(e,t){if(t in e)for(var n=Object.getPrototypeOf(e);n;){var r=Object.getOwnPropertyDescriptor(n,t);if(r)return r;n=Object.getPrototypeOf(n)}}function Xr(e){e.P||(e.P=!0,e.l&&Xr(e.l))}function Qr(e){e.o||(e.o=Mr(e.t))}function Jr(e,t,n){var r=Dr(t)?Fr("MapSet").F(t,n):Rr(t)?Fr("MapSet").T(t,n):e.O?function(e,t){var n=Array.isArray(e),r={i:n?1:0,A:t?t.A:Br(),P:!1,I:!1,R:{},l:t,t:e,k:null,o:null,j:null,C:!1},i=r,o=mi;n&&(i=[r],o=gi);var a=Proxy.revocable(i,o),s=a.revoke,l=a.proxy;return r.k=l,r.j=s,l}(t,n):Fr("ES5").J(t,n);return(n?n.A:Br()).p.push(r),r}function ei(e){return Cr(e)||Er(22,e),function e(t){if(!Sr(t))return t;var n,r=t[ci],i=Tr(t);if(r){if(!r.P&&(r.i<4||!Fr("ES5").K(r)))return r.t;r.I=!0,n=ti(t,i),r.I=!1}else n=ti(t,i);return Ar(n,(function(t,i){r&&function(e,t){return 2===Tr(e)?e.get(t):e[t]}(r.t,t)===i||Pr(n,t,e(i))})),3===i?new Set(n):n}(e)}function ti(e,t){switch(t){case 2:return new Map(e);case 3:return Array.from(e)}return Mr(e)}var ni,ri,ii="undefined"!=typeof Symbol&&"symbol"==typeof Symbol("x"),oi="undefined"!=typeof Map,ai="undefined"!=typeof Set,si="undefined"!=typeof Proxy&&void 0!==Proxy.revocable&&"undefined"!=typeof Reflect,li=ii?Symbol.for("immer-nothing"):((ni={})["immer-nothing"]=!0,ni),ui=ii?Symbol.for("immer-draftable"):"__$immer_draftable",ci=ii?Symbol.for("immer-state"):"__$immer_state",di=("undefined"!=typeof Symbol&&Symbol.iterator,""+Object.prototype.constructor),pi="undefined"!=typeof Reflect&&Reflect.ownKeys?Reflect.ownKeys:void 0!==Object.getOwnPropertySymbols?function(e){return Object.getOwnPropertyNames(e).concat(Object.getOwnPropertySymbols(e))}:Object.getOwnPropertyNames,fi=Object.getOwnPropertyDescriptors||function(e){var t={};return pi(e).forEach((function(n){t[n]=Object.getOwnPropertyDescriptor(e,n)})),t},hi={},mi={get:function(e,t){if(t===ci)return e;var n=Ir(e);if(!Or(n,t))return function(e,t,n){var r,i=Zr(t,n);return i?"value"in i?i.value:null===(r=i.get)||void 0===r?void 0:r.call(e.k):void 0}(e,n,t);var r=n[t];return e.I||!Sr(r)?r:r===Yr(e.t,t)?(Qr(e),e.o[t]=Jr(e.A.h,r,e)):r},has:function(e,t){return t in Ir(e)},ownKeys:function(e){return Reflect.ownKeys(Ir(e))},set:function(e,t,n){var r=Zr(Ir(e),t);if(null==r?void 0:r.set)return r.set.call(e.k,n),!0;if(!e.P){var i=Yr(Ir(e),t),o=null==i?void 0:i[ci];if(o&&o.t===n)return e.o[t]=n,e.R[t]=!1,!0;if(function(e,t){return e===t?0!==e||1/e==1/t:e!=e&&t!=t}(n,i)&&(void 0!==n||Or(e.t,t)))return!0;Qr(e),Xr(e)}return e.o[t]===n&&(void 0!==n||t in e.o)||Number.isNaN(n)&&Number.isNaN(e.o[t])||(e.o[t]=n,e.R[t]=!0),!0},deleteProperty:function(e,t){return void 0!==Yr(e.t,t)||t in e.t?(e.R[t]=!1,Qr(e),Xr(e)):delete e.R[t],e.o&&delete e.o[t],!0},getOwnPropertyDescriptor:function(e,t){var n=Ir(e),r=Reflect.getOwnPropertyDescriptor(n,t);return r?{writable:!0,configurable:1!==e.i||"length"!==t,enumerable:r.enumerable,value:n[t]}:r},defineProperty:function(){Er(11)},getPrototypeOf:function(e){return Object.getPrototypeOf(e.t)},setPrototypeOf:function(){Er(12)}},gi={};Ar(mi,(function(e,t){gi[e]=function(){return arguments[0]=arguments[0][0],t.apply(this,arguments)}})),gi.deleteProperty=function(e,t){return gi.set.call(this,e,t,void 0)},gi.set=function(e,t,n){return mi.set.call(this,e[0],t,n,e[0])};var vi=function(){function e(e){var t=this;this.O=si,this.D=!0,this.produce=function(e,n,r){if("function"==typeof e&&"function"!=typeof n){var i=n;n=e;var o=t;return function(e){var t=this;void 0===e&&(e=i);for(var r=arguments.length,a=Array(r>1?r-1:0),s=1;s<r;s++)a[s-1]=arguments[s];return o.produce(e,(function(e){var r;return(r=n).call.apply(r,[t,e].concat(a))}))}}var a;if("function"!=typeof n&&Er(6),void 0!==r&&"function"!=typeof r&&Er(7),Sr(e)){var s=$r(t),l=Jr(t,e,void 0),u=!0;try{a=n(l),u=!1}finally{u?Ur(s):qr(s)}return"undefined"!=typeof Promise&&a instanceof Promise?a.then((function(e){return zr(s,r),Hr(e,s)}),(function(e){throw Ur(s),e})):(zr(s,r),Hr(a,s))}if(!e||"object"!=typeof e){if(void 0===(a=n(e))&&(a=e),a===li&&(a=void 0),t.D&&Lr(a,!0),r){var c=[],d=[];Fr("Patches").M(e,a,c,d),r(c,d)}return a}Er(21,e)},this.produceWithPatches=function(e,n){if("function"==typeof e)return function(n){for(var r=arguments.length,i=Array(r>1?r-1:0),o=1;o<r;o++)i[o-1]=arguments[o];return t.produceWithPatches(n,(function(t){return e.apply(void 0,[t].concat(i))}))};var r,i,o=t.produce(e,n,(function(e,t){r=e,i=t}));return"undefined"!=typeof Promise&&o instanceof Promise?o.then((function(e){return[e,r,i]})):[o,r,i]},"boolean"==typeof(null==e?void 0:e.useProxies)&&this.setUseProxies(e.useProxies),"boolean"==typeof(null==e?void 0:e.autoFreeze)&&this.setAutoFreeze(e.autoFreeze)}var t=e.prototype;return t.createDraft=function(e){Sr(e)||Er(8),Cr(e)&&(e=ei(e));var t=$r(this),n=Jr(this,e,void 0);return n[ci].C=!0,qr(t),n},t.finishDraft=function(e,t){var n=(e&&e[ci]).A;return zr(n,t),Hr(void 0,n)},t.setAutoFreeze=function(e){this.D=e},t.setUseProxies=function(e){e&&!si&&Er(20),this.O=e},t.applyPatches=function(e,t){var n;for(n=t.length-1;n>=0;n--){var r=t[n];if(0===r.path.length&&"replace"===r.op){e=r.value;break}}n>-1&&(t=t.slice(n+1));var i=Fr("Patches").$;return Cr(e)?i(e,t):this.produce(e,(function(e){return i(e,t)}))},e}(),yi=new vi,bi=yi.produce,wi=(yi.produceWithPatches.bind(yi),yi.setAutoFreeze.bind(yi),yi.setUseProxies.bind(yi),yi.applyPatches.bind(yi),yi.createDraft.bind(yi)),_i=yi.finishDraft.bind(yi),xi=__webpack_require__(16765),ki=__webpack_require__.n(xi),Ei=__webpack_require__(72579),Ci=__webpack_require__.n(Ei),Si=__webpack_require__(829),Ai=__webpack_require__.n(Si),Ti=__webpack_require__(5648),Oi=__webpack_require__.n(Ti),Pi=__webpack_require__(75652),Di=__webpack_require__.n(Pi),Ri=__webpack_require__(85121),Ii=__webpack_require__.n(Ri),Mi=__webpack_require__(35676),Li=__webpack_require__.n(Mi),Ni=__webpack_require__(55027),ji=__webpack_require__.n(Ni),Fi=__webpack_require__(14478),Bi=__webpack_require__.n(Fi),zi=__webpack_require__(65159),Ui=__webpack_require__.n(zi),qi=__webpack_require__(10017),$i=__webpack_require__.n(qi),Wi=__webpack_require__(85395),Hi=__webpack_require__.n(Wi),Vi=__webpack_require__(12957),Gi=__webpack_require__.n(Vi),Ki=__webpack_require__(85505),Yi=__webpack_require__.n(Ki);function Zi(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Xi(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Zi(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Zi(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Qi(e,t){if(t&&t.signal||"undefined"==typeof window||!window.AbortController)return fetch(e,t);const n=new AbortController,r=setTimeout((()=>n.abort()),6e4);return fetch(e,Xi(Xi({},t),{},{signal:n.signal})).then((e=>(clearTimeout(r),e))).catch((e=>{if("AbortError"===e.name||"DOMException"===e.name)throw new Error("Request timed out after 60 seconds");throw e}))}function Ji(e){const[t,n]=e.split("?");return(0,kr.Map)(Xi({url:t},n?{params:(r=n,(0,kr.List)(r.split("&")).map((e=>(0,kr.List)(e.split("=")).map(decodeURIComponent))).update(kr.Map))}:{}));var r}function eo(e){return`${e.get("url")}${e.get("params")?`?${t=e.get("params"),t.entrySeq().map((([e,t])=>`${encodeURIComponent(e)}=${encodeURIComponent(t)}`)).join("&")}`:""}`;var t}function to(e){return Yi()(e)?Ji(e):e?(0,kr.fromJS)(e):(0,kr.Map)()}const no=(ro=e=>{const t=function(e){return[eo(e),e.remove("url").remove("params").toJS()]}(e);return Qi(...t)},e=>ro(to(e)));var ro;const io=Hi()([function(e){return(t,n)=>e(t,to(n))},Gi()]);function oo(e){return io(((t,n)=>n.setIn(e,t)))}function ao(e){return io(((t,n)=>n.updateIn(e,((e=(0,kr.Map)())=>e.merge(t)))))}const so=oo(["method"]),lo=oo(["body"]),uo=oo(["cache"])("no-cache"),co=ao(["params"]),po=ao(["headers"]),fo=new RegExp("^(?:[a-z]+:)?//","i"),ho=io(((e,t)=>t.update("url",(t=>fo.test(t)?t:e&&t&&"/"!==t[0]&&"/"!==e[e.length-1]?`${e}/${t}`:`${e}${t}`)))),mo={toURL:eo,fromURL:Ji,fromFetchArguments:function(e,t){return Ji(e).merge((t?(0,kr.fromJS)(t):(0,kr.Map)()).remove("url").remove("params"))},performRequest:no,withMethod:so,withBody:lo,withHeaders:po,withParams:co,withRoot:ho,withNoCache:uo,fetchWithTimeout:Qi};function go(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class vo extends(function(e){function t(){var t=Reflect.construct(e,Array.from(arguments));return Object.setPrototypeOf(t,Object.getPrototypeOf(this)),t}return t.prototype=Object.create(e.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),Object.setPrototypeOf?Object.setPrototypeOf(t,e):t.__proto__=e,t}(Error)){constructor(e,t,n,r={}){super(e),go(this,"message",void 0),go(this,"status",void 0),go(this,"api",void 0),go(this,"meta",void 0),this.message=e,this.status=t,this.api=n,this.name="API_ERROR",this.meta=r}}function yo(e,t){return((null==e?void 0:e.path)||"").endsWith(t.startsWith(".")?t:`.${t}`)}function bo(e,t){return n=>{try{return t(n)}catch(t){throw new Error(`Response cannot be parsed into the expected format (${e}): ${t.message}`)}}}const wo=(0,kr.fromJS)({json:async e=>{const t=e.headers.get("Content-Type")||"";if(!t.startsWith("application/json")&&!t.startsWith("text/json"))throw new Error(`${t} is not a valid JSON Content-Type`);return e.json()},text:async e=>e.text(),blob:async e=>e.blob()}).mapEntries((([e,t])=>[e,bo(e,t)]));function _o(e){return t=>async function(e,{expectingOk:t=!0,format:n="text",apiName:r=""}){let i;try{const t=wo.get(n,!1);if(!t)throw new Error(`${n} is not a supported response format.`);i=await t(e)}catch(t){throw new vo(t.message,e.status,r)}if(t&&!e.ok){var o;const t="json"===n,a=t?i.message||i.msg||(null===(o=i.error)||void 0===o?void 0:o.message):i;throw new vo(t&&a?a:i,e.status,r)}return i}(t,e)}function xo(e){return e?Hi()([e=>e.split(","),Ui()((e=>e.trim().split(";"))),Ui()((([e,t])=>[t.match(/rel="(.*?)"/)[1],e.trim().match(/<(.*?)>/)[1].replace(/\+/g,"%20")])),$i()])(e):{}}async function ko(e,t={},n,r){let i=1,o=mo.fromFetchArguments(e,t);const a=[];for(;o&&i<30;){const e=await mo.performRequest(o),s=e.headers.get("Link"),l=s&&xo(s)[n],{headers:u={}}=t;o=l&&mo.fromFetchArguments(r(l),{headers:u}),a.push(e),i++}return a}var Eo=__webpack_require__(63252),Co=__webpack_require__.n(Eo);function So(){let e=Co()(1);return{acquire:function(t=15e3){return new Promise((n=>{const r=setTimeout((()=>{e=Co()(1),n(!1)}),t);e.take((()=>{clearTimeout(r),n(!0)}))}))},release:function(){try{e.leave()}catch(t){if("leave called too many times."!==t.message)throw t;console.warn("leave called too many times."),e=Co()(1)}}}}function Ao(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const To="EDITORIAL_WORKFLOW_ERROR";class Oo extends(function(e){function t(){var t=Reflect.construct(e,Array.from(arguments));return Object.setPrototypeOf(t,Object.getPrototypeOf(this)),t}return t.prototype=Object.create(e.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),Object.setPrototypeOf?Object.setPrototypeOf(t,e):t.__proto__=e,t}(Error)){constructor(e,t){super(e),Ao(this,"message",void 0),Ao(this,"notUnderEditorialWorkflow",void 0),this.message=e,this.notUnderEditorialWorkflow=t,this.name=To}}function Po(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function Do(e){if(void 0===e)return(0,kr.Map)();const t=(0,kr.fromJS)(e);if(!kr.Map.isMap(t))throw new Error("Object must be equivalent to a Map.");return t}const Ro=(0,kr.Set)(["index","page","count","pageSize","pageCount","usingOldPaginationAPI","extension","folder","depth"]);function Io(e){return e.filter(((e,t)=>Ro.has(t)))}class Mo{static create(...e){return new Mo(...e)}constructor(...e){if(Po(this,"store",void 0),Po(this,"actions",void 0),Po(this,"data",void 0),Po(this,"meta",void 0),e[0]instanceof Mo)return e[0];this.store=function(...e){const{actions:t,data:n,meta:r}=1===e.length?Do(e[0]).toObject():{actions:e[0],data:e[1],meta:e[2]};return(0,kr.Map)({actions:(0,kr.Set)(t),data:Do(n),meta:Do(r).update(Io)})}(...e),this.actions=this.store.get("actions"),this.data=this.store.get("data"),this.meta=this.store.get("meta")}updateStore(...e){return new Mo(this.store.update(...e))}updateInStore(...e){return new Mo(this.store.updateIn(...e))}hasAction(e){return function(e,t){return e.hasIn(["actions",t])}(this.store,e)}addAction(e){return this.updateStore("actions",(t=>t.add(e)))}removeAction(e){return this.updateStore("actions",(t=>t.delete(e)))}setActions(e){return this.updateStore((t=>t.set("actions",(0,kr.Set)(e))))}mergeActions(e){return this.updateStore("actions",(t=>t.union(e)))}getActionHandlers(e){return function(e,t){return e.get("actions",(0,kr.Set)()).toMap().map((e=>t(e)))}(this.store,e)}setData(e){return new Mo(this.store.set("data",Do(e)))}mergeData(e){return new Mo(this.store.mergeIn(["data"],Do(e)))}wrapData(e){return this.updateStore("data",(t=>Do(e).set("wrapped_cursor_data",t)))}unwrapData(){return[this.store.get("data").delete("wrapped_cursor_data"),this.updateStore("data",(e=>e.get("wrapped_cursor_data")))]}clearData(){return this.updateStore("data",(()=>(0,kr.Map)()))}setMeta(e){return this.updateStore((t=>t.set("meta",Do(e))))}mergeMeta(e){return this.updateStore((t=>t.update("meta",(t=>t.merge(Do(e))))))}}const Lo=Symbol("cursor key for compatibility with old backends");var No=__webpack_require__(75486),jo=__webpack_require__.n(No);!function(){const e="localForageTest";jo().setItem(e,{expires:Date.now()+3e5}).then((()=>{jo().removeItem(e)})).catch((e=>{if(22===e.code){const e="Unable to set localStorage key. Quota exceeded! Full disk?";console.warn(e)}console.log(e)}))}();const Fo=jo();var Bo=__webpack_require__(15316),zo=__webpack_require__.n(Bo);const Uo=new RegExp("^(?:[a-z]+:)?//","i");function qo(e){return e.replace(/[\\/]+/g,"/")}function $o(e){return Uo.test(e)}function Wo(e,t=""){if(""===e)return e;const n=(e=qo(e)).split("/"),r=n[n.length-1];return""===r&&n.length>1?n[n.length-2]:t.length>0&&r.slice(-t.length)===t?r.slice(0,-t.length):r}function Ho(e){const t=function(e){const t=(e=qo(e)).split("/");if(""===(e=t.pop())&&t.length>0&&(e=t.pop()),".."===e)return"";const n=e.lastIndexOf(".");return-1===n||0===n?"":e.slice(n)}(e);return""===t?t:t.slice(1)}function Vo(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Go(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Vo(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Vo(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Ko=10;async function Yo(e,t,n,r){const i=Co()(Ko),o=[];return e.forEach((e=>{o.push(new Promise((o=>i.take((async()=>{try{const[r,a]=await Promise.all([t(e.path,e.id,{parseText:!0}),n(e.path,e.id)]);o({file:Go(Go({},e),a),data:r}),i.leave()}catch(t){i.leave(),console.error(`failed to load file from ${r}: ${e.path}`),o({error:!0})}})))))})),Promise.all(o).then((e=>e.filter((e=>!e.error))))}async function Zo(e,t,n,r){return Yo(await e(),t,n,r)}async function Xo(e,t,n,r){return Yo(e,t,n,r)}async function Qo(e){try{return await e()}catch(e){if("Not Found"===e.message)return Promise.resolve([]);throw e}}function Jo(e,t){const n=e.match(/.svg$/)?{type:"image/svg+xml"}:{};return new File([t],e,n)}async function ea(e,t,n){let r;if(e.match(/.svg$/)){const i=await n(e,t,{parseText:!0});r=new Blob([i],{type:"image/svg+xml"})}else r=await n(e,t,{parseText:!1});return r}async function ta(e,t,n){const{path:r,id:i}=e;return new Promise(((e,o)=>n.take((()=>ea(r,i,t).then((e=>URL.createObjectURL(e))).then(e,o).finally((()=>n.leave()))))))}async function na(e,t,n){try{return await e.acquire()||console.warn(n),await t()}finally{e.release()}}const ra="git.local";function ia({branch:e,folder:t,extension:n,depth:r}){return`${ra}.${e}.${t}.${n}.${r}`}async function oa({localForage:e,localTree:t,branch:n,folder:r,extension:i,depth:o}){await e.setItem(ia({branch:n,folder:r,extension:i,depth:o}),t)}async function aa({listAllFiles:e,readFile:t,readFileMetadata:n,apiName:r,branch:i,localForage:o,folder:a,extension:s,depth:l,getDefaultBranch:u,isShaExistsInBranch:c,getDifferences:d,getFileId:p,filterFile:f,customFetch:h}){async function m(){const t=await e(a,s,l),n=await u();return await oa({localForage:o,localTree:{head:n.sha,files:t.map((e=>({id:e.id,path:e.path,name:Wo(e.path)})))},branch:n.name,depth:l,extension:s,folder:a}),t}const g=await async function(){const e=await async function({localForage:e,branch:t,folder:n,extension:r,depth:i}){return await e.getItem(ia({branch:t,folder:n,extension:r,depth:i}))}({localForage:o,branch:i,folder:a,extension:s,depth:l});if(e){const t=await u();if(!await c(t.name,e.head))return console.log(`Can't find local tree head '${e.head}' in branch '${t.name}', rebuilding local tree`),m();const n=await async function({branch:e,localTree:t,folder:n,getDifferences:r,filterFile:i,getFileId:o}){const a=(await r(e.sha,t.head)).filter((e=>{var t,r;return(null===(t=e.oldPath)||void 0===t?void 0:t.startsWith(n))||(null===(r=e.newPath)||void 0===r?void 0:r.startsWith(n))})).reduce(((e,t)=>("renamed"===t.status?(e.push({path:t.oldPath,name:Wo(t.oldPath),deleted:!0}),e.push({path:t.newPath,name:Wo(t.newPath),deleted:!1})):"deleted"===t.status?e.push({path:t.oldPath,name:Wo(t.oldPath),deleted:!0}):e.push({path:t.newPath||t.oldPath,name:Wo(t.newPath||t.oldPath),deleted:!1}),e)),[]).filter(i);return await Promise.all(a.map((async e=>{if(e.deleted)return Go(Go({},e),{},{id:""});{const t=await o(e.path);return Go(Go({},e),{},{id:t})}})))}({branch:t,localTree:e,folder:a,extension:s,depth:l,getDifferences:d,getFileId:p,filterFile:f}).catch((e=>(console.log("Failed getting diff from local tree:",e),null)));if(!n)return console.log("Diff is null, rebuilding local tree"),m();if(0===n.length)return e.files;{const r=n.reduce(((e,t)=>(e[t.path]=t.deleted,e)),{}),i=Ai()(zo()(n.filter((e=>!r[e.path])),e.files.filter((e=>!r[e.path])),(e=>e.path)),(e=>e.path));return await oa({localForage:o,localTree:{head:t.sha,files:i},branch:t.name,depth:l,extension:s,folder:a}),i}}return m()}();return h?await h(g):await Yo(g,t,n,r)}var sa=__webpack_require__(21023),la=__webpack_require__(36585),ua=__webpack_require__.n(la),ca=__webpack_require__(1573),da=__webpack_require__.n(ca),pa=__webpack_require__(28879),fa=__webpack_require__.n(pa);const ha=[{pattern:/^upper$/,transform:e=>e.toUpperCase()},{pattern:/^lower$/,transform:e=>e.toLowerCase()},{pattern:/^date\('(.+)'\)$/,transform:(e,t)=>fa()(e).format(t[1])},{pattern:/^default\('(.+)'\)$/,transform:(e,t)=>e||t[1]},{pattern:/^ternary\('(.*)',\s*'(.*)'\)$/,transform:(e,t)=>e?t[1]:t[2]},{pattern:/^truncate\(([0-9]+)(?:(?:,\s*['"])([^'"]*)(?:['"]))?\)$/,transform:(e,t)=>{const n=t[2]||"...",r=parseInt(t[1])+n.length;return ua()(e,{length:r,omission:n})}}],ma="fields.",ga=" *([^}{| ]+)",va=`{{${ga}( \\| ([^}{]+?))? *}}`;function ya(e){return`0${e}`.slice(-2)}const ba={year:e=>`${e.getUTCFullYear()}`,month:e=>ya(e.getUTCMonth()+1),day:e=>ya(e.getUTCDate()),hour:e=>ya(e.getUTCHours()),minute:e=>ya(e.getUTCMinutes()),second:e=>ya(e.getUTCSeconds())};function wa(e,t){if(!t)return;const n=e.getIn(["data",t]),r=n&&fa()(n);return r&&r.isValid()?r.toDate():void 0}const _a="SLUG_MISSING_REQUIRED_DATE";function xa(e){if(!e)return[];const t=[],n=e.split("");let r,i=[];for(;r=n.shift();)["[","]","."].includes(r)?(i.length>0&&t.push(i.join("")),i=[]):i.push(r);return i.length>0&&t.push(i.join("")),t}function ka({data:e,path:t,paths:n=[]}){t.endsWith(".*")&&(t+=".");const r=t.split(".*.");if(1===r.length)n.push(t);else{const t=r[0],i=Ci()(e,t);Array.isArray(i)&&i.forEach(((i,o)=>{ka({data:e,path:da()(`${t}.${o}.${r.slice(1).join(".*.")}`,"."),paths:n})}))}return n}function Ea(e,t,n="",r=(0,kr.Map)(),i){let o;const a=null!==t,s=e.replace(RegExp(va,"g"),((e,a,s,l)=>{let u;const c=function(e,t){if(!e.startsWith(ma))return;const n=e.slice(ma.length),r=t.getIn(xa(n));return"object"==typeof r&&null!==r?JSON.stringify(r):r}(a,r);if(c)u=c;else{if(ba[a]&&!t)return o=!0,"";u=ba[a]?ba[a](t):"slug"===a?n:r.getIn(xa(a),"")}if(i)return i(u);{const e=function(e){if(e){let t=null;const n=ha.find((n=>(t=e.match(n.pattern),!!t)));if(n)return e=>n.transform(e,t)}return null}(l);e&&(u=e(u))}return u}));if(a&&o){const e=new Error;throw e.name=_a,e}return s}function Ca(e){const t=RegExp(va,"g"),n=RegExp(ga,"g");return(e.match(t)||[]).map((e=>{const t=e.match(n);return t?t[0]:""}))}function Sa(e,t,n=""){if(!e)return t;const r=(0,sa.extname)(e),i=(0,sa.basename)(e,r),o=(0,sa.dirname)(e).replace(new RegExp(`^(/?)${n}/?`),"$1");return t=t.withMutations((e=>{e.set("dirname",o),e.set("filename",i),e.set("extension",""===r?r:r.slice(1))})),t}var Aa=__webpack_require__(60155),Ta=__webpack_require__.n(Aa);function Oa(e,t=(e=>e)){return(n,r)=>{const i=e.indexOf(t(n)),o=e.indexOf(t(r));return-1===i||-1===o?0:i>o?1:i<o?-1:0}}function Pa(e,t,n=""){e.forEach((e=>{if(void 0!==e.key){var r;const i=e.key.toString(),o=n?`${n}.${i}`:i;if(t[o]){const n=t[o].split("\\n").join("\n ");e.commentBefore=` ${n}`}Array.isArray(null===(r=e.value)||void 0===r?void 0:r.items)&&Pa(e.value.items,t,o)}}))}const Da={identify:e=>e instanceof Date,default:!0,tag:"!timestamp",test:RegExp("^([0-9]{4})-([0-9]{2})-([0-9]{2})T([0-9]{2}):([0-9]{2}):([0-9]{2}(\\.[0-9]+)?)Z$"),resolve:e=>new Date(e),stringify:e=>e.toISOString()},Ra={fromFile:e=>(e&&e.trim().endsWith("---")&&(e=e.trim().slice(0,-3)),Ta().parse(e,{customTags:[Da]})),toFile(e,t=[],n={}){const r=Ta().createNode(e);Pa(r.items,n),r.items.sort(Oa(t,(e=>{var t;return null===(t=e.key)||void 0===t?void 0:t.toString()})));const i=new(Ta().Document);return i.contents=r,i.toString()}};var Ia=__webpack_require__(6901),Ma=__webpack_require__(56330),La=__webpack_require__.n(Ma);function Na(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class ja{constructor({url:e,file:t,path:n,field:r}){Na(this,"url",void 0),Na(this,"fileObj",void 0),Na(this,"path",void 0),Na(this,"field",void 0),this.url=e||(t?window.URL.createObjectURL(t):""),this.fileObj=t,this.path=n,this.field=r}toString(){return this.url}async toBase64(){const e=await fetch(this.url).then((e=>e.blob()));if(e.size<=0)return"";return await new Promise((t=>{const n=new FileReader;n.onload=e=>{var n;const r=(null===(n=e.target)||void 0===n?void 0:n.result)||"";t(r.toString().split("base64,")[1])},n.readAsDataURL(e)}))}}function Fa({url:e,file:t,path:n,field:r}){return new ja({url:e,file:t,path:n,field:r})}function Ba(e,t){return fa().isDayjs(t)?t.format(t._f):t instanceof ja?`${t.path}`:!("number"!=typeof t||!Number.isInteger(t))&&t.toString()}const za={fromFile:e=>Ia.parse(e),toFile:(e,t=[])=>La().toToml(e,{replace:Ba,sort:Oa(t)})},Ua={fromFile:e=>JSON.parse(e),toFile:e=>JSON.stringify(e,null,2)};var qa=__webpack_require__(61035),$a=__webpack_require__.n(qa);const Wa=["body"];function Ha(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Va(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Ha(Object(n),!0).forEach((function(t){Ga(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Ha(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Ga(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Ka={YAML:"yaml",TOML:"toml",JSON:"json"},Ya={toml:{parse:e=>za.fromFile(e),stringify:(e,t)=>{const{sortedKeys:n}=t||{};return za.toFile(e,n)}},json:{parse:e=>{let t=e.trim();return"{"!==t.slice(0,1)&&(t="{"+t+"}"),Ua.fromFile(t)},stringify:e=>{let t=Ua.toFile(e).trim();return"{"===t.slice(0,1)&&"}"===t.slice(-1)&&(t=t.slice(1,-1)),t}},yaml:{parse:e=>Ra.fromFile(e),stringify:(e,t)=>{const{sortedKeys:n,comments:r}=t||{};return Ra.toFile(e,n,r)}}};function Za(e,t){if(!e)return;const n={yaml:{language:Ka.YAML,delimiters:"---"},toml:{language:Ka.TOML,delimiters:"+++"},json:{language:Ka.JSON,delimiters:["{","}"]}},{language:r,delimiters:i}=n[e];return{language:r,delimiters:t||i}}class Xa{constructor(e,t){Ga(this,"format",void 0),this.format=Za(e,t)}fromFile(e){const t=this.format||function(e){const t=e.indexOf("\n"),n=e.slice(0,-1!==t?t:0).trim();if(!(n.length>3&&"---"===n.slice(0,3)))switch(n){case"---":return Za(Ka.YAML);case"+++":return Za(Ka.TOML);case"{":return Za(Ka.JSON);default:console.warn("Unrecognized front-matter format.")}}(e),n=$a()(e,Va({engines:Ya},t));return Va(Va({},n.data),n.content.trim()&&{body:n.content})}toFile(e,t,n){const{body:r=""}=e,i=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,Wa),o=this.format||Za(Ka.YAML),a="\n"!==r.slice(-1),s=$a().stringify(r,i,Va({engines:Ya,sortedKeys:t,comments:n},o));return a&&"\n"===s.slice(-1)?s.slice(0,-1):s}}const Qa=new Xa;function Ja(e){return new Xa(Ka.YAML,e)}function es(e){return new Xa(Ka.TOML,e)}function ts(e){return new Xa(Ka.JSON,e)}var ns,rs,is=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),os=(ns=["",""],rs=["",""],Object.freeze(Object.defineProperties(ns,{raw:{value:Object.freeze(rs)}}))),as=function(){function e(){for(var t=this,n=arguments.length,r=Array(n),i=0;i<n;i++)r[i]=arguments[i];return function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.tag=function(e){for(var n=arguments.length,r=Array(n>1?n-1:0),i=1;i<n;i++)r[i-1]=arguments[i];return"function"==typeof e?t.interimTag.bind(t,e):"string"==typeof e?t.transformEndResult(e):(e=e.map(t.transformString.bind(t)),t.transformEndResult(e.reduce(t.processSubstitutions.bind(t,r))))},r.length>0&&Array.isArray(r[0])&&(r=r[0]),this.transformers=r.map((function(e){return"function"==typeof e?e():e})),this.tag}return is(e,[{key:"interimTag",value:function(e,t){for(var n=arguments.length,r=Array(n>2?n-2:0),i=2;i<n;i++)r[i-2]=arguments[i];return this.tag(os,e.apply(void 0,[t].concat(r)))}},{key:"processSubstitutions",value:function(e,t,n){var r=this.transformSubstitution(e.shift(),t);return"".concat(t,r,n)}},{key:"transformString",value:function(e){return this.transformers.reduce((function(e,t){return t.onString?t.onString(e):e}),e)}},{key:"transformSubstitution",value:function(e,t){return this.transformers.reduce((function(e,n){return n.onSubstitution?n.onSubstitution(e,t):e}),e)}},{key:"transformEndResult",value:function(e){return this.transformers.reduce((function(e,t){return t.onEndResult?t.onEndResult(e):e}),e)}}]),e}();const ss=as;var ls={separator:"",conjunction:"",serial:!1};const us=function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:ls;return{onSubstitution:function(t,n){if(Array.isArray(t)){var r=t.length,i=e.separator,o=e.conjunction,a=e.serial,s=n.match(/(\n?[^\S\n]+)$/);if(t=s?t.join(i+s[1]):t.join(i+" "),o&&r>1){var l=t.lastIndexOf(i);t=t.slice(0,l)+(a?i:"")+" "+o+t.slice(l+1)}}return t}}},cs=function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:"initial";return{onEndResult:function(t){if("initial"===e){var n=t.match(/^[^\S\n]*(?=\S)/gm),r=n&&Math.min.apply(Math,function(e){if(Array.isArray(e)){for(var t=0,n=Array(e.length);t<e.length;t++)n[t]=e[t];return n}return Array.from(e)}(n.map((function(e){return e.length}))));if(r){var i=new RegExp("^.{"+r+"}","gm");return t.replace(i,"")}return t}if("all"===e)return t.replace(/^[^\S\n]+/gm,"");throw new Error("Unknown type: "+e)}}},ds=function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:"";return{onEndResult:function(t){if(""===e)return t.trim();if("start"===(e=e.toLowerCase())||"left"===e)return t.replace(/^\s*/,"");if("end"===e||"right"===e)return t.replace(/\s*$/,"");throw new Error("Side not supported: "+e)}}};new ss(us({separator:","}),cs,ds),new ss(us({separator:",",conjunction:"and"}),cs,ds),new ss(us({separator:",",conjunction:"or"}),cs,ds);const ps=function(e){return{onSubstitution:function(t,n){if(null==e||"string"!=typeof e)throw new Error("You need to specify a string character to split by.");return"string"==typeof t&&t.includes(e)&&(t=t.split(e)),t}}};var fs=function(e){return null!=e&&!Number.isNaN(e)&&"boolean"!=typeof e};new ss(ps("\n"),(function(){return{onSubstitution:function(e){return Array.isArray(e)?e.filter(fs):fs(e)?e:""}}}),us,cs,ds);const hs=function(e,t){return{onSubstitution:function(n,r){if(null==e||null==t)throw new Error("replaceSubstitutionTransformer requires at least 2 arguments.");return null==n?n:n.toString().replace(e,t)}}};new ss(ps("\n"),us,cs,ds,hs(/&/g,"&amp;"),hs(/</g,"&lt;"),hs(/>/g,"&gt;"),hs(/"/g,"&quot;"),hs(/'/g,"&#x27;"),hs(/`/g,"&#x60;"));const ms=function(e,t){return{onEndResult:function(n){if(null==e||null==t)throw new Error("replaceResultTransformer requires at least 2 arguments.");return n.replace(e,t)}}},gs=new ss(ms(/(?:\n(?:\s*))+/g," "),ds);new ss(ms(/(?:\n\s*)/g,""),ds),new ss(us({separator:","}),ms(/(?:\s+)/g," "),ds),new ss(us({separator:",",conjunction:"or"}),ms(/(?:\s+)/g," "),ds),new ss(us({separator:",",conjunction:"and"}),ms(/(?:\s+)/g," "),ds),new ss(us,cs,ds),new ss(us,ms(/(?:\s+)/g," "),ds);const vs=new ss(cs,ds);new ss(cs("all"),ds);var ys=__webpack_require__(61049),bs=__webpack_require__.n(ys);const ws=["id","label","icon","type","widget","pattern","fields","fromBlock","toBlock","toPreview"];function _s(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}const xs=/.^/;function ks(e){return bs()(e)&&e.bind(null)}function Es(e){const{id:t=null,label:n="unnamed component",icon:r="exclamation-triangle",type:i="shortcode",widget:o="object",pattern:a=xs,fields:s=[],fromBlock:l,toBlock:u,toPreview:c}=e,d=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,ws);return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?_s(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):_s(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({id:t||n.replace(/[^A-Z0-9]+/gi,"_"),label:n,type:i,icon:r,widget:o,pattern:a,fromBlock:ks(l)||(()=>({})),toBlock:ks(u)||(()=>"Plugin"),toPreview:ks(c)||!o&&(ks(u)||(()=>"Plugin")),fields:(0,kr.fromJS)(s)},d)}const Cs=["name","controlComponent","previewComponent","schema","allowMapValue","globalStyles"];function Ss(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function As(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Ss(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Ss(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Ts=["prePublish","postPublish","preUnpublish","postUnpublish","preSave","postSave"],Os={};Ts.forEach((e=>{Os[e]=[]}));const Ps={backends:{},templates:{},previewStyles:[],widgets:{},editorComponents:(0,kr.Map)(),remarkPlugins:[],widgetValueSerializers:{},mediaLibraries:[],locales:{},eventHandlers:Os,formats:{}},Ds={registerPreviewStyle:function(e,t){Ps.previewStyles.push(As(As({},t),{},{value:e}))},getPreviewStyles:Rs,registerPreviewTemplate:function(e,t){Ps.templates[e]=t},getPreviewTemplate:Is,registerWidget:Ms,getWidget:Ls,getWidgets:Ns,resolveWidget:js,registerEditorComponent:function(e){const t=Es(e);if("code-block"===t.type){const e=Ps.editorComponents.find((e=>"code-block"===e.type));e&&(console.warn(gs`
Only one editor component of type "code-block" may be registered. Previously registered code
block component(s) will be overwritten.
`),Ps.editorComponents=Ps.editorComponents.delete(e.id))}Ps.editorComponents=Ps.editorComponents.set(t.id,t)},getEditorComponents:Fs,registerRemarkPlugin:function(e){Ps.remarkPlugins.push(e)},getRemarkPlugins:Bs,registerWidgetValueSerializer:function(e,t){Ps.widgetValueSerializers[e]=t},getWidgetValueSerializer:zs,registerBackend:function(e,t){e&&t?Ps.backends[e]?console.error(`Backend [${e}] already registered. Please choose a different name.`):Ps.backends[e]={init:(...e)=>new t(...e)}:console.error("Backend parameters invalid. example: CMS.registerBackend('myBackend', BackendClass)")},getBackend:Us,registerMediaLibrary:function(e,t){if(Ps.mediaLibraries.find((t=>e.name===t.name)))throw new Error(`A media library named ${e.name} has already been registered.`);Ps.mediaLibraries.push(As(As({},e),{},{options:t}))},getMediaLibrary:qs,registerLocale:function(e,t){e&&t?Ps.locales[e]=t:console.error("Locale parameters invalid. example: CMS.registerLocale('locale', phrases)")},getLocale:Hs,registerEventListener:function({name:e,handler:t},n={}){$s(e),Ps.eventHandlers[e].push({handler:t,options:n})},removeEventListener:function({name:e,handler:t}){$s(e),Ps.eventHandlers[e]=t?Ps.eventHandlers[e].filter((e=>e.handler!==t)):[]},getEventListeners:function(e){return $s(e),[...Ps.eventHandlers[e]]},invokeEvent:Ws,registerCustomFormat:function(e,t,n){Ps.formats[e]={extension:t,formatter:n}},getCustomFormats:function(){return Ps.formats},getCustomFormatsExtensions:Vs,getCustomFormatsFormatters:Gs};function Rs(){return Ps.previewStyles}function Is(e){return Ps.templates[e]}function Ms(e,t,n,r={}){if(Array.isArray(e))e.forEach((e=>{"object"!=typeof e?console.error(`Cannot register widget: ${e}`):Ms(e)}));else if("string"==typeof e){const i="string"==typeof t?Ps.widgets[t].control:t;Ps.widgets[e]={control:i,preview:n,schema:r}}else if("object"==typeof e){const{name:t,controlComponent:n,previewComponent:r,schema:i={},allowMapValue:o,globalStyles:a}=e,s=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,Cs);if(Ps.widgets[t]&&console.warn(gs`
Multiple widgets registered with name "${t}". Only the last widget registered with
this name will be used.
`),!n)throw Error(`Widget "${t}" registered without \`controlComponent\`.`);Ps.widgets[t]=As({control:n,preview:r,schema:i,globalStyles:a,allowMapValue:o},s)}else console.error("`registerWidget` failed, called with incorrect arguments.")}function Ls(e){return Ps.widgets[e]}function Ns(){return bi(Object.entries(Ps.widgets),(e=>e.map((([e,t])=>As({name:e},t)))))}function js(e){return Ls(e||"string")||Ls("unknown")}function Fs(){return Ps.editorComponents}function Bs(){return Ps.remarkPlugins}function zs(e){return Ps.widgetValueSerializers[e]}function Us(e){return Ps.backends[e]}function qs(e){return Ps.mediaLibraries.find((t=>t.name===e))}function $s(e){if(!Ts.includes(e))throw new Error(`Invalid event name '${e}'`)}async function Ws({name:e,data:t}){$s(e);const n=Ps.eventHandlers[e];let r=As({},t);for(const{handler:e,options:i}of n){const n=await e(r,i);if(void 0!==n){const e=r.entry.set("data",n);r=As(As({},t),{},{entry:e})}}return r.entry.get("data")}function Hs(e){return Ps.locales[e]}function Vs(){return Object.entries(Ps.formats).reduce((function(e,[t,{extension:n}]){return As(As({},e),{},{[t]:n})}),{})}function Gs(){return Object.entries(Ps.formats).reduce((function(e,[t,{formatter:n}]){return As(As({},e),{},{[t]:n})}),{})}function Ks(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Ys(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Ks(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Ks(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Zs=["yaml-frontmatter","toml-frontmatter","json-frontmatter"],Xs={yml:"yml",yaml:"yml",toml:"toml",json:"json",frontmatter:"md","json-frontmatter":"md","toml-frontmatter":"md","yaml-frontmatter":"md"},Qs={yml:Ra,yaml:Ra,toml:za,json:Ua,md:Qa,markdown:Qa,html:Qa};function Js(e,t){const n=Ys({yml:Ra,yaml:Ra,toml:za,json:Ua,frontmatter:Qa,"json-frontmatter":ts(t),"toml-frontmatter":es(t),"yaml-frontmatter":Ja(t)},Gs());if(e in n)return n[e];throw new Error(`No formatter available with name: ${e}`)}function el(e,t){const n=e.get("frontmatter_delimiter"),r=(i=n,kr.List.isList(i)?n.toArray():n);var i;const o=e.get("format");if(o)return Js(o,r);const a=t&&t.path;if(a){const e=a.split(".").pop();if(e)return Ci()(Qs,e)}const s=e.get("extension");return s?Ci()(Qs,s):Js("frontmatter",r)}var tl=__webpack_require__(45455),nl=__webpack_require__.n(tl),rl=__webpack_require__(93433),il=__webpack_require__.n(rl),ol=__webpack_require__(39714),al=__webpack_require__.n(ol);const sl="simple",ll="editorial_workflow",ul=(0,kr.OrderedMap)({DRAFT:"draft",PENDING_REVIEW:"pending_review",PENDING_PUBLISH:"pending_publish"});(0,kr.Map)({[ul.get("DRAFT")]:"Draft",[ul.get("PENDING_REVIEW")]:"Waiting for Review",[ul.get("PENDING_PUBLISH")]:"Waiting to go live"});var cl,dl=__webpack_require__(96477),pl=__webpack_require__.n(dl),fl=__webpack_require__(66454),hl=__webpack_require__(53521),ml=__webpack_require__.n(hl),gl=new Uint8Array(16);function vl(){if(!cl&&!(cl="undefined"!=typeof crypto&&crypto.getRandomValues&&crypto.getRandomValues.bind(crypto)||"undefined"!=typeof msCrypto&&"function"==typeof msCrypto.getRandomValues&&msCrypto.getRandomValues.bind(msCrypto)))throw new Error("crypto.getRandomValues() not supported. See https://github.com/uuidjs/uuid#getrandomvalues-not-supported");return cl(gl)}const yl=/^(?:[0-9a-f]{8}-[0-9a-f]{4}-[1-5][0-9a-f]{3}-[89ab][0-9a-f]{3}-[0-9a-f]{12}|00000000-0000-0000-0000-000000000000)$/i;for(var bl=[],wl=0;wl<256;++wl)bl.push((wl+256).toString(16).substr(1));const _l=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0,n=(bl[e[t+0]]+bl[e[t+1]]+bl[e[t+2]]+bl[e[t+3]]+"-"+bl[e[t+4]]+bl[e[t+5]]+"-"+bl[e[t+6]]+bl[e[t+7]]+"-"+bl[e[t+8]]+bl[e[t+9]]+"-"+bl[e[t+10]]+bl[e[t+11]]+bl[e[t+12]]+bl[e[t+13]]+bl[e[t+14]]+bl[e[t+15]]).toLowerCase();if(!function(e){return"string"==typeof e&&yl.test(e)}(n))throw TypeError("Stringified UUID is invalid");return n},xl=function(e,t,n){var r=(e=e||{}).random||(e.rng||vl)();if(r[6]=15&r[6]|64,r[8]=63&r[8]|128,t){n=n||0;for(var i=0;i<16;++i)t[n+i]=r[i];return t}return _l(r)};var kl=__webpack_require__(89166),El=__webpack_require__.n(kl),Cl=__webpack_require__(3440),Sl=__webpack_require__.n(Cl);const Al="file_based_collection",Tl="folder_based_collection",Ol="commit_author",Pl="commit_date",Dl=["title","path"],Rl=["title","date","author","description"],Il={title:{type:"string",secondaryTypes:[],synonyms:["title","name","label","headline","header"],defaultPreview:e=>xn("h1",null,e),fallbackToFirstField:!0,showError:!0},shortTitle:{type:"string",secondaryTypes:[],synonyms:["short_title","shortTitle","short"],defaultPreview:e=>xn("h2",null,e),fallbackToFirstField:!1,showError:!1},author:{type:"string",secondaryTypes:[],synonyms:["author","name","by","byline","owner"],defaultPreview:e=>xn("strong",null,e),fallbackToFirstField:!1,showError:!1},date:{type:"datetime",secondaryTypes:["date"],synonyms:["date","publishDate","publish_date"],defaultPreview:e=>e,fallbackToFirstField:!1,showError:!1},description:{type:"string",secondaryTypes:["text","markdown"],synonyms:["shortDescription","short_description","shortdescription","description","intro","introduction","brief","content","biography","bio","summary"],defaultPreview:e=>e,fallbackToFirstField:!1,showError:!1},image:{type:"image",secondaryTypes:[],synonyms:["image","thumbnail","thumb","picture","avatar","photo","cover","hero","logo"],defaultPreview:e=>e,fallbackToFirstField:!1,showError:!1}};var Ml=__webpack_require__(34498),Ll=__webpack_require__.n(Ml),Nl=__webpack_require__(25291),jl=__webpack_require__.n(Nl);let Fl=function(e){return e.Ascending="Ascending",e.Descending="Descending",e.None="None",e}({});var Bl=__webpack_require__(26434),zl=__webpack_require__.n(Bl),Ul=__webpack_require__(883),ql=__webpack_require__(49705),$l=__webpack_require__.n(ql),Wl=__webpack_require__(63449),Hl=__webpack_require__(17826),Vl=__webpack_require__.n(Hl);function Gl(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Kl(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Gl(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Gl(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Yl(e,t){return function(e,t){return`${t?"/#":""}${e}`}(`/collections/${e}/new`,t)}function Zl(e,t){const n=Ul.parse(e,!0);return n.query=Kl(Kl({},n.query),t),Ul.format(n)}const Xl=/[\w\-.~]/i,Ql=/[\xA0-\u{D7FF}\u{F900}-\u{FDCF}\u{FDF0}-\u{FFEF}\u{10000}-\u{1FFFD}\u{20000}-\u{2FFFD}\u{30000}-\u{3FFFD}\u{40000}-\u{4FFFD}\u{50000}-\u{5FFFD}\u{60000}-\u{6FFFD}\u{70000}-\u{7FFFD}\u{80000}-\u{8FFFD}\u{90000}-\u{9FFFD}\u{A0000}-\u{AFFFD}\u{B0000}-\u{BFFFD}\u{C0000}-\u{CFFFD}\u{D0000}-\u{DFFFD}\u{E1000}-\u{EFFFD}]/u;function Jl(e){return Xl.test(e)}function eu(e){return Xl.test(e)||Ql.test(e)}function tu(e,t){let n;if("unicode"===e)n=eu;else{if("ascii"!==e)throw new Error('`options.encoding` must be "unicode" or "ascii".');n=Jl}if(!Array.from(t).every(n))throw new Error("The replacement character(s) (options.replacement) is itself unsafe.");return e=>n(e)?e:t}function nu(e,t){const{replacement:n="",encoding:r="unicode"}=t||{};if(!Yi()(e))throw new Error("The input slug must be a string.");if(!Yi()(n))throw new Error("`options.replacement` must be a string.");return Array.from(e).map(tu(r,n)).join("")}function ru(e,t){const{encoding:n="unicode",sanitize_replacement:r=""}=t||{};return tu(n,r)(e)}function iu(e,t){if(!Yi()(e))throw new Error("The input slug must be a string.");const{encoding:n,clean_accents:r,sanitize_replacement:i}=t||{},o=Hi()([...r?[Wl.Od]:[],zl()(nu,{replacement:i,encoding:n}),zl()(Vl(),{replacement:i})])(e),a=new RegExp(`(?:${El()(i)})+`,"g"),s=new RegExp(`${El()(i)}$`),l=new RegExp(`^${El()(i)}`);return o.replace(a,i).replace(l,"").replace(s,"")}function ou(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function au(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?ou(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):ou(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const{compileStringTemplate:su,parseDateFromEntry:lu,SLUG_MISSING_REQUIRED_DATE:uu,keyToPathArray:cu,addFileTemplateFields:du}=e,pu={create:"Create {{collection}} “{{slug}}”",update:"Update {{collection}} “{{slug}}”",delete:"Delete {{collection}} “{{slug}}”",uploadMedia:"Upload “{{path}}”",deleteMedia:"Delete “{{path}}”",openAuthoring:"{{message}}"},fu=/\{\{([^}]+)\}\}/g;function hu(e,t,{slug:n,path:r,collection:i,authorLogin:o,authorName:a},s){const l=au(au({},pu),t.backend.commit_messages||{}),u=l[e].replace(fu,((e,t)=>{switch(t){case"slug":return n||"";case"path":return r||"";case"collection":return i?i.get("label_singular")||i.get("label"):"";case"author-login":return o||"";case"author-name":return a||"";default:return console.warn(`Ignoring unknown variable “${t}” in commit message template.`),""}}));return s?l.openAuthoring.replace(fu,((e,t)=>{switch(t){case"message":return u;case"author-login":return o||"";case"author-name":return a||"";default:return console.warn(`Ignoring unknown variable “${t}” in open authoring message template.`),""}})):u}function mu(e){return e.trim().toLocaleLowerCase().replace(/[']/g,"").replace(/[.]/g,"-")}function gu(e,t){return n=>t&&t.includes(n)?n:Hi()([e=>String(e),mu,zl()(iu,e)])(n)}function vu(e,t,n,r,i){if(!e)return;const o=da()(e,"/"),a=t.get("type")===Al?wf(t,r.get("slug")):void 0,s=null!==(l=null==a?void 0:a.get("preview_path"))&&void 0!==l?l:t.get("preview_path");var l;if(!s)return e;let u=r.get("data");u=du(r.get("path"),u,t.get("folder"));const c=(null!==(d=null==a?void 0:a.get("preview_path_date_field"))&&void 0!==d?d:t.get("preview_path_date_field"))||Df(t,"date");var d;const p=lu(r,c),f=gu(i,[u.get("dirname")]);let h;try{h=su(s,p,n,u,f)}catch(e){if(e.name===uu)return console.error(vs`
Collection "${t.get("name")}" configuration error:
\`preview_path_date_field\` must be a field with a valid date. Ignoring \`preview_path\`.
`),o;throw e}return`${o}/${il()(h," /")}`}function yu(e,t,n,r,i,o){if(!t||!t.get("data"))return e;let a=t.get("data").set(i,r);a=du(t.get("path"),a,n.get("folder"));const s=lu(t,Df(n,"date"))||null,l=a.getIn(cu(Pf(n))),u=gu(o,[r,a.get("dirname")]);return su(e,s,l,a,u)}var bu=__webpack_require__(18149),wu=__webpack_require__.n(bu),_u=__webpack_require__(4335),xu=__webpack_require__.n(_u);function ku(e,t="",n="",r={}){return{collection:e,slug:t,path:n,partial:r.partial||!1,raw:r.raw||"",data:r.data||{},label:r.label||null,isModification:xu()(r.isModification)?r.isModification:null,mediaFiles:r.mediaFiles||[],author:r.author||"",updatedOn:r.updatedOn||"",status:r.status||"",meta:r.meta||{},i18n:r.i18n||{}}}function Eu(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Cu(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Eu(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Eu(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const{fetchWithTimeout:Su}=mo;class Au{constructor(e){if(this.config=e,null==e.get("applicationID")||null==e.get("apiKey"))throw"The Algolia search integration needs the credentials (applicationID and apiKey) in the integration configuration.";this.applicationID=e.get("applicationID"),this.apiKey=e.get("apiKey");const t=e.get("indexPrefix");this.indexPrefix=t?`${t}-`:"",this.searchURL=`https://${this.applicationID}-dsn.algolia.net/1`,this.entriesCache={collection:null,page:null,entries:[]}}requestHeaders(e={}){return Cu({"X-Algolia-API-Key":this.apiKey,"X-Algolia-Application-Id":this.applicationID,"Content-Type":"application/json"},e)}parseJsonResponse(e){return e.json().then((t=>e.ok?t:Promise.reject(t)))}urlFor(e,t){const n=[];if(t.params)for(const e in t.params)n.push(`${e}=${encodeURIComponent(t.params[e])}`);return n.length&&(e+=`?${n.join("&")}`),e}request(e,t={}){const n=this.requestHeaders(t.headers||{}),r=this.urlFor(e,t);return Su(r,Cu(Cu({},t),{},{headers:n})).then((e=>{const t=e.headers.get("Content-Type");return t&&t.match(/json/)?this.parseJsonResponse(e):e.text()}))}search(e,t,n){const r=e.map((e=>({indexName:`${this.indexPrefix}${e}`,params:`query=${t}&page=${n}`})));return this.request(`${this.searchURL}/indexes/*/queries`,{method:"POST",body:JSON.stringify({requests:r})}).then((t=>{const r=t.results.map(((t,n)=>t.hits.map((t=>{const r=t.path.split("/").pop().replace(/\.[^.]+$/,"");return ku(e[n],r,t.path,{data:t.data,partial:!0})}))));return{entries:Li()(r),pagination:n}}))}searchBy(e,t,n){return this.request(`${this.searchURL}/indexes/${this.indexPrefix}${t}`,{params:{restrictSearchableAttributes:e,query:n}})}listEntries(e,t){return this.entriesCache.collection===e&&this.entriesCache.page===t?Promise.resolve({page:this.entriesCache.page,entries:this.entriesCache.entries}):this.request(`${this.searchURL}/indexes/${this.indexPrefix}${e.get("name")}`,{params:{page:t}}).then((t=>{const n=t.hits.map((t=>{const n=Cf(e,t.path);return ku(e.get("name"),n,t.path,{data:t.data,partial:!0})}));return this.entriesCache={collection:e,pagination:t.page,entries:n},{entries:n,pagination:t.page}}))}async listAllEntries(e){const t={hitsPerPage:1e3};let n=await this.request(`${this.searchURL}/indexes/${this.indexPrefix}${e.get("name")}`,{params:t}),{nbPages:r=0,hits:i,page:o}=n;for(o+=1;o<r;)n=await this.request(`${this.searchURL}/indexes/${this.indexPrefix}${e.get("name")}`,{params:Cu(Cu({},t),{},{page:o})}),i=[...i,...n.hits],o+=1;const a=i.map((t=>{const n=Cf(e,t.path);return ku(e.get("name"),n,t.path,{data:t.data,partial:!0})}));return a}getEntry(e,t){return this.searchBy("slug",e.get("name"),t).then((n=>{const r=n.hits.filter((e=>e.slug===t))[0];return ku(e.get("name"),t,r.path,{data:r.data,partial:!0})}))}}var Tu=__webpack_require__(42208),Ou=__webpack_require__.n(Tu);function Pu(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Du(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Pu(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Pu(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const{fetchWithTimeout:Ru}=mo;class Iu{constructor(e,t){if(this.config=e,null==e.get("getSignedFormURL"))throw"The AssetStore integration needs the getSignedFormURL in the integration configuration.";this.getToken=t,this.shouldConfirmUpload=e.get("shouldConfirmUpload",!1),this.getSignedFormURL=da()(e.get("getSignedFormURL"),"/")}parseJsonResponse(e){return e.json().then((t=>e.ok?t:Promise.reject(t)))}urlFor(e,t){const n=[];if(t.params)for(const e in t.params)n.push(`${e}=${encodeURIComponent(t.params[e])}`);return n.length&&(e+=`?${n.join("&")}`),e}requestHeaders(e={}){return Du({},e)}confirmRequest(e){this.getToken().then((t=>this.request(`${this.getSignedFormURL}/${e}`,{method:"PUT",headers:{"Content-Type":"application/json",Authorization:`Bearer ${t}`},body:JSON.stringify({state:"uploaded"})})))}async request(e,t={}){const n=this.requestHeaders(t.headers||{}),r=this.urlFor(e,t),i=await Ru(r,Du(Du({},t),{},{headers:n})),o=i.headers.get("Content-Type");return o&&o.match(/json/)?await this.parseJsonResponse(i):i.text()}async retrieve(e,t,n){const r=Ou()({search:e,page:t,filter:n?"private":"public"},(e=>!!e)),i=Zl(this.getSignedFormURL,r),o={"Content-Type":"application/json",Authorization:`Bearer ${await this.getToken()}`},a=(await this.request(i,{headers:o})).map((({id:e,name:t,size:n,url:r})=>({id:e,name:t,size:n,displayURL:r,url:r,path:r})));return a}delete(e){const t=`${this.getSignedFormURL}/${e}`;return this.getToken().then((e=>this.request(t,{method:"DELETE",headers:{"Content-Type":"application/json",Authorization:`Bearer ${e}`}})))}async upload(e,t=!1){const n={name:e.name,size:e.size};e.type&&(n.content_type=e.type),t&&(n.visibility="private");try{const t=await this.getToken(),r=await this.request(this.getSignedFormURL,{method:"POST",headers:{"Content-Type":"application/json",Authorization:`Bearer ${t}`},body:JSON.stringify(n)}),i=r.form.url,o=r.form.fields,{id:a,name:s,size:l,url:u}=r.asset,c=new FormData;return Object.keys(o).forEach((e=>c.append(e,o[e]))),c.append("file",e,e.name),await this.request(i,{method:"POST",body:c}),this.shouldConfirmUpload&&await this.confirmRequest(a),{success:!0,asset:{id:a,name:s,size:l,displayURL:u,url:u,path:u}}}catch(e){throw console.error(e),e}}}const Mu=function(){let e=null;return(t,n,r)=>(e||(e=function(e,t){let n=(0,kr.Map)({});return e.get("providers").forEach(((e,r)=>{switch(r){case"algolia":n=n.set("algolia",new Au(e));break;case"assetStore":n=n.set("assetStore",new Iu(e,t))}})),n}(t,n)),e.get(r))}(),Lu="SEARCH_ENTRIES_REQUEST",Nu="SEARCH_ENTRIES_SUCCESS",ju="SEARCH_ENTRIES_FAILURE",Fu="QUERY_REQUEST",Bu="QUERY_SUCCESS",zu="QUERY_FAILURE",Uu="SEARCH_CLEAR",qu="CLEAR_REQUESTS";function $u(){return{type:Uu}}function Wu(e,t,n,r,i,o){return async(a,s)=>{const l=s(),u=am(l.config),c=Zm(l,t,"search"),d=l.collections.find((e=>e.get("name")===t));a({type:qu});const p=`${t}-${n.join()}-${r}-${i}-${o}`,f=l.search.requests.find((({id:e})=>e==p)),h=f?f.queryResponse:c?Mu(l.integrations,u.getToken,c).searchBy(n.map((e=>`data.${e}`)),t,r):u.query(d,n,r,i,o);a(function(e,t){return{type:Fu,payload:{searchTerm:e,request:t}}}(r,f?void 0:{id:p,expires:new Date((new Date).getTime()+1e4),queryResponse:h}));try{const t=await h;return a(function(e,t){return{type:Bu,payload:{namespace:e,hits:t}}}(e,t.hits))}catch(e){return a(function(e){return{type:zu,payload:{error:e}}}(e))}}}function Hu(e,t){return new Mo(e.getIn(["cursorsByType","collectionEntries",t]))}var Vu=__webpack_require__(1842),Gu=__webpack_require__.n(Vu);function Ku(e,t,n){let r=t.reduce(((t,r)=>{const i=r.get("name"),o=e.get(i),a=zs(r.get("widget")),s=r.get("fields");return s&&kr.List.isList(o)?t.set(i,o.map((e=>Ku(e,s,n)))):s&&kr.Map.isMap(o)?t.set(i,Ku(o,s,n)):a&&!Gu()(o)?t.set(i,a[n](o)):Gu()(o)?t:t.set(i,o)}),(0,kr.Map)());return r=e.mergeDeep(r),r}const Yu={PRESENCE:"PRESENCE",PATTERN:"PATTERN",RANGE:"RANGE",CUSTOM:"CUSTOM"};var Zu=__webpack_require__(91965);const Xu=e=>new Promise(((t,n)=>{const r=new FileReader;r.onload=({target:e})=>t((0,Zu.sha256)((null==e?void 0:e.result)||"")),r.onerror=e=>{r.abort(),n(e)},r.readAsArrayBuffer(e)}));function Qu({predicate:e,run:t}){return{type:xr,predicate:e,run:t}}async function Ju(e,t,n=3e4){let r=!1;const i=new Promise((n=>{e(Qu(t(n)))})),o=new Promise((e=>{setTimeout((()=>{r?e():(console.warn("Wait Action timed out"),e(null))}),n)}));return await Promise.race([i.then((e=>(r=!0,e))).catch(null),o])}const ec="NOTIFICATION_SEND",tc="NOTIFICATION_DISMISS",nc="NOTIFICATION_CLEAR";function rc(e){return{type:ec,payload:e}}function ic(e){return{type:tc,id:e}}function oc(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function ac(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?oc(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):oc(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const sc="MEDIA_LIBRARY_OPEN",lc="MEDIA_LIBRARY_CLOSE",uc="MEDIA_LIBRARY_CREATE",cc="MEDIA_INSERT",dc="MEDIA_REMOVE_INSERTED",pc="MEDIA_LOAD_REQUEST",fc="MEDIA_LOAD_SUCCESS",hc="MEDIA_LOAD_FAILURE",mc="MEDIA_PERSIST_REQUEST",gc="MEDIA_PERSIST_SUCCESS",vc="MEDIA_PERSIST_FAILURE",yc="MEDIA_DELETE_REQUEST",bc="MEDIA_DELETE_SUCCESS",wc="MEDIA_DELETE_FAILURE",_c="MEDIA_DISPLAY_URL_REQUEST",xc="MEDIA_DISPLAY_URL_SUCCESS",kc="MEDIA_DISPLAY_URL_FAILURE";function Ec(e){return(t,n)=>{const r=n().mediaLibrary.get("externalLibrary");r&&r.onClearControl({id:e})}}function Cc(e){return(t,n)=>{const r=n().mediaLibrary.get("externalLibrary");r&&r.onRemoveControl({id:e})}}function Sc(e={}){return(t,n)=>{const r=n().mediaLibrary.get("externalLibrary");if(r){const{controlID:t,value:n,config:i=(0,kr.Map)(),allowMultiple:o,forImage:a}=e;r.show({id:t,value:n,config:i.toJS(),allowMultiple:o,imagesOnly:a})}t(function(e){return{type:sc,payload:e}}(e))}}function Ac(e,t){return(n,r)=>{const i=r(),o=i.config,a=i.entryDraft.get("entry"),s=i.entryDraft.getIn(["entry","collection"]),l=i.collections.get(s);n(function(e){return{type:cc,payload:{mediaPath:e}}}(e=Array.isArray(e)?e.map((e=>pf(o,l,e,a,t))):pf(o,l,e,a,t)))}}function Tc(e){return{type:dc,payload:{controlID:e}}}function Oc(e={}){const{delay:t=0,query:n="",page:r=1,privateUpload:i}=e;return async(e,o)=>{const a=o(),s=am(a.config),l=Zm(a,null,"assetStore");if(l){const t=Mu(a.integrations,s.getToken,l);e(Mc(r));try{const o=await t.retrieve(n,r,i);return e(Lc(o,{page:r,canPaginate:!0,dynamicSearch:!0,dynamicSearchQuery:n,privateUpload:i}))}catch(t){return e(Nc({privateUpload:i}))}}function u(){return s.getMedia().then((t=>e(Lc(t)))).catch((t=>{console.error(t),404===t.status?(console.log("This 404 was expected and handled appropriately."),e(Lc([]))):e(Nc())}))}return e(Mc(r)),t>0?new Promise((e=>{setTimeout((()=>e(u())),t)})):u()}}function Pc({id:e,file:t,assetProxy:n,draft:r}){return{id:e,name:Wo(n.path),displayURL:n.url,draft:r,file:t,size:t.size,url:n.url,path:n.path,field:n.field}}function Dc(e,t={}){const{privateUpload:n,field:r}=t;return async(t,i)=>{const o=i(),a=am(o.config),s=Zm(o,null,"assetStore"),l=Vc(o,r),u=iu(e.name.toLowerCase(),o.config.slug),c=l.find((e=>e.name.toLowerCase()===u)),d=ff(o.entryDraft);if(!s&&c){if(!window.confirm(`${c.name} already exists. Do you want to replace it?`))return;await t(Rc(c,{privateUpload:n}))}!s&&d||t({type:mc});try{let i,l;if(s)try{const t=Mu(o.integrations,a.getToken,s),r=await t.upload(e,n);i=Fa({url:r.asset.url,path:r.asset.url})}catch(t){i=Fa({file:e,path:u})}else{if(n)throw new Error("The Private Upload option is only available for Asset Store Integration");{const t=o.entryDraft.get("entry"),n=o.collections.get(null==t?void 0:t.get("collection")),a=df(o.config,n,t,u,r);i=Fa({file:e,path:a,field:r})}}if(t(td(i)),s)l=Pc({id:await Xu(e),file:e,assetProxy:i,draft:!1});else{if(d)return l=Pc({id:await Xu(e),file:e,assetProxy:i,draft:d}),t(function(e){return{type:fp,payload:e}}(l));l=await a.persistMedia(o.config,i)}return t(function(e,t={}){const{privateUpload:n}=t;return{type:gc,payload:{file:e,privateUpload:n}}}(l,{privateUpload:n}))}catch(e){return console.error(e),t(rc({message:`Failed to persist media: ${e}`,type:"error",dismissAfter:8e3})),t(function(e={}){const{privateUpload:t}=e;return{type:vc,payload:{privateUpload:t}}}({privateUpload:n}))}}}function Rc(e,t={}){const{privateUpload:n}=t;return async(t,r)=>{const i=r(),o=am(i.config),a=Zm(i,null,"assetStore");if(a){const r=Mu(i.integrations,o.getToken,a);t(jc());try{return await r.delete(e.id),t(Fc(e,{privateUpload:n}))}catch(e){return console.error(e),t(rc({message:`Failed to delete media: ${e.message}`,type:"error",dismissAfter:8e3})),t(Bc({privateUpload:n}))}}try{if(e.draft)t(nd(e.path)),t(Ep({id:e.id}));else{const n=ff(i.entryDraft);t(jc()),t(nd(e.path)),await o.deleteMedia(i.config,e.path),t(Fc(e)),n&&t(Ep({id:e.id}))}}catch(e){return console.error(e),t(rc({message:`Failed to delete media: ${e.message}`,type:"error",dismissAfter:8e3})),t(Bc())}}}function Ic(e){return async(t,n)=>{const{displayURL:r,id:i}=e,o=n(),a=Gc(o,i);if(!i||!r||a.get("url")||a.get("isFetching")||a.get("err"))return Promise.resolve();if("string"==typeof r)return t(zc(i)),void t(Uc(i,r));try{const e=am(o.config);t(zc(i));const n=await e.getMediaDisplayURL(r);if(!n)throw new Error("No display URL was returned!");t(Uc(i,n))}catch(e){console.error(e),t(function(e,t){return{type:kc,payload:{key:e,err:t}}}(i,e))}}}function Mc(e){return{type:pc,payload:{page:e}}}function Lc(e,t={}){return{type:fc,payload:ac({files:e},t)}}function Nc(e={}){const{privateUpload:t}=e;return{type:hc,payload:{privateUpload:t}}}function jc(){return{type:yc}}function Fc(e,t={}){const{privateUpload:n}=t;return{type:bc,payload:{file:e,privateUpload:n}}}function Bc(e={}){const{privateUpload:t}=e;return{type:wc,payload:{privateUpload:t}}}function zc(e){return{type:_c,payload:{key:e}}}function Uc(e,t){return{type:xc,payload:{key:e,url:t}}}async function qc(e,t){!1===t.mediaLibrary.get("isLoading")||t.mediaLibrary.get("externalLibrary")||await Ju(e,(e=>({predicate:({type:e})=>e===fc||e===hc,run:()=>e()})))}function $c(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Wc(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?$c(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):$c(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Hc={isVisible:!1,showMediaButton:!0,controlMedia:(0,kr.Map)(),displayURLs:(0,kr.Map)(),config:(0,kr.Map)()};function Vc(e,t){const{mediaLibrary:n,entryDraft:r}=e,i=ff(e.entryDraft),o=Zm(e,null,"assetStore");let a;if(i&&!o){const n=r.getIn(["entry","mediaFiles"],(0,kr.List)()).toJS(),i=r.get("entry"),o=e.collections.get(null==i?void 0:i.get("collection")),s=cf(e.config,o,i,t);a=n.filter((e=>(0,sa.dirname)(e.path)===s)).map((e=>Wc({key:e.id},e)))}else a=n.get("files")||[];return a}function Gc(e,t){return e.mediaLibrary.getIn(["displayURLs",t],(0,kr.Map)())}const Kc="ADD_ASSETS",Yc="ADD_ASSET",Zc="REMOVE_ASSET",Xc="LOAD_ASSET_REQUEST",Qc="LOAD_ASSET_SUCCESS",Jc="LOAD_ASSET_FAILURE";function ed(e){return{type:Kc,payload:e}}function td(e){return{type:Yc,payload:e}}function nd(e){return{type:Zc,payload:e}}const rd=Fa({path:"empty.svg",file:new File(['<svg xmlns="http://www.w3.org/2000/svg"></svg>'],"empty.svg",{type:"image/svg+xml"})});function id(e,t,n){return function(r,i){return e(od({collection:t,entry:n,path:r,field:i}))}}function od({collection:e,entry:t,path:n,field:r}){return(i,o)=>{if(!n)return rd;const a=o(),s=df(a.config,e,t,n,r);let{asset:l,isLoading:u,error:c}=a.medias[s]||{};return u?rd:l||($o(s)||c?(l=Fa({path:s,url:n}),i(td(l))):(i(function(e){return async(t,n)=>{try{t({type:Xc,payload:{path:e}}),await qc(t,n());const o=(r=n(),i=e,Vc(r).find((e=>e.path===i)));if(o){const r=await async function(e,t,n){const r=Gc(t,n.id);let i;if(r.get("url"))i=r.get("url");else if(r.get("err"))i=null;else{var o;const t=n.id,a=Ju(e,(e=>({predicate:({type:e,payload:n})=>(e===xc||e===kc)&&n.key===t,run:(t,n,r)=>e(r.payload.url)})));r.get("isFetching")||e(Ic(n)),i=null!==(o=await a)&&void 0!==o?o:null}return i}(t,n(),o);t(td(Fa({path:e,url:r||e})))}else{const{url:r}=await async function(e,t){const n=am(e.config),{url:r}=await n.getMediaFile(t);return{url:r}}(n(),e);t(td(Fa({path:e,url:r})))}t(function(e){return{type:Qc,payload:{path:e}}}(e))}catch(n){t(function(e,t){return{type:Jc,payload:{path:e,error:t}}}(e,n))}var r,i}}(s)),l=rd),l)}}const ad=function(e){void 0===e&&(e={}),we||pe(!1);var t=window.history,n=(window.navigator.userAgent.indexOf("Firefox"),e),r=n.getUserConfirmation,i=void 0===r?_e:r,o=n.hashType,a=void 0===o?"slash":o,s=e.basename?ge(fe(e.basename)):"",l=ke[a],u=l.encodePath,c=l.decodePath;function d(){var e=c(Ce());return s&&(e=me(e,s)),ye(e)}var p=be();function f(e){m(S,e),S.length=t.length,p.notifyListeners(S.location,S.action)}var h=!1,g=null;function v(){var e=Ce(),t=u(e);if(e!==t)Se(t);else{var n=d(),r=S.location;if(!h&&function(e,t){return e.pathname===t.pathname&&e.search===t.search&&e.hash===t.hash}(r,n))return;if(g===ve(n))return;g=null,function(e){if(h)h=!1,f();else{p.confirmTransitionTo(e,"POP",i,(function(t){t?f({action:"POP",location:e}):function(e){var t=S.location,n=_.lastIndexOf(ve(t));-1===n&&(n=0);var r=_.lastIndexOf(ve(e));-1===r&&(r=0);var i=n-r;i&&(h=!0,x(i))}(e)}))}}(n)}}var y=Ce(),b=u(y);y!==b&&Se(b);var w=d(),_=[ve(w)];function x(e){t.go(e)}var k=0;function E(e){1===(k+=e)&&1===e?window.addEventListener(xe,v):0===k&&window.removeEventListener(xe,v)}var C=!1,S={length:t.length,action:"POP",location:w,createHref:function(e){var t=document.querySelector("base"),n="";return t&&t.getAttribute("href")&&(n=Ee(window.location.href)),n+"#"+u(s+ve(e))},push:function(e,t){var n="PUSH",r=ye(e,void 0,void 0,S.location);p.confirmTransitionTo(r,n,i,(function(e){if(e){var t=ve(r),i=u(s+t);if(Ce()!==i){g=t,function(e){window.location.hash=e}(i);var o=_.lastIndexOf(ve(S.location)),a=_.slice(0,o+1);a.push(t),_=a,f({action:n,location:r})}else f()}}))},replace:function(e,t){var n="REPLACE",r=ye(e,void 0,void 0,S.location);p.confirmTransitionTo(r,n,i,(function(e){if(e){var t=ve(r),i=u(s+t);Ce()!==i&&(g=t,Se(i));var o=_.indexOf(ve(S.location));-1!==o&&(_[o]=t),f({action:n,location:r})}}))},go:x,goBack:function(){x(-1)},goForward:function(){x(1)},block:function(e){void 0===e&&(e=!1);var t=p.setPrompt(e);return C||(E(1),C=!0),function(){return C&&(C=!1,E(-1)),t()}},listen:function(e){var t=p.appendListener(e);return E(1),function(){E(-1),t()}}};return S}();function sd(e){return ad.push(`/collections/${e}`)}function ld(e){return ad.replace(`/collections/${e}/new`)}function ud(e,t){return ad.replace(`/collections/${e}/entries/${t}`)}const cd="UNPUBLISHED_ENTRY_REQUEST",dd="UNPUBLISHED_ENTRY_SUCCESS",pd="UNPUBLISHED_ENTRY_REDIRECT",fd="UNPUBLISHED_ENTRIES_REQUEST",hd="UNPUBLISHED_ENTRIES_SUCCESS",md="UNPUBLISHED_ENTRIES_FAILURE",gd="UNPUBLISHED_ENTRY_PERSIST_REQUEST",vd="UNPUBLISHED_ENTRY_PERSIST_SUCCESS",yd="UNPUBLISHED_ENTRY_PERSIST_FAILURE",bd="UNPUBLISHED_ENTRY_STATUS_CHANGE_REQUEST",wd="UNPUBLISHED_ENTRY_STATUS_CHANGE_SUCCESS",_d="UNPUBLISHED_ENTRY_STATUS_CHANGE_FAILURE",xd="UNPUBLISHED_ENTRY_PUBLISH_REQUEST",kd="UNPUBLISHED_ENTRY_PUBLISH_SUCCESS",Ed="UNPUBLISHED_ENTRY_PUBLISH_FAILURE",Cd="UNPUBLISHED_ENTRY_DELETE_SUCCESS";function Sd(e,t){return{type:hd,payload:{entries:e,pages:t}}}function Ad(e,t){return{type:gd,payload:{collection:e.get("name"),slug:t}}}function Td(e,t){return{type:vd,payload:{collection:e.get("name"),entry:t}}}function Od(e,t,n){return{type:yd,payload:{error:e,collection:t.get("name"),slug:n},error:e}}function Pd(e,t){return async(n,r)=>{const i=r(),o=am(i.config);if(!Ci()(i.editorialWorkflow.toJS(),"pages.ids",!1))try{const{entries:e,pagination:t}=await o.unpublishedEntries(i.collections);n(Sd(e,t))}catch(e){}n(function(e,t){return{type:cd,payload:{collection:e.get("name"),slug:t}}}(e,t));try{const r=await o.unpublishedEntry(i,e,t),a=await Promise.all(r.mediaFiles.filter((e=>e.draft)).map((({url:e,file:t,path:n})=>Fa({path:n,url:e,file:t}))));n(ed(a)),n(function(e,t){return{type:dd,payload:{collection:e.get("name"),entry:t}}}(e,r)),n(xp(r))}catch(r){r.name===To&&r.notUnderEditorialWorkflow?(n(function(e,t){return{type:pd,payload:{collection:e.get("name"),slug:t}}}(e,t)),n(Cp(e,t))):n(rc({message:{key:"ui.toast.onFailToLoadEntries",details:r},type:"error",dismissAfter:8e3}))}}}function Dd(e){return(t,n)=>{const r=n(),i=am(r.config),o=Ci()(r.editorialWorkflow.toJS(),"pages.ids",!1);r.config.publish_mode!==ll||o||(t({type:fd}),i.unpublishedEntries(e).then((e=>t(Sd(e.entries,e.pagination)))).catch((e=>{t(rc({message:{key:"ui.toast.onFailToLoadEntries",details:e},type:"error",dismissAfter:8e3})),t(function(e){return{type:md,error:"Failed to load entries",payload:e}}(e)),Promise.reject(e)})))}}function Rd(e,t,n,r){return(i,o)=>{if(n===r)return;const a=o(),s=am(a.config);i(function(e,t){return{type:bd,payload:{collection:e,slug:t}}}(e,t)),s.updateUnpublishedEntryStatus(e,t,r).then((()=>{i(rc({message:{key:"ui.toast.entryUpdated"},type:"success",dismissAfter:4e3})),i(function(e,t,n){return{type:wd,payload:{collection:e,slug:t,newStatus:n}}}(e,t,r))})).catch((n=>{i(rc({message:{key:"ui.toast.onFailToUpdateStatus",details:n},type:"error",dismissAfter:8e3})),i(function(e,t){return{type:_d,payload:{collection:e,slug:t}}}(e,t))}))}}function Id(e,t){return(n,r)=>{const i=r(),o=am(i.config);return n(function(e,t){return{type:"UNPUBLISHED_ENTRY_DELETE_REQUEST",payload:{collection:e,slug:t}}}(e,t)),o.deleteUnpublishedEntry(e,t).then((()=>{n(rc({message:{key:"ui.toast.onDeleteUnpublishedChanges"},type:"success",dismissAfter:4e3})),n(function(e,t){return{type:Cd,payload:{collection:e,slug:t}}}(e,t))})).catch((r=>{n(rc({message:{key:"ui.toast.onDeleteUnpublishedChanges",details:r},type:"error",dismissAfter:8e3})),n(function(e,t){return{type:"UNPUBLISHED_ENTRY_DELETE_FAILURE",payload:{collection:e,slug:t}}}(e,t))}))}}function Md(e,t){return async(n,r)=>{const i=r(),o=i.collections,a=am(i.config),s=Ym(i,e,t);n(function(e,t){return{type:xd,payload:{collection:e,slug:t}}}(e,t));try{await a.publishUnpublishedEntry(s),n(Oc()),n(rc({message:{key:"ui.toast.entryPublished"},type:"success",dismissAfter:4e3})),n(function(e,t){return{type:kd,payload:{collection:e,slug:t}}}(e,t));const r=o.get(e);if(!r.has("nested"))return n(Cp(r,t));{n(Op(r));const e=tm(r,s.get("path"));Cp(r,e),t!==e&&ff(i.entryDraft)&&ud(r.get("name"),e)}}catch(r){n(rc({message:{key:"ui.toast.onFailToPublishEntry",details:r},type:"error",dismissAfter:8e3})),n(function(e,t){return{type:Ed,payload:{collection:e,slug:t}}}(e,t))}}}const Ld=(0,kr.Map)({entry:(0,kr.Map)(),fieldsMetaData:(0,kr.Map)(),fieldsErrors:(0,kr.Map)(),hasChanged:!1,key:""});function Nd(e,t){if(!Mf(e))return;const n=t.getIn(["entry","meta"]),r=n&&n.get("path"),i=Ci()(e.toJS(),["meta","path","index_file"]),o=xf(e);return r&&(0,sa.join)(e.get("folder"),r,`${i}.${o}`)}function jd(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Fd(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?jd(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):jd(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Bd="ENTRY_REQUEST",zd="ENTRY_SUCCESS",Ud="ENTRY_FAILURE",qd="ENTRIES_REQUEST",$d="ENTRIES_SUCCESS",Wd="ENTRIES_FAILURE",Hd="SORT_ENTRIES_REQUEST",Vd="SORT_ENTRIES_SUCCESS",Gd="SORT_ENTRIES_FAILURE",Kd="FILTER_ENTRIES_REQUEST",Yd="FILTER_ENTRIES_SUCCESS",Zd="FILTER_ENTRIES_FAILURE",Xd="GROUP_ENTRIES_REQUEST",Qd="GROUP_ENTRIES_SUCCESS",Jd="GROUP_ENTRIES_FAILURE",ep="DRAFT_CREATE_FROM_ENTRY",tp="DRAFT_CREATE_EMPTY",np="DRAFT_DISCARD",rp="DRAFT_CHANGE_FIELD",ip="DRAFT_VALIDATION_ERRORS",op="DRAFT_CLEAR_ERRORS",ap="DRAFT_LOCAL_BACKUP_RETRIEVED",sp="DRAFT_CREATE_FROM_LOCAL_BACKUP",lp="DRAFT_CREATE_DUPLICATE_FROM_ENTRY",up="ENTRY_PERSIST_REQUEST",cp="ENTRY_PERSIST_SUCCESS",dp="ENTRY_PERSIST_FAILURE",pp="ENTRY_DELETE_SUCCESS",fp="ADD_DRAFT_ENTRY_MEDIA_FILE",hp="REMOVE_DRAFT_ENTRY_MEDIA_FILE",mp="CHANGE_VIEW_STYLE";function gp(e){return{type:qd,payload:{collection:e.get("name")}}}function vp(e,t,n,r,i=!0){return{type:$d,payload:{collection:e.get("name"),entries:t,page:n,cursor:Mo.create(r),append:i}}}function yp(e,t){return{type:Wd,error:"Failed to load entries",payload:t.toString(),meta:{collection:e.get("name")}}}async function bp(e,t){const n=am(e.config),r=Zm(e,t.get("name"),"listEntries"),i=r?Mu(e.integrations,n.getToken,r):n;return await i.listAllEntries(t)}function wp(e,t,n=Fl.Ascending){return async(r,i)=>{const o=i(),a=rf(o.entries,e.get("name"));if(r({type:Hd,payload:{collection:e.get("name"),key:t,direction:n}}),!a)try{const i=await bp(o,e);r({type:Vd,payload:{collection:e.get("name"),key:t,direction:n,entries:i}})}catch(i){r({type:Gd,payload:{collection:e.get("name"),key:t,direction:n,error:i}})}}}function _p(e,t){return{type:pp,payload:{collectionName:e.get("name"),entrySlug:t}}}function xp(e){return{type:ep,payload:{entry:e}}}function kp(e){return{type:op,payload:{uniqueFieldId:e}}}function Ep({id:e}){return{type:hp,payload:{id:e}}}function Cp(e,t){return async(n,r)=>{await qc(n,r()),n(function(e,t){return{type:Bd,payload:{collection:e.get("name"),slug:t}}}(e,t));try{const i=await Sp(r(),e,t);n(function(e,t){return{type:zd,payload:{collection:e.get("name"),entry:t}}}(e,i)),n(xp(i))}catch(r){n(rc({message:{details:r.message,key:"ui.toast.onFailToLoadEntries"},type:"error",dismissAfter:8e3})),n(function(e,t,n){return{type:Ud,payload:{error:e,collection:t.get("name"),slug:n}}}(r,e,t))}}}async function Sp(e,t,n){const r=am(e.config);return await r.getEntry(e,t,n)}const Ap=(0,kr.fromJS)({append_next:{action:"next",append:!0}});function Tp(e){return Mo.create(e).updateStore("actions",(e=>e.union(Ap.filter((t=>e.has(t.get("action")))).keySeq())))}function Op(e,t=0){return async(n,r)=>{if(e.get("isFetching"))return;const i=r(),o=Qp(i.entries,e.get("name"));if(o&&o.length>0){const t=o[0];return n(wp(e,t.get("key"),t.get("direction")))}const a=am(i.config),s=Zm(i,e.get("name"),"listEntries"),l=s?Mu(i.integrations,a.getToken,s):a,u=!!(t&&!isNaN(t)&&t>0);n(gp(e));try{const r=e.has("nested")||zf(e);let i=await(r?l.listAllEntries(e).then((e=>({entries:e}))):l.listEntries(e,t));i=Fd(Fd({},i),{},{cursor:s?Mo.create({actions:["next"],meta:{usingOldPaginationAPI:!0},data:{nextPage:t+1}}):Mo.create(i.cursor)}),n(vp(e,i.cursor.meta.get("usingOldPaginationAPI")?i.entries.reverse():i.entries,i.pagination,Tp(i.cursor),u))}catch(t){return n(rc({message:{details:t,key:"ui.toast.onFailToLoadEntries"},type:"error",dismissAfter:8e3})),Promise.reject(n(yp(e,t)))}}}function Pp(e,t=(()=>!1)){return e.reduce(((e,n)=>{const r=e,i=n;if(t(i))return r;const o=i.get("field")||i.get("fields"),a="list"==i.get("widget"),s=i.get("name"),l=i.get("default",null);if(kr.List.isList(o)||kr.Map.isMap(o)){if(a&&kr.List.isList(l))r[s]=l;else{const e=kr.List.isList(o)?o:(0,kr.List)([o]),n=a?[Pp(e,t)]:Pp(e,t);u=n,[[{}],{}].some((e=>wu()(u,e)))||(r[s]=n)}return r}var u;return null!==l&&(r[s]=l),r}),{})}function Dp({entry:e}){return e.get("mediaFiles").toArray().filter((e=>e.get("draft"))).map((e=>Fa({path:e.get("path"),file:e.get("file"),url:e.get("url"),field:e.get("field")})))}function Rp(e,t){const n=_f(e,t.get("slug"));function r(e){return function(e,t){return Ku(e,t,"serialize")}(e,n)}const i=r(t.get("data"));let o=t.set("data",i);return zf(e)&&(o=function(e,t,n){const{locales:r,defaultLocale:i}=Uf(e);return r.filter((e=>e!==i)).forEach((e=>{const r=Hf(e);t=t.setIn(r,n(t.getIn(r)))})),t}(e,o,r)),o}function Ip(e,t,n){return{error:{type:Yu.CUSTOM,message:n(`editor.editorControlPane.widget.${t}`,{path:e})}}}const Mp="VIEW_STYLE_LIST",Lp="VIEW_STYLE_GRID";function Np(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function jp(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Np(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Np(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const{keyToPathArray:Fp}=e;let Bp,zp,Up,qp,$p;const Wp="decap-cms.entries.sort",Hp="decap-cms.entries.viewStyle",Vp=jl()((()=>{const e=localStorage.getItem(Wp);if(e)try{const t=JSON.parse(e);let n=(0,kr.Map)();return Object.entries(t).forEach((([e,t])=>{let r=(0,kr.OrderedMap)();Ai()(Object.values(t),["index"]).forEach((e=>{const{key:t,direction:n}=e;r=r.set(t,(0,kr.fromJS)({key:t,direction:n}))})),n=n.set(e,r)})),n}catch(e){return(0,kr.Map)()}return(0,kr.Map)()}));function Gp(e){if(e){const t={};e.keySeq().forEach((n=>{const r=n;e.get(r).valueSeq().toJS().map(((e,t)=>jp(jp({},e),{},{index:t}))).forEach((e=>{ki()(t,[r,e.key],e)}))})),localStorage.setItem(Wp,JSON.stringify(t))}else localStorage.removeItem(Wp)}const Kp=jl()((()=>localStorage.getItem(Hp)||(localStorage.setItem(Hp,Mp),Mp)));function Yp(e,t){const n=e.get("sort");return null==n?void 0:n.get(t)}function Zp(e,t){const n=e.get("filter");return(null==n?void 0:n.get(t))||(0,kr.Map)()}function Xp(e,t){const n=e.get("group");return(null==n?void 0:n.get(t))||(0,kr.Map)()}function Qp(e,t){const n=Yp(e,t),r=(null==n?void 0:n.valueSeq().filter((e=>(null==e?void 0:e.get("direction"))!==Fl.None)).toArray())||[];return r}function Jp(e,t,n){return e.getIn(["entities",`${t}.${n}`])}function ef(e,t){return e.getIn(["pages",t,"ids"],(0,kr.List)())}function tf(e,t){const n=ef(e,t),r=n&&n.map((n=>Jp(e,t,n)));return r}function nf(e,t){const n=t.get("name");let r=tf(e,n);const i=Qp(e,n);if(i&&i.length>0){const e=i.map((e=>function(e,t){return t===Pl?"updatedOn":t!==Ol||Tf(e,t)?`data.${t}`:"author"}(t,e.get("key")))),n=i.map((e=>e.get("direction")===Fl.Ascending?"asc":"desc"));r=(0,kr.fromJS)(Ll()(r.toJS(),e,n))}const o=function(e,t){const n=Zp(e,t),r=(null==n?void 0:n.valueSeq().filter((e=>!0===(null==e?void 0:e.get("active")))).toArray())||[];return r}(e,n);return o&&o.length>0&&(r=r.filter((e=>{const t=o.every((t=>{const n=t.get("pattern"),r=t.get("field"),i=(e.get("data")||(0,kr.Map)()).getIn(Fp(r));return void 0!==i&&new RegExp(String(n)).test(String(i))}));return t})).toList()),r}function rf(e,t){return e.getIn(["pages",t,"isFetching"],!1)}const of="DRAFT_MEDIA_FILES";function af(e,t){const n=e.find((e=>(null==e?void 0:e.get("name"))===t));return n}function sf(e,t,n,r){if(!t)return!1;if(r&&r.has(e))return!0;if(t.has("files")){const r=af(t.get("files"),n);if(r&&r.has(e))return!0}return!!t.has(e)}function lf(e,t,n,r,i,o,a){const s=o.filter((e=>e===i))[0];if(s)return yu(s.has(e)?s.get(e):`{{${e}}}`,r,n,a,e,t.slug);for(let s of o){s.has(e)||(s=s.set(e,`{{${e}}}`));const o=yu(s.get(e),r,n,a,e,t.slug);let l=null;if(s.has("fields")?l=lf(e,t,n,r,i,s.get("fields").toArray(),o):s.has("field")?l=lf(e,t,n,r,i,[s.get("field")],o):s.has("types")&&(l=lf(e,t,n,r,i,s.get("types").toArray(),o)),null!=l)return l}return null}function uf(e,t,n,r,i){let o=t[e];if(n.has(e)||(n=n.set(e,`{{${e}}}`)),n.has("files")){o=yu(n.get(e),r,n,o,e,t.slug);let a=af(n.get("files"),null==r?void 0:r.get("slug"));if(a&&(a.has(e)||(a=a.set(e,`{{${e}}}`)),o=yu(a.get(e),r,n,o,e,t.slug),i)){const s=lf(e,t,n,r,i,a.get("fields").toArray(),o);null!==s&&(o=s)}}else if(o=yu(n.get(e),r,n,o,e,t.slug),i){const a=lf(e,t,n,r,i,n.get("fields").toArray(),o);null!==a&&(o=a)}return o}function cf(e,t,n,r){const i="media_folder";let o=e[i];if(sf(i,t,null==n?void 0:n.get("slug"),r)){const a=uf(i,e,t,n,r);if(a.startsWith("/"))o=(0,sa.join)(a);else{const e=null==n?void 0:n.get("path");o=e?(0,sa.join)((0,sa.dirname)(e),a):(0,sa.join)(t.get("folder"),of)}}return Oi()(o,"/")}function df(e,t,n,r,i){if($o(r))return r;const o=cf(e,t,n,i);return(0,sa.join)(o,Wo(r))}function pf(e,t,n,r,i){if($o(n))return n;const o="public_folder";let a=e[o];return sf(o,t,null==r?void 0:r.get("slug"),i)&&(a=uf(o,e,t,r,i)),$o(a)?function(e,...t){return $l()(e,...t)}(a,Wo(n)):(0,sa.join)(a,Wo(n))}function ff(e){const t=e.get("entry");return t&&!t.isEmpty()}function hf(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function mf(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?hf(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):hf(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const{keyToPathArray:gf}=e,vf=(0,kr.fromJS)({}),yf={[Tl]:{entryExtension(e){const t=e.get("extension")||Ci()(Ys(Ys({},Xs),Vs()),e.get("format")||"frontmatter");if(!t)throw new Error(`No extension found for format ${e.get("format")}`);return t.replace(/^\./,"")},fields:e=>e.get("fields"),entryPath(e,t){return`${e.get("folder").replace(/\/$/,"")}/${t}.${this.entryExtension(e)}`},entrySlug(e,t){var n;const r=e.get("folder").replace(/\/$/,"");return null===(n=t.split(r+"/").pop())||void 0===n?void 0:n.replace(new RegExp(`\\.${El()(this.entryExtension(e))}$`),"")},allowNewEntries:e=>e.get("create"),allowDeletion:e=>e.get("delete",!0),templateName:e=>e.get("name")},[Al]:{fileForEntry(e,t){const n=e.get("files");return n&&n.filter((e=>(null==e?void 0:e.get("name"))===t)).get(0)},fields(e,t){const n=this.fileForEntry(e,t);return n&&n.get("fields")},entryPath(e,t){const n=this.fileForEntry(e,t);return n&&n.get("file")},entrySlug(e,t){const n=e.get("files").filter((e=>(null==e?void 0:e.get("file"))===t)).get(0);return n&&n.get("name")},entryLabel(e,t){const n=this.fileForEntry(e,t);return n&&n.get("label")},allowNewEntries:()=>!1,allowDeletion:e=>e.get("delete",!1),templateName:(e,t)=>t}};function bf(e){const t=e.reduce(((e,t)=>{if(t.has("media_folder")&&(e=[...e,t]),t.has("fields")){var n;const r=null===(n=t.get("fields"))||void 0===n?void 0:n.toArray();e=[...e,...bf(r)]}else if(t.has("field")){const n=t.get("field");e=[...e,...bf([n])]}else if(t.has("types")){var r;const n=null===(r=t.get("types"))||void 0===r?void 0:r.toArray();e=[...e,...bf(n)]}return e}),[]);return t}function wf(e,t){var n;return null===(n=e.get("files"))||void 0===n?void 0:n.toArray().find((e=>e.get("name")===t))}function _f(e,t){return yf[e.get("type")].fields(e,t)}function xf(e){return yf[Tl].entryExtension(e)}function kf(e,t){return yf[Al].entryLabel(e,t)}function Ef(e,t){return yf[e.get("type")].entryPath(e,t)}function Cf(e,t){return yf[e.get("type")].entrySlug(e,t)}function Sf(e){return yf[e.get("type")].allowDeletion(e)}function Af(e,t=""){let n=e.map((e=>`${t}${e.get("name")}`));return e.forEach(((e,t)=>{if(e.has("fields")){var r;const i=null===(r=e.get("fields"))||void 0===r?void 0:r.toArray();n=[...n,...Af(i,`${n[t]}.`)]}else if(e.has("field")){const r=e.get("field");n=[...n,...Af([r],`${n[t]}.`)]}else if(e.has("types")){var i;const r=null===(i=e.get("types"))||void 0===i?void 0:i.toArray();n=[...n,...Af(r,`${n[t]}.`)]}})),n}function Tf(e,t){const n=gf(t);let r,i,o=e.get("fields",(0,kr.List)()).toArray();for(;(r=n.shift())&&o;){var a,s,l,u,c;if(i=o.find((e=>e.get("name")===r)),null!==(a=i)&&void 0!==a&&a.has("fields"))o=null===(u=i)||void 0===u||null===(c=u.get("fields"))||void 0===c?void 0:c.toArray();else if(null!==(s=i)&&void 0!==s&&s.has("field")){var d;o=[null===(d=i)||void 0===d?void 0:d.get("field")]}else if(null!==(l=i)&&void 0!==l&&l.has("types")){var p,f;o=null===(p=i)||void 0===p||null===(f=p.get("types"))||void 0===f?void 0:f.toArray()}}return i}function Of(e,t,n=(()=>!1)){return n()||(e=e.map((e=>{const r=t(e);return n()?r:r.has("fields")?r.set("fields",Of(r.get("fields"),t,n)):r.has("field")?r.set("field",Of((0,kr.List)([r.get("field")]),t,n).get(0)):r.has("types")?r.set("types",Of(r.get("types"),t,n)):r})).toList()),e}function Pf(e){const t=e.get("identifier_field"),n=t?[t,...Dl]:[...Dl],r=Af(e.get("fields",(0,kr.List)()).toArray());return n.find((e=>r.find((t=>t.toLowerCase().trim()===e.toLowerCase().trim()))))}function Df(e,t){if("title"===t&&e.get("identifier_field"))return Pf(e);const n=Il[t],r=e.get("fields");let i;if(!r||!n)return null;const o=r.filter((e=>(null==e?void 0:e.get("widget","string"))===n.type)).map((e=>null==e?void 0:e.get("name")));if(i=o.filter((e=>-1!==n.synonyms.indexOf(e))),i&&i.size>0)return i.first();const a=r.filter((e=>-1!==n.secondaryTypes.indexOf(null==e?void 0:e.get("widget","string")))).map((e=>null==e?void 0:e.get("name")));return i=a.filter((e=>-1!==n.synonyms.indexOf(e))),i&&i.size>0?i.first():n.fallbackToFirstField&&o.size>0?o.first():(n.showError&&(s=`The Field ${t} is missing for the collection “${e.get("name")}”`,l=`Decap CMS tries to infer the entry ${t} automatically, but one couldn't be found for entries of the collection “${e.get("name")}”. Please check your site configuration.`,console.error(`%c ⛔ ${s}\n%c${l}\n\n`,"color: black; font-weight: bold; font-size: 16px; line-height: 50px;","color: black;")),null);var s,l}function Rf(e,t){const n=e.get("summary");if(n)return function(e,t,n){let r=t.get("data");const i=lu(t,Df(n,"date"))||null,o=r.getIn(cu(Pf(n)));return r=du(t.get("path"),r,n.get("folder")),t.get("author")&&!Tf(n,Ol)&&(r=r.set(Ol,t.get("author"))),t.get("updatedOn")&&!Tf(n,Pl)&&(r=r.set(Pl,t.get("updatedOn"))),su(e,i,o,r)}(n,t,e);if(e.get("type")==Al){const n=kf(e,t.get("slug"));if(n)return n}const r=t.get("data"),i=Df(e,"title"),o=i&&r.getIn(gf(i));return o||"title"===i?o:r.getIn(gf("title"))}function If(e,t,n){let r=Rl.map((r=>{const i=Df(e,r);return!t.isGitBackend()||"author"!==r||i||n?i:Ol})).filter(Boolean);return t.isGitBackend()&&!n&&(r=[Pl,...r]),r}function Mf(e){var t;return e.has("folder")&&e.get("type")===Tl&&e.has("meta")&&(null===(t=e.get("meta"))||void 0===t?void 0:t.has("path"))}function Lf(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Nf(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Lf(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Lf(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const jf="i18n";let Ff=function(e){return e.MULTIPLE_FOLDERS="multiple_folders",e.MULTIPLE_FILES="multiple_files",e.SINGLE_FILE="single_file",e}({}),Bf=function(e){return e.TRANSLATE="translate",e.DUPLICATE="duplicate",e.NONE="none",e}({});function zf(e){return e.has(jf)}function Uf(e){if(!zf(e))return{};const{structure:t,locales:n,default_locale:r}=e.get(jf).toJS();return{structure:t,locales:n,defaultLocale:r}}function qf(e,t,n){return t!==n&&e.get(jf)===Bf.TRANSLATE}function $f(e,t,n){return t!==n&&e.get(jf)===Bf.DUPLICATE}function Wf(e,t,n){return t!==n&&e.get(jf)===Bf.NONE}function Hf(e){return[jf,e,"data"]}function Vf(e,t){return e!==t?Hf(e):["data"]}function Gf(e,t,n,r,i){switch(e){case Ff.MULTIPLE_FOLDERS:return n.replace(`/${r}`,`/${i}/${r}`);case Ff.MULTIPLE_FILES:return n.replace(new RegExp(`${El()(t)}$`),`${i}.${t}`);case Ff.SINGLE_FILE:default:return n}}function Kf(e,t,n){switch(e){case Ff.MULTIPLE_FOLDERS:{const e=n.split("/");return e.pop(),e.pop()}case Ff.MULTIPLE_FILES:return n.slice(0,-`.${t}`.length).split(".").pop();case Ff.SINGLE_FILE:default:return""}}function Yf(e,t,n,r){const{structure:i,locales:o}=Uf(e);return i===Ff.SINGLE_FILE?[n]:o.map((e=>Gf(i,t,n,r,e)))}function Zf(e,t,n){switch(e){case Ff.MULTIPLE_FOLDERS:return t.replace(`${n}/`,"");case Ff.MULTIPLE_FILES:return t.replace(`.${n}`,"");case Ff.SINGLE_FILE:default:return t}}function Xf(e,t,n,r){let i=r.find((e=>e.locale===n));i||(i=r[0],console.warn(`Could not locale entry for default locale '${n}'`));const o=r.filter((e=>e.locale!==i.locale)).reduce(((e,{locale:t,value:n})=>{const r=Hf(t);return ki()(e,r,n.data)}),{}),a=Zf(t,i.value.path,n),s=Cf(e,a);return Nf(Nf(Nf({},i.value),{},{raw:""},o),{},{path:a,slug:s})}function Qf(e,t,n){const r=e.data[t]||{},i=n.filter((e=>e!==t)).map((t=>({locale:t,value:e.data[t]}))).filter((e=>e.value)).reduce(((e,t)=>Nf(Nf({},e),{},{[t.locale]:{data:t.value}})),{});return Nf(Nf({},e),{},{data:r,i18n:i,raw:""})}function Jf(e,t,n){const{structure:r,defaultLocale:i,locales:o}=Uf(e);if(r===Ff.SINGLE_FILE)return n.map((e=>Qf(e,i,o)));const a=Sl()(n.map((e=>({locale:Kf(r,t,e.path),value:e}))),(({locale:e,value:t})=>Zf(r,t.path,e)));return Object.values(a).reduce(((t,n)=>[...t,Xf(e,r,i,n)]),[])}function eh(e,t,n,r,i=[t.get("name")]){const o=e.getIn(["entry","data",...i]);return t.get(jf)===Bf.DUPLICATE&&n.filter((e=>e!==r)).forEach((t=>{e=e.setIn(["entry",...Vf(t,r),...i],o)})),t.has("field")&&!kr.List.isList(o)?[t.get("field")].forEach((t=>{e=eh(e,t,n,r,[...i,t.get("name")])})):t.has("fields")&&!kr.List.isList(o)&&t.get("fields").toArray().forEach((t=>{e=eh(e,t,n,r,[...i,t.get("name")])})),e}function th(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function nh(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?th(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):th(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const rh={type:"string",minLength:2,maxLength:10,pattern:"^[a-zA-Z-_]+$"},ih={type:"object",properties:{structure:{type:"string",enum:Object.values(Ff)},locales:{type:"array",minItems:1,items:rh,uniqueItems:!0},default_locale:rh}},oh=nh(nh({},ih),{},{required:["structure","locales"]}),ah={oneOf:[{type:"boolean"},ih]},sh={oneOf:[{type:"boolean"},{type:"string",enum:Object.values(Bf)}]};function lh(){const e=xl();return{$id:`fields_${e}`,type:"array",minItems:1,items:{$id:`field_${e}`,type:"object",properties:{name:{type:"string"},label:{type:"string"},widget:{type:"string"},required:{type:"boolean"},i18n:sh,hint:{type:"string"},pattern:{type:"array",minItems:2,items:[{oneOf:[{type:"string"},{instanceof:"RegExp"}]},{type:"string"}]},field:{$ref:`field_${e}`},fields:{$ref:`fields_${e}`},types:{$ref:`fields_${e}`}},select:{$data:"0/widget"},selectCases:nh({},dh()),required:["name"]},uniqueItemProperties:["name"]}}const uh={type:"array",minItems:1,items:{type:"object",properties:{label:{type:"string"},field:{type:"string"},pattern:{oneOf:[{type:"boolean"},{type:"string"}]}},additionalProperties:!1,required:["label","field","pattern"]}},ch={type:"array",minItems:1,items:{type:"object",properties:{label:{type:"string"},field:{type:"string"},pattern:{type:"string"}},additionalProperties:!1,required:["label","field"]}};function dh(){const e=Ns().map((e=>({[e.name]:e.schema})));return Object.assign(...e)}class ph extends(function(e){function t(){var t=Reflect.construct(e,Array.from(arguments));return Object.setPrototypeOf(t,Object.getPrototypeOf(this)),t}return t.prototype=Object.create(e.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),Object.setPrototypeOf?Object.setPrototypeOf(t,e):t.__proto__=e,t}(Error)){constructor(e,...t){const n=e.map((({message:e,instancePath:t})=>{const n=t.slice(1).split("/").map((e=>e.match(/^\d+$/)?`[${e}]`:`.${e}`)).join("").slice(1);return`${n?`'${n}'`:"config"} ${e}`})).join("\n");super(n,...t),this.errors=e,this.message=n}toString(){return this.message}}const fh=["hooks","collections","provider"];function hh(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function mh(e){const t=(e.integrations||[]).reduce(((t,n)=>{const{hooks:r,collections:i,provider:o}=n,a=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(n,fh);if(t.providers[o]=function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?hh(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):hh(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({},a),!i)return r.forEach((e=>{t.hooks[e]=o})),t;const s="*"===i?e.collections.map((e=>e.name)):i;return s.forEach((e=>{r.forEach((n=>{t.hooks[e]?t.hooks[e][n]=o:t.hooks[e]={[n]:o}}))})),t}),{providers:{},hooks:{}});return(0,kr.fromJS)(t)}const gh=(0,kr.fromJS)({providers:{},hooks:{}});function vh(e,t,n){return t?e.getIn(["hooks",t,n],!1):e.getIn(["hooks",n],!1)}const yh=["sortableFields"];function bh(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function wh(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?bh(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):bh(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const _h="CONFIG_REQUEST",xh="CONFIG_SUCCESS",kh="CONFIG_FAILURE";function Eh(e){return"types"in e||"field"in e}function Ch(e,t){return e.map((e=>{const n=t(e);return function(e){return"fields"in e}(n)?wh(wh({},n),{},{fields:Ch(n.fields,t)}):Eh(n)&&n.field?wh(wh({},n),{},{field:Ch([n.field],t)[0]}):Eh(n)&&n.types?wh(wh({},n),{},{types:Ch(n.types,t)}):n}))}function Sh(e){return"media_folder"in e&&!("public_folder"in e)?wh(wh({},e),{},{public_folder:e.media_folder}):e}const Ah={dateFormat:"date_format",timeFormat:"time_format",pickerUtc:"picker_utc",editorComponents:"editor_components",valueType:"value_type",valueField:"value_field",searchFields:"search_fields",displayFields:"display_fields",optionsLength:"options_length"};function Th(e){const t=Object.keys(Ah).filter((t=>t in e)).map((t=>{const n=Ah[t];return console.warn(`Field ${e.name} is using a deprecated configuration '${t}'. Please use '${n}'`),{[n]:e[t]}}));return Object.assign({},e,...t)}function Oh(e){return!0===e[jf]?wh(wh({},e),{},{[jf]:Bf.TRANSLATE}):!1!==e[jf]&&e[jf]?e:wh(wh({},e),{},{[jf]:Bf.NONE})}function Ph(e,t){if("boolean"==typeof e)return t;{const n=e.locales||t.locales,r=e.default_locale||n[0],i=al()(t,e);return i.locales=n,i.default_locale=r,Ih(i),i}}function Dh(e,t){return Ch(e,t?Oh:e=>{const t=wh({},e);return delete t[jf],t})}function Rh(e){if(e&&e.structure!==Ff.SINGLE_FILE)throw new Error(`i18n configuration for files collections is limited to ${Ff.SINGLE_FILE} structure`)}function Ih(e){if(e&&e.default_locale&&!e.locales.includes(e.default_locale))throw new Error(`i18n locales '${e.locales.join(", ")}' are missing the default locale ${e.default_locale}`)}function Mh(e,t){return!!vh(mh((0,kr.fromJS)(e)),t.name,"listEntries")}function Lh(e){return{type:xh,payload:e}}function Nh(e){return{type:kh,error:"Error loading config",payload:e}}function jh(e={},t){return window.CMS_CONFIG?Lh(window.CMS_CONFIG):async n=>{n({type:_h});try{const r=function(){const e=document.querySelector('link[rel="cms-config-url"]');return e&&{"text/yaml":"yaml","application/x-yaml":"yaml"}[e.type]&&e.href?(console.log(`Using config file path: "${e.href}"`),e.href):"config.yml"}(),i=!nl()(e),o=!1===e.load_config_file?{}:await async function(e,t){const n=await fetch(e,{credentials:"same-origin"}).catch((e=>e));if(n instanceof Error||200!==n.status){if(t)return{};const e=n instanceof Error?n.message:n.status;throw new Error(`Failed to load config.yml (${e})`)}const r=n.headers.get("Content-Type")||"Not-Found";return-1===r.indexOf("yaml")&&(console.log(`Response for ${e} was not yaml. (Content-Type: ${r})`),t)?{}:function(e){const t=Ta().parse(e,{maxAliasCount:-1,prettyErrors:!0,merge:!0});if("undefined"!=typeof window&&"string"==typeof window.CMS_ENV&&t[window.CMS_ENV]){const e=Object.keys(t[window.CMS_ENV]);for(const n of e)t[n]=t[window.CMS_ENV][n]}return t}(await n.text())}(r,i),a=al()(o,e);!function(e){const t=new(pl())({allErrors:!0,$data:!0,strict:!1});if((0,fl.uniqueItemProperties)(t),(0,fl.select)(t),(0,fl.instanceof)(t),(0,fl.prohibited)(t),ml()(t),!t.validate({type:"object",properties:{backend:{type:"object",properties:{name:{type:"string",examples:["test-repo"]},auth_scope:{type:"string",examples:["repo","public_repo"],enum:["repo","public_repo"]},cms_label_prefix:{type:"string",minLength:1},open_authoring:{type:"boolean",examples:[!0]}},required:["name"]},local_backend:{oneOf:[{type:"boolean"},{type:"object",properties:{url:{type:"string",examples:["http://localhost:8081/api/v1"]},allowed_hosts:{type:"array",items:{type:"string"}}},additionalProperties:!1}]},locale:{type:"string",examples:["en","fr","de"]},i18n:oh,site_url:{type:"string",examples:["https://example.com"]},display_url:{type:"string",examples:["https://example.com"]},logo_url:{type:"string",examples:["https://example.com/images/logo.svg"]},show_preview_links:{type:"boolean"},media_folder:{type:"string",examples:["assets/uploads"]},public_folder:{type:"string",examples:["/uploads"]},media_folder_relative:{type:"boolean"},media_library:{type:"object",properties:{name:{type:"string",examples:["uploadcare"]},config:{type:"object"}},required:["name"]},publish_mode:{type:"string",enum:["simple","editorial_workflow",""],examples:["editorial_workflow"]},slug:{type:"object",properties:{encoding:{type:"string",enum:["unicode","ascii"]},clean_accents:{type:"boolean"}}},collections:{type:"array",minItems:1,items:{type:"object",properties:{name:{type:"string"},label:{type:"string"},label_singular:{type:"string"},description:{type:"string"},folder:{type:"string"},files:{type:"array",items:{type:"object",properties:{name:{type:"string"},label:{type:"string"},label_singular:{type:"string"},description:{type:"string"},file:{type:"string"},preview_path:{type:"string"},preview_path_date_field:{type:"string"},fields:lh()},required:["name","label","file","fields"]},uniqueItemProperties:["name"]},identifier_field:{type:"string"},summary:{type:"string"},slug:{type:"string"},path:{type:"string"},preview_path:{type:"string"},preview_path_date_field:{type:"string"},create:{type:"boolean"},publish:{type:"boolean"},hide:{type:"boolean"},editor:{type:"object",properties:{preview:{type:"boolean"}}},format:{type:"string"},extension:{type:"string"},frontmatter_delimiter:{type:["string","array"],minItems:2,maxItems:2,items:{type:"string"}},fields:lh(),sortable_fields:{type:"array",items:{type:"string"}},sortableFields:{type:"array",items:{type:"string"}},view_filters:uh,view_groups:ch,nested:{type:"object",properties:{depth:{type:"number",minimum:1,maximum:1e3},summary:{type:"string"}},required:["depth"]},meta:{type:"object",properties:{path:{type:"object",properties:{label:{type:"string"},widget:{type:"string"},index_file:{type:"string"}},required:["label","widget","index_file"]}},additionalProperties:!1,minProperties:1},i18n:ah},required:["name","label"],oneOf:[{required:["files"]},{required:["folder","fields"]}],not:{required:["sortable_fields","sortableFields"]},if:{required:["extension"]},then:{if:{properties:{extension:{enum:Object.keys(Qs)}}},else:{required:["format"]}},dependencies:{frontmatter_delimiter:{properties:{format:{enum:Zs}},required:["format"]}}},uniqueItemProperties:["name"]},editor:{type:"object",properties:{preview:{type:"boolean"}}}},required:["backend","collections"],anyOf:[{required:["media_folder"]},{required:["media_library"]}]},e)){const e=t.errors.map((e=>{switch(e.keyword){case"uniqueItemProperties":{const t=e.instancePath||"";let n=e;return t.endsWith("/fields")?n=nh(nh({},e),{},{message:"fields names must be unique"}):t.endsWith("/files")?n=nh(nh({},e),{},{message:"files names must be unique"}):t.endsWith("/collections")&&(n=nh(nh({},e),{},{message:"collections names must be unique"})),n}case"instanceof":{const t=e.instancePath||"";let n=e;return/fields\/\d+\/pattern\/\d+/.test(t)&&(n=nh(nh({},e),{},{message:"must be a regular expression"})),n}default:return e}}));throw console.error("Config Errors",e),new ph(e)}}(a);const s=function(e){const{collections:t=[]}=e,n=t.map((e=>{const{fields:t,files:n}=e;let r=e;if(t){const e=Ch(t,Th);r=wh(wh({},r),{},{fields:e})}if(n){const e=n.map((e=>{const t=Ch(e.fields,Th);return wh(wh({},e),{},{fields:t})}));r=wh(wh({},r),{},{files:e})}if(r.sortableFields){const{sortableFields:t}=r;r=wh(wh({},function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(r,yh)),{},{sortable_fields:t}),console.warn(`Collection ${e.name} is using a deprecated configuration 'sortableFields'. Please use 'sortable_fields'`)}return r}));return wh(wh({},e),{},{collections:n})}(await async function(e){if(!e.local_backend)return e;const{proxyUrl:t,publish_modes:n,type:r}=await async function(e){if(!["localhost","127.0.0.1",..."boolean"==typeof e?[]:(null==e?void 0:e.allowed_hosts)||[]].includes(location.hostname)||!e)return{};const t="http://localhost:8081/api/v1",n=!0===e?t:e.url||t.replace("localhost",location.hostname);try{console.log(`Looking for Decap CMS Proxy Server at '${n}'`);const e=await fetch(`${n}`,{method:"POST",headers:{"Content-Type":"application/json"},body:JSON.stringify({action:"info"})}),{repo:t,publish_modes:r,type:i}=await e.json();return"string"==typeof t&&Array.isArray(r)&&"string"==typeof i?(console.log(`Detected Decap CMS Proxy Server at '${n}' with repo: '${t}'`),{proxyUrl:n,publish_modes:r,type:i}):(console.log(`Decap CMS Proxy Server not detected at '${n}'`),{})}catch{return console.log(`Decap CMS Proxy Server not detected at '${n}'`),{}}}(e.local_backend);return t?bi(e,(e=>{e.backend.name="proxy",e.backend.proxy_url=t,e.publish_mode&&(e.publish_mode=function(e,t,n){if(e.publish_mode&&t&&!t.includes(e.publish_mode)){const r=t[0];return console.log(`'${e.publish_mode}' is not supported by '${n}' backend, switching to '${r}'`),r}return e.publish_mode}(e,n,r))})):e}(a)),l=bi(s,(e=>{e.publish_mode=e.publish_mode||sl,e.slug=e.slug||{},e.collections=e.collections||[],!e.display_url&&e.site_url&&(e.display_url=e.site_url);const t=`/${il()(e.media_folder,"/")}`;"public_folder"in e||(e.public_folder=t),"encoding"in e.slug||(e.slug.encoding="unicode"),"clean_accents"in e.slug||(e.slug.clean_accents=!1),"sanitize_replacement"in e.slug||(e.slug.sanitize_replacement="-");const n=e[jf];n&&(n.default_locale=n.default_locale||n.locales[0]),Ih(n);const r=om(e);for(const t of e.collections){"publish"in t||(t.publish=!0);let i=t[jf];n&&i?(i=Ph(i,n),t[jf]=i):(i=void 0,delete t[jf]),t.fields&&(t.fields=Dh(t.fields,Boolean(i)));const{folder:o,files:a,view_filters:s,view_groups:l,meta:u}=t;if(o&&(t.type=Tl,t.path&&!t.media_folder&&(t.media_folder=""),"media_folder"in t&&!("public_folder"in t)&&(t.public_folder=t.media_folder),t.fields&&(t.fields=Ch(t.fields,Sh)),t.folder=Oi()(o,"/"),u&&u.path)){const e=wh({name:"path",meta:!0,required:!0},u.path);t.fields=[e,...t.fields||[]]}if(a){t.type=Al,Rh(i),delete t.nested,delete t.meta;for(const e of a){e.file=il()(e.file,"/"),"media_folder"in e&&!("public_folder"in e)&&(e.public_folder=e.media_folder),e.fields&&(e.fields=Ch(e.fields,Sh));let t=e[jf];t&&i?(t=Ph(t,i),e[jf]=t):(t=void 0,delete e[jf]),Rh(t),e.fields&&(e.fields=Dh(e.fields,Boolean(t)))}}t.sortable_fields||(t.sortable_fields=If((0,kr.fromJS)(t),r,Mh(e,t))),t.view_filters=(s||[]).map((e=>wh(wh({},e),{},{id:`${e.field}__${e.pattern}`}))),t.view_groups=(l||[]).map((e=>wh(wh({},e),{},{id:`${e.field}__${e.pattern}`}))),e.editor&&!t.editor&&(t.editor={preview:e.editor.preview})}}));n(Lh(l)),"function"==typeof t&&t()}catch(e){throw n(Nh(e)),e}}}function Fh(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Bh(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Fh(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Fh(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function zh(e){return e.locale||"en"}function Uh(e){return e.publish_mode===ll}const qh=bi(((e,t)=>{switch(t.type){case _h:e.isFetching=!0;break;case xh:return Bh(Bh({},t.payload),{},{isFetching:!1,error:void 0});case kh:e.isFetching=!1,e.error=t.payload.toString()}}),{isFetching:!0}),$h=["field"];function Wh(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Hh(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Wh(Object(n),!0).forEach((function(t){Vh(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Wh(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Vh(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const{extractTemplateVars:Gh,dateParsers:Kh,expandPath:Yh}=e;class Zh{constructor(){Vh(this,"storageKey","decap-cms-user")}retrieve(){const e=window.localStorage.getItem(this.storageKey);return e&&JSON.parse(e)}store(e){window.localStorage.setItem(this.storageKey,JSON.stringify(e))}logout(){window.localStorage.removeItem(this.storageKey)}}function Xh(e,t){const n="backup";return e?`${n}.${e}${t?`.${t}`:""}`:n}function Qh(e,t){const n=Ci()(t.data,e);if(n)return String(n);{const n=e.split(".")[0];return t[n]?t[n]:""}}function Jh(e){return t=>e.reduce(((e,n)=>{const r=Qh(n,t);return r?`${e} ${r}`:e}),"")}function em(e,t){return e.score>t.score?-1:e.score<t.score?1:0}function tm(e,t){const n=e.get("folder",""),r=t.toLowerCase().replace(n.toLowerCase(),"");return(0,sa.join)((0,sa.dirname)(Oi()(r,"/")),(0,sa.basename)(r,(0,sa.extname)(t)))}function nm(e,t){return Mf(t)?(0,sa.dirname)(e).slice(t.get("folder").length+1)||"/":e}function rm(e){var t;let n;return n=(null===(t=e.get("nested"))||void 0===t?void 0:t.get("depth"))||e.get("path","").split("/").length,zf(e)&&(n=function(e,t){const{structure:n}=Uf(e);return n===Ff.MULTIPLE_FOLDERS?t+1:t}(e,n)),n}class im{constructor(e,{backendName:t,authStore:n,config:r}){if(Vh(this,"implementation",void 0),Vh(this,"backendName",void 0),Vh(this,"config",void 0),Vh(this,"authStore",void 0),Vh(this,"user",void 0),Vh(this,"backupSync",void 0),Vh(this,"updateUserCredentials",(e=>{const t=this.authStore.retrieve();if(t&&t.backendName===this.backendName)return this.user=Hh(Hh({},t),e),this.authStore.store(this.user),this.user})),Vh(this,"getToken",(()=>this.implementation.getToken())),this.deleteAnonymousBackup(),this.config=r,this.implementation=e.init(this.config,{useWorkflow:Uh(this.config),updateUserCredentials:this.updateUserCredentials,initialWorkflowStatus:ul.first()}),this.backendName=t,this.authStore=n,null===this.implementation)throw new Error("Cannot instantiate a Backend with no implementation");this.backupSync=So()}async status(){let e={auth:{status:!0},api:{status:!0,statusPage:""}};for(let t=1;t<=3;t++){if(e=await this.implementation.status(),Object.values(e).every((e=>!0===e.status)))return e;await new Promise((e=>setTimeout(e,1e3*t)))}return e}currentUser(){if(this.user)return this.user;const e=this.authStore.retrieve();return e&&e.backendName===this.backendName?Promise.resolve(this.implementation.restoreUser(e)).then((e=>(this.user=Hh(Hh({},e),{},{backendName:this.backendName}),this.authStore.store(this.user),this.user))):Promise.resolve(null)}isGitBackend(){var e,t;return(null===(e=(t=this.implementation).isGitBackend)||void 0===e?void 0:e.call(t))||!1}authComponent(){return this.implementation.authComponent()}authenticate(e){return this.implementation.authenticate(e).then((e=>(this.user=Hh(Hh({},e),{},{backendName:this.backendName}),this.authStore&&this.authStore.store(this.user),this.user)))}async logout(){try{await this.implementation.logout()}catch(e){console.warn("Error during logout",e.message)}finally{this.user=null,this.authStore&&this.authStore.logout()}}async entryExist(e,t,n,r){const i=r&&await this.implementation.unpublishedEntry({collection:e.get("name"),slug:n}).catch((e=>e.name===To&&e.notUnderEditorialWorkflow?Promise.resolve(!1):Promise.reject(e)));return i||await this.implementation.getEntry(t).then((({data:e})=>e)).catch((()=>Promise.resolve(!1)))}async generateUniqueSlug(e,t,n,r,i){const o=n.slug;let a;a=i?tm(e,i):function(e,t,n){const r=e.get("slug")||"{{slug}}",i=t.getIn(cu(Pf(e)));if(!i)throw new Error("Collection must have a field name that is a valid entry identifier, or must have `identifier_field` set");const o=gu(n),a=new Date,s=su(r,a,i,t,o);if(e.has("path")){const n=mu(e.get("path"));return su(n,a,s,t,(e=>e===s?e:o(e)))}return s}(e,t,o);let s=1,l=a;for(;r.includes(l)||await this.entryExist(e,Ef(e,l),l,Uh(n));)l=`${a}${ru(" ",o)}${s++}`;return l}processEntries(e,t){const n=e.map((e=>ku(t.get("name"),Cf(t,e.file.path),e.file.path,{raw:e.data||"",label:e.file.label,author:e.file.author,updatedOn:e.file.updatedOn,meta:{path:nm(e.file.path,t)}}))).map(this.entryWithFormat(t)),r=t.get("filter"),i=r?this.filterEntries({entries:n},r):n;if(zf(t)){const e=xf(t);return Jf(t,e,i)}return i}async listEntries(e){var t;const n=xf(e);let r;const i=e.get("type");if(i===Tl)r=()=>{const t=rm(e);return this.implementation.entriesByFolder(e.get("folder"),n,t)};else{if(i!==Al)throw new Error(`Unknown collection type: ${i}`);{const t=e.get("files").map((e=>({path:e.get("file"),label:e.get("label")}))).toArray();r=()=>this.implementation.entriesByFiles(t)}}const o=await r(),a=Mo.create(o[Lo]).wrapData({cursorType:"collectionEntries",collection:e});return{entries:this.processEntries(o,e),pagination:null===(t=a.meta)||void 0===t?void 0:t.get("page"),cursor:a}}async listAllEntries(e){if(e.get("folder")&&this.implementation.allEntriesByFolder){const t=rm(e),n=xf(e);return this.implementation.allEntriesByFolder(e.get("folder"),n,t,function(e){let t="";return e.get("path")&&(t=`${e.get("folder")}/${e.get("path")}`.replace(/{{.*}}/gm,"(.*)")),zf(e)&&(t=function(e,{defaultLocale:t,structure:n}){return n===Ff.MULTIPLE_FOLDERS?`${t}\\/${e}`:n===Ff.MULTIPLE_FILES?`${e}\\.${t}\\..*`:e}(t,Uf(e))),t?new RegExp(t):void 0}(e)).then((t=>this.processEntries(t,e)))}const t=await this.listEntries(e),{entries:n}=t;let{cursor:r}=t;for(;r&&r.actions.includes("next");){const{entries:e,cursor:t}=await this.traverseCursor(r,"next");n.push(...e),r=t}return n}async search(e,t){const n=[],r=e.map((async e=>{const n=e.get("summary",""),r=Gh(n);let i=[];var o;e.get("type")===Al?null===(o=e.get("files"))||void 0===o||o.forEach((e=>{const t=e.get("fields").map((e=>e.get("name"))).toArray();i=[...i,...t]})):i=[Df(e,"title"),Df(e,"shortTitle"),Df(e,"author"),...r.map((t=>Kh[t]?Df(e,"date"):t))];const a=i.filter(Boolean),s=await this.listAllEntries(e);return Fi.filter(t,s,{extract:Jh(Di()(a))})})).map((e=>e.catch((e=>(n.push(e),[]))))),i=await Promise.all(r).then((e=>Li()(e)));if(n.length>0)throw new Error({message:"Errors occurred while searching entries locally!",errors:n});const o=i.filter((({score:e})=>e>5)).sort(em).map((e=>e.original));return{entries:o}}async query(e,t,n,r,i){let o=await this.listAllEntries(e);r&&(o=o.filter((e=>e.slug===r)));const a=function(e,t){const n=e.reduce(((e,n)=>{const r=t.reduce(((e,t)=>{const r=Yh({data:n.data,path:t});return e.push(...r),e}),[]);for(let t=0;t<r.length;t++)e.push(Hh(Hh({},n),{},{field:r[t]}));return e}),[]);return n}(o,t);let s=Fi.filter(n,a,{extract:e=>Qh(e.field,e)}).sort(em).map((e=>e.original));void 0!==i&&i>0&&(s=s.slice(0,i));const l=function(e){const t=e.map((e=>e.field)),n={},r=e.reduce(((e,t)=>{if(!e[t.slug]){const{field:r}=t,i=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(t,$h);e[t.slug]=i,n[t.slug]=(0,kr.Set)()}const r=t.field.split(".");let i=e[t.slug].data;for(let e=0;e<r.length;e++)if(i=i[r[e]],Array.isArray(i)){const i=r.slice(0,e+1).join(".");n[t.slug]=n[t.slug].add(i)}return e}),{});return Object.keys(r).forEach((e=>{const i=r[e].data;for(const r of n[e].toArray()){const e=Ci()(i,r),n=e.filter(((e,n)=>t.some((e=>`${e}.`.startsWith(`${r}.${n}.`)))));n.sort(((n,i)=>{const o=e.indexOf(n),a=e.indexOf(i),s=`${r}.${o}.`,l=`${r}.${a}.`,u=t.findIndex((e=>`${e}.`.startsWith(s))),c=t.findIndex((e=>`${e}.`.startsWith(l)));return u-c})),ki()(i,r,n)}})),Object.values(r)}(s);return{query:n,hits:l}}traverseCursor(e,t){const[n,r]=e.unwrapData(),i=n.get("collection");return this.implementation.traverseCursor(r,t).then((async({entries:e,cursor:t})=>({entries:this.processEntries(e,i),cursor:Mo.create(t).wrapData({cursorType:"collectionEntries",collection:i})})))}async getLocalDraftBackup(e,t){const n=Xh(e.get("name"),t),r=await Fo.getItem(n);if(!r||!r.raw.trim())return{};const{raw:i,path:o}=r;let{mediaFiles:a=[]}=r;a=a.map((e=>e.file?Hh(Hh({},e),{},{url:URL.createObjectURL(e.file)}):e));const s=kf(e,t),l=n=>this.entryWithFormat(e)(ku(e.get("name"),t,o,{raw:n,label:s,mediaFiles:a,meta:{path:nm(o,e)}})),u=l(i);if(zf(e)&&r.i18n){const e=function(e,t){const n=Object.entries(e).reduce(((e,[n,{raw:r}])=>{const i=t(r);return Nf(Nf({},e),{},{[n]:{data:i.data}})}),{});return n}(r.i18n,l);u.i18n=e}return{entry:u}}async persistLocalDraftBackup(e,t){try{await this.backupSync.acquire();const n=Xh(t.get("name"),e.get("slug")),r=this.entryToRaw(t,e);if(!r.trim())return;const i=await Promise.all(e.get("mediaFiles").toJS().map((async e=>{var t;if(null!==(t=e.url)&&void 0!==t&&t.startsWith("blob:")){const t=await fetch(e.url).then((e=>e.blob()));return Hh(Hh({},e),{},{file:Jo(e.name,t)})}return e})));let o;return zf(t)&&(o=function(e,t,n){const{locales:r,defaultLocale:i}=Uf(e),o=r.filter((e=>e!==i)).reduce(((e,r)=>{const o=Vf(r,i),a=t.getIn(o);if(!a)return e;const s=t.set("data",a);return Nf(Nf({},e),{},{[r]:{raw:n(s)}})}),{});return o}(t,e,(e=>this.entryToRaw(t,e)))),await Fo.setItem(n,Hh({raw:r,path:e.get("path"),mediaFiles:i},o&&{i18n:o})),await Fo.setItem(Xh(),r)}catch(e){console.warn("persistLocalDraftBackup",e)}finally{this.backupSync.release()}}async deleteLocalDraftBackup(e,t){try{return await this.backupSync.acquire(),await Fo.removeItem(Xh(e.get("name"),t)),t&&await Fo.removeItem(Xh(e.get("name"))),await this.deleteAnonymousBackup()}catch(e){console.warn("deleteLocalDraftBackup",e)}finally{this.backupSync.release()}}deleteAnonymousBackup(){return Fo.removeItem(Xh())}async getEntry(e,t,n){const r=Ef(t,n),i=kf(t,n),o=xf(t),a=async r=>{const o=await this.implementation.getEntry(r);let a=ku(t.get("name"),n,o.file.path,{raw:o.data,label:i,mediaFiles:[],meta:{path:nm(o.file.path,t)}});return a=this.entryWithFormat(t)(a),a=await this.processEntry(e,t,a),a};let s;return s=zf(t)?await async function(e,t,n,r,i){const{structure:o,locales:a,defaultLocale:s}=Uf(e);let l;if(o===Ff.SINGLE_FILE)l=Qf(await i(n),s,a);else{const u=(await Promise.all(a.map((async e=>{const a=Gf(o,t,n,r,e);return{value:await i(a).catch((()=>null)),locale:e}})))).filter((e=>null!==e.value));l=Xf(e,o,s,u)}return l}(t,o,r,n,a):await a(r),s}getMedia(){return this.implementation.getMedia()}getMediaFile(e){return this.implementation.getMediaFile(e)}getMediaDisplayURL(e){if(this.implementation.getMediaDisplayURL)return this.implementation.getMediaDisplayURL(e);const t=new Error("getMediaDisplayURL is not implemented by the current backend, but the backend returned a displayURL which was not a string!");return t.displayURL=e,Promise.reject(t)}entryWithFormat(e){return t=>{const n=el(e,t);if(t&&void 0!==t.raw){const e=n&&ji()(n.fromFile.bind(n,t.raw))||{};return Ii()(e)&&console.error(e),Object.assign(t,{data:Ii()(e)?{}:e})}return n.fromFile(t)}}async processUnpublishedEntry(e,t,n){const{slug:r}=t;let i;if(e.get("type")===Al){const t=e.get("files").find((e=>(null==e?void 0:e.get("name"))===r));i=(0,sa.extname)(t.get("file"))}else i=xf(e);const o=[];if(n){const n=t.diffs.filter((e=>!e.path.endsWith(i))),a=await Promise.all(n.map((t=>this.implementation.unpublishedEntryMediaFile(e.get("name"),r,t.path,t.id))));o.push(...a.map((e=>Hh(Hh({},e),{},{draft:!0}))))}const a=Ai()(t.diffs.filter((e=>e.path.endsWith(i))),(e=>e.path.length)),s=(n,i,a)=>{const s=ku(e.get("name"),r,i,{raw:n,isModification:!a,label:e&&kf(e,r),mediaFiles:o,updatedOn:t.updatedAt,author:t.pullRequestAuthor,status:t.status,meta:{path:nm(i,e)}});return this.entryWithFormat(e)(s)},l=async n=>{const r=await this.implementation.unpublishedEntryDataFile(e.get("name"),t.slug,n.path,n.id);return s(r,n.path,n.newFile)};if(a.length<=0){const t=await this.implementation.getEntry(Ef(e,r));return s(t.data,t.file.path,!1)}if(zf(e)){const t=Ef(e,r),n=function(e,t,n,r,i){const{structure:o}=Uf(e);return o===Ff.SINGLE_FILE?i:Yf(e,t,n,r).reduce(((e,t)=>{const n=i.find((e=>e.path===t));return n?[...e,n]:[...e,{path:t,id:"",newFile:!1}]}),[])}(e,i,t,r,a);let o=await Promise.all(n.map((e=>l(e).catch((()=>null)))));return o=o.filter(Boolean),(await Jf(e,i,o))[0]}return await l(a[0])}async unpublishedEntries(e){const t=await this.implementation.unpublishedEntries(),n=(await Promise.all(t.map((async t=>{const n=await this.implementation.unpublishedEntry({id:t}),r=n.collection,i=e.find((e=>e.get("name")===r));return i?await this.processUnpublishedEntry(i,n,!1):(console.warn(`Missing collection '${r}' for unpublished entry '${t}'`),null)})))).filter(Boolean);return{pagination:0,entries:n}}async processEntry(e,t,n){const r=vh(e.integrations,null,"assetStore"),i=function(e,t,n){const r=function(e,t){return e.has("folder")?bf(e.get("fields").toArray()):e.has("files")?bf((null===(n=wf(e,t))||void 0===n?void 0:n.get("fields").toArray())||[]):[];var n}(t,n.get("slug")),i=r.map((r=>cf(e,t,n,r)));return t.has("files")&&wf(t,n.get("slug"))&&i.unshift(cf(e,t,n,void 0)),t.has("media_folder")&&(t=t.delete("files"),i.unshift(cf(e,t,n,void 0))),(0,kr.Set)(i).toArray()}(e.config,t,(0,kr.fromJS)(n));if(i.length>0&&!r){const e=await Promise.all(i.map((e=>this.implementation.getMedia(e))));n.mediaFiles=n.mediaFiles.concat(...e)}else n.mediaFiles=n.mediaFiles.concat(e.mediaLibrary.get("files")||[]);return n}async unpublishedEntry(e,t,n){const r=await this.implementation.unpublishedEntry({collection:t.get("name"),slug:n});let i=await this.processUnpublishedEntry(t,r,!0);return i=await this.processEntry(e,t,i),i}getDeploy(e,t,n){const r=this.config.site_url;if(r&&!1!==this.config.show_preview_links)return{url:vu(r,e,t,n,this.config.slug),status:"SUCCESS"}}async getDeployPreview(e,t,n,{maxAttempts:r=1,interval:i=5e3}={}){if(!this.implementation.getDeployPreview||!1===this.config.show_preview_links)return;let o,a=0;for(;!o&&a<r;)a++,o=await this.implementation.getDeployPreview(e.get("name"),t),o||await new Promise((e=>setTimeout((()=>e(void 0)),i)));return o?{url:vu(o.url,e,t,n,this.config.slug),status:o.status?o.status.toUpperCase():""}:void 0}async persistEntry({config:e,collection:t,entryDraft:n,assetProxies:r,usedSlugs:i,unpublished:o=!1,status:a}){const s=await this.invokePreSaveEvent(n.get("entry"));let l;l=void 0===s.get("data")?s&&n.setIn(["entry","data"],s)||n:s&&n.setIn(["entry"],s)||n;const u=l.getIn(["entry","newRecord"])||!1,c=Uh(e),d=Nd(t,l);let p;if(u){if(!function(e){return yf[e.get("type")].allowNewEntries(e)}(t))throw new Error("Not allowed to create new entries in this collection");const n=await this.generateUniqueSlug(t,l.getIn(["entry","data"]),e,i,d),o=d||Ef(t,n);p={path:o,slug:n,raw:this.entryToRaw(t,l.get("entry"))},function(e,t,n,r,i){e.map((e=>{const o=e.path,a=df(t,n,r.get("entry").set("path",i),o,e.field);e.path=a}))}(r,e,t,l,o)}else{const e=l.getIn(["entry","slug"]);p={path:l.getIn(["entry","path"]),slug:d&&!c?tm(t,d):e,raw:this.entryToRaw(t,l.get("entry")),newPath:d}}const{slug:f,path:h,newPath:m}=p;let g=[p];if(zf(t)){const e=xf(t);g=function(e,t,n,r,i,o,a){const{structure:s,defaultLocale:l,locales:u}=Uf(e);if(s===Ff.SINGLE_FILE){const e=u.reduce(((e,t)=>{const r=Vf(t,l);return e.set(t,n.getIn(r))}),(0,kr.Map)({})),c=n.set("data",e);return[Nf({path:Gf(s,t,i,o,u[0]),slug:o,raw:r(c)},a&&{newPath:Gf(s,t,a,o,u[0])})]}return u.map((e=>{const u=Vf(e,l),c=n.set("data",n.getIn(u));return Nf({path:Gf(s,t,i,o,e),slug:o,raw:c.get("data")?r(c):""},a&&{newPath:Gf(s,t,a,o,e)})})).filter((e=>e.raw))}(t,e,l.get("entry"),(e=>this.entryToRaw(t,e)),h,f,m)}const v=await this.currentUser(),y=Hh({newEntry:u,commitMessage:hu(u?"create":"update",e,{collection:t,slug:f,path:h,authorLogin:v.login,authorName:v.name},v.useOpenAuthoring),collectionName:t.get("name"),useWorkflow:c},{unpublished:o,status:a});return c||await this.invokePrePublishEvent(l.get("entry")),await this.implementation.persistEntry({dataFiles:g,assets:r},y),await this.invokePostSaveEvent(l.get("entry")),c||await this.invokePostPublishEvent(l.get("entry")),f}async invokeEventWithEntry(e,t){const{login:n,name:r}=await this.currentUser();return await Ws({name:e,data:{entry:t,author:{login:n,name:r}}})}async invokePrePublishEvent(e){await this.invokeEventWithEntry("prePublish",e)}async invokePostPublishEvent(e){await this.invokeEventWithEntry("postPublish",e)}async invokePreUnpublishEvent(e){await this.invokeEventWithEntry("preUnpublish",e)}async invokePostUnpublishEvent(e){await this.invokeEventWithEntry("postUnpublish",e)}async invokePreSaveEvent(e){return await this.invokeEventWithEntry("preSave",e)}async invokePostSaveEvent(e){await this.invokeEventWithEntry("postSave",e)}async persistMedia(e,t){const n=await this.currentUser(),r={commitMessage:hu("uploadMedia",e,{path:t.path,authorLogin:n.login,authorName:n.name},n.useOpenAuthoring)};return this.implementation.persistMedia(t,r)}async deleteEntry(e,t,n){const r=e.config,i=Ef(t,n),o=xf(t);if(!Sf(t))throw new Error("Not allowed to delete entries in this collection");const a=await this.currentUser(),s=hu("delete",r,{collection:t,slug:n,path:i,authorLogin:a.login,authorName:a.name},a.useOpenAuthoring),l=Jp(e.entries,t.get("name"),n);await this.invokePreUnpublishEvent(l);let u=[i];zf(t)&&(u=Yf(t,o,i,n)),await this.implementation.deleteFiles(u,s),await this.invokePostUnpublishEvent(l)}async deleteMedia(e,t){const n=await this.currentUser(),r=hu("deleteMedia",e,{path:t,authorLogin:n.login,authorName:n.name},n.useOpenAuthoring);return this.implementation.deleteFiles([t],r)}persistUnpublishedEntry(e){return this.persistEntry(Hh(Hh({},e),{},{unpublished:!0}))}updateUnpublishedEntryStatus(e,t,n){return this.implementation.updateUnpublishedEntryStatus(e,t,n)}async publishUnpublishedEntry(e){const t=e.get("collection"),n=e.get("slug");await this.invokePrePublishEvent(e),await this.implementation.publishUnpublishedEntry(t,n),await this.invokePostPublishEvent(e)}deleteUnpublishedEntry(e,t){return this.implementation.deleteUnpublishedEntry(e,t)}entryToRaw(e,t){const n=el(e,t.toJS()),r=this.fieldsOrder(e,t),i=function(e,t){let n=[];if(e.has("folder"))n=e.get("fields").toArray();else if(e.has("files")){const r=e.get("files").find((e=>(null==e?void 0:e.get("name"))===t.get("slug")));n=r.get("fields").toArray()}const r={};return Af(n).forEach((t=>{const n=Tf(e,t);null!=n&&n.has("comment")&&(r[t]=n.get("comment"))})),r}(e,t);let o=n.toFile(t.get("data").toJS(),r,i);return"\n"!=o.slice(-1)&&(o+="\n"),o}fieldsOrder(e,t){if(e.get("fields"))return e.get("fields").map((e=>e.get("name"))).toArray();const n=(e.get("files")||(0,kr.List)()).filter((e=>e.get("name")===t.get("slug"))).get(0);if(null==n)throw new Error(`No file found for ${t.get("slug")} in ${e.get("name")}`);return n.get("fields").map((e=>e.get("name"))).toArray()}filterEntries(e,t){return e.entries.filter((e=>{const n=e.data[t.get("field")];return Array.isArray(n)?n.includes(t.get("value")):n===t.get("value")}))}}function om(e){if(!e.backend.name)throw new Error("No backend defined in configuration");const{name:t}=e.backend,n=new Zh,r=Us(t);if(r)return new im(r,{backendName:t,authStore:n,config:e});throw new Error(`Backend not found: ${t}`)}const am=function(){let e;return t=>e||(e=om(t))}(),sm="AUTH_REQUEST",lm="AUTH_SUCCESS",um="AUTH_FAILURE",cm="AUTH_REQUEST_DONE",dm="USE_OPEN_AUTHORING",pm="LOGOUT";function fm(){return{type:sm}}function hm(e){return{type:lm,payload:e}}function mm(e){return{type:um,error:"Failed to authenticate",payload:e}}function gm(){return{type:dm}}function vm(){return(e,t)=>{const n=t(),r=am(n.config);Promise.resolve(r.logout()).then((()=>{e({type:pm}),e({type:nc})}))}}const ym=bi(((e,t)=>{switch(t.type){case sm:e.isFetching=!0;break;case lm:e.user=t.payload;break;case um:e.error=t.payload&&t.payload.toString();break;case cm:e.isFetching=!1;break;case pm:e.user=void 0,e.isFetching=!1}}),{isFetching:!1,user:void 0,error:void 0});var bm=__webpack_require__(37994),wm=__webpack_require__.n(bm);function _m(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function xm(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?_m(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):_m(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const km={isFetching:!1,term:"",collections:[],page:0,entryIds:[],queryHits:{},error:void 0,requests:[]},Em=bi(((e,t)=>{switch(t.type){case Uu:return km;case Lu:{const{page:n,searchTerm:r,searchCollections:i}=t.payload;e.isFetching=!0,e.term=r,e.collections=i,e.page=n;break}case Nu:{const{entries:n,page:r}=t.payload,i=n.map((e=>({collection:e.collection,slug:e.slug})));e.isFetching=!1,e.page=r,e.entryIds=!r||isNaN(r)||0===r?i:e.entryIds.concat(i);break}case ju:{const{error:n}=t.payload;e.isFetching=!1,e.error=n;break}case Fu:{const{searchTerm:n,request:r}=t.payload;e.isFetching=!0,e.term=n,r&&e.requests.push(r);break}case qu:e.requests=e.requests.filter((e=>e.expires>=new Date));break;case Bu:{const{namespace:n,hits:r}=t.payload;e.isFetching=!1,e.queryHits[n]=r;break}case zu:{const{error:n}=t.payload;e.isFetching=!1,e.error=n}}}),km),Cm=Em;function Sm(e){return Object.values(e).some((e=>e.isLoading))}const Am=bi(((e,t)=>{switch(t.type){case Kc:t.payload.forEach((t=>{e[t.path]={asset:t,isLoading:!1,error:null}}));break;case Yc:{const n=t.payload;e[n.path]={asset:n,isLoading:!1,error:null};break}case Zc:{const n=t.payload;delete e[n];break}case Xc:{const{path:n}=t.payload;e[n]=e[n]||{},e[n].isLoading=!0;break}case Qc:{const{path:n}=t.payload;e[n]=e[n]||{},e[n].isLoading=!1,e[n].error=null;break}case Jc:{const{path:n,error:r}=t.payload;e[n]=e[n]||{},e[n].isLoading=!1,e[n].error=r}}}),{}),Tm="DEPLOY_PREVIEW_REQUEST",Om="DEPLOY_PREVIEW_SUCCESS",Pm="DEPLOY_PREVIEW_FAILURE";function Dm(e,t){return{type:Pm,payload:{collection:e,slug:t}}}const Rm=bi(((e,t)=>{switch(t.type){case Tm:{const{collection:n,slug:r}=t.payload,i=`${n}.${r}`;e[i]=e[i]||{},e[i].isFetching=!0;break}case Om:{const{collection:n,slug:r,url:i,status:o}=t.payload,a=`${n}.${r}`;e[a].isFetching=!1,e[a].url=i,e[a].status=o;break}case Pm:{const{collection:n,slug:r}=t.payload;e[`${n}.${r}`].isFetching=!1;break}}}),{}),Im=Rm,Mm=["DEPLOY_PREVIEW","STATUS_REQUEST","STATUS_SUCCESS","STATUS_FAILURE"];function Lm(e){return Mm.some((t=>e.type.includes(t)))}const Nm=bi(((e,t)=>{!Lm(t)&&t.type.includes("REQUEST")?e.isFetching=!0:Lm(t)||!t.type.includes("SUCCESS")&&!t.type.includes("FAILURE")?t.type===dm&&(e.useOpenAuthoring=!0):e.isFetching=!1}),{isFetching:!1,useOpenAuthoring:!1}),jm="STATUS_REQUEST",Fm="STATUS_SUCCESS",Bm="STATUS_FAILURE";function zm(e){return{type:Fm,payload:{status:e}}}const Um=bi(((e,t)=>{switch(t.type){case jm:e.isFetching=!0;break;case Fm:e.isFetching=!1,e.status=t.payload.status;break;case Bm:e.isFetching=!1,e.error=t.payload.error}}),{isFetching:!1,status:{auth:{status:!0},api:{status:!0,statusPage:""}},error:void 0});function qm(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function $m(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?qm(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):qm(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}const Wm=bi(((e,t)=>{switch(t.type){case nc:e.notifications=[];break;case tc:e.notifications=e.notifications.filter((e=>e.id!==t.id));break;case ec:e.notifications=[...e.notifications,$m({id:xl()},t.payload)]}}),{notifications:[]}),Hm={auth:ym,config:qh,collections:function(e=vf,t){if(t.type===xh){const e=t.payload.collections;let n=(0,kr.OrderedMap)({});return e.forEach((e=>{n=n.set(e.name,(0,kr.fromJS)(e))})),n}return e},search:Cm,integrations:function(e=gh,t){return t.type===xh?mh(t.payload):e},entries:function(e=(0,kr.Map)({entities:(0,kr.Map)(),pages:(0,kr.Map)(),sort:Vp(),viewStyle:Kp()}),t){switch(t.type){case Bd:{const n=t.payload;return e.setIn(["entities",`${n.collection}.${n.slug}`,"isFetching"],!0)}case zd:{const n=t.payload;return Bp=n.collection,$p=n.entry.slug,e.withMutations((e=>{e.setIn(["entities",`${Bp}.${$p}`],(0,kr.fromJS)(n.entry));const t=e.getIn(["pages",Bp,"ids"],(0,kr.List)());t.includes($p)||e.setIn(["pages",Bp,"ids"],t.unshift($p))}))}case qd:{const n=t.payload,r=e.withMutations((e=>{e.setIn(["pages",n.collection,"isFetching"],!0)}));return r}case $d:{const n=t.payload;return Bp=n.collection,zp=n.entries,Up=n.append,qp=n.page,e.withMutations((e=>{zp.forEach((t=>e.setIn(["entities",`${Bp}.${t.slug}`],(0,kr.fromJS)(t).set("isFetching",!1))));const t=(0,kr.List)(zp.map((e=>e.slug)));e.setIn(["pages",Bp],(0,kr.Map)({page:qp,ids:Up?e.getIn(["pages",Bp,"ids"],(0,kr.List)()).concat(t):t}))}))}case Wd:return e.setIn(["pages",t.meta.collection,"isFetching"],!1);case Ud:{const n=t.payload;return e.withMutations((e=>{e.setIn(["entities",`${n.collection}.${n.slug}`,"isFetching"],!1),e.setIn(["entities",`${n.collection}.${n.slug}`,"error"],n.error.message)}))}case Nu:{const n=t.payload;return zp=n.entries,e.withMutations((e=>{zp.forEach((t=>e.setIn(["entities",`${t.collection}.${t.slug}`],(0,kr.fromJS)(t).set("isFetching",!1))))}))}case pp:{const n=t.payload;return e.withMutations((e=>{e.deleteIn(["entities",`${n.collectionName}.${n.entrySlug}`]),e.updateIn(["pages",n.collectionName,"ids"],(e=>e.filter((e=>e!==n.entrySlug))))}))}case Hd:{const n=t.payload,{collection:r,key:i,direction:o}=n,a=e.withMutations((e=>{const t=(0,kr.OrderedMap)({[i]:(0,kr.Map)({key:i,direction:o})});e.setIn(["sort",r],t),e.setIn(["pages",r,"isFetching"],!0),e.deleteIn(["pages",r,"page"])}));return Gp(a.get("sort")),a}case Qd:case Yd:case Vd:{const n=t.payload,{collection:r,entries:i}=n;zp=i;const o=e.withMutations((e=>{zp.forEach((t=>e.setIn(["entities",`${t.collection}.${t.slug}`],(0,kr.fromJS)(t).set("isFetching",!1)))),e.setIn(["pages",r,"isFetching"],!1);const t=(0,kr.List)(zp.map((e=>e.slug)));e.setIn(["pages",r],(0,kr.Map)({page:1,ids:t}))}));return o}case Gd:{const n=t.payload,{collection:r,key:i}=n,o=e.withMutations((e=>{e.deleteIn(["sort",r,i]),e.setIn(["pages",r,"isFetching"],!1)}));return Gp(o.get("sort")),o}case Kd:{const n=t.payload,{collection:r,filter:i}=n,o=e.withMutations((e=>{const t=e.getIn(["filter",r,i.id],(0,kr.fromJS)(i));e.setIn(["filter",r,t.get("id")],t.set("active",!t.get("active")))}));return o}case Zd:{const n=t.payload,{collection:r,filter:i}=n,o=e.withMutations((e=>{e.deleteIn(["filter",r,i.id]),e.setIn(["pages",r,"isFetching"],!1)}));return o}case Xd:{const n=t.payload,{collection:r,group:i}=n,o=e.withMutations((e=>{const t=e.getIn(["group",r,i.id],(0,kr.fromJS)(i));e.deleteIn(["group",r]),e.setIn(["group",r,t.get("id")],t.set("active",!t.get("active")))}));return o}case Jd:{const n=t.payload,{collection:r,group:i}=n,o=e.withMutations((e=>{e.deleteIn(["group",r,i.id]),e.setIn(["pages",r,"isFetching"],!1)}));return o}case mp:{const r=t.payload,{style:i}=r,o=e.withMutations((e=>{e.setIn(["viewStyle"],i)}));return(n=o.get("viewStyle"))?localStorage.setItem(Hp,n):localStorage.removeItem(Hp),o}default:return e}var n},cursors:function(e=(0,kr.fromJS)({cursorsByType:{collectionEntries:{}}}),t){switch(t.type){case $d:return e.setIn(["cursorsByType","collectionEntries",t.payload.collection],Mo.create(t.payload.cursor).store);case Yd:case Qd:case Vd:return e.deleteIn(["cursorsByType","collectionEntries",t.payload.collection]);default:return e}},editorialWorkflow:function(e=(0,kr.Map)(),t){switch(t.type){case xh:return(t.payload&&t.payload.publish_mode)===ll?(0,kr.Map)({entities:(0,kr.Map)(),pages:(0,kr.Map)()}):e;case cd:return e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isFetching"],!0);case pd:return e.deleteIn(["entities",`${t.payload.collection}.${t.payload.slug}`]);case dd:return e.setIn(["entities",`${t.payload.collection}.${t.payload.entry.slug}`],(0,kr.fromJS)(t.payload.entry));case fd:return e.setIn(["pages","isFetching"],!0);case hd:return e.withMutations((e=>{t.payload.entries.forEach((t=>e.setIn(["entities",`${t.collection}.${t.slug}`],(0,kr.fromJS)(t).set("isFetching",!1)))),e.set("pages",(0,kr.Map)(xm(xm({},t.payload.pages),{},{ids:(0,kr.List)(t.payload.entries.map((e=>e.slug)))})))}));case gd:return e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isPersisting"],!0);case vd:return e.withMutations((e=>{e.setIn(["entities",`${t.payload.collection}.${t.payload.entry.get("slug")}`],(0,kr.fromJS)(t.payload.entry)),e.deleteIn(["entities",`${t.payload.collection}.${t.payload.entry.get("slug")}`,"isPersisting"]),e.updateIn(["pages","ids"],(0,kr.List)(),(e=>e.push(t.payload.entry.get("slug"))))}));case yd:return e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isPersisting"],!1);case bd:return e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isUpdatingStatus"],!0);case wd:return e.withMutations((e=>{e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"status"],t.payload.newStatus),e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isUpdatingStatus"],!1)}));case _d:return e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isUpdatingStatus"],!1);case xd:return e.setIn(["entities",`${t.payload.collection}.${t.payload.slug}`,"isPublishing"],!0);case kd:case Cd:return e.deleteIn(["entities",`${t.payload.collection}.${t.payload.slug}`]);default:return e}},entryDraft:function(e=(0,kr.Map)(),t){switch(t.type){case ep:return e.withMutations((e=>{e.set("entry",(0,kr.fromJS)(t.payload.entry)),e.setIn(["entry","newRecord"],!1),e.set("fieldsMetaData",(0,kr.Map)()),e.set("fieldsErrors",(0,kr.Map)()),e.set("hasChanged",!1),e.set("key",xl())}));case tp:return e.withMutations((e=>{e.set("entry",(0,kr.fromJS)(t.payload)),e.setIn(["entry","newRecord"],!0),e.set("fieldsMetaData",(0,kr.Map)()),e.set("fieldsErrors",(0,kr.Map)()),e.set("hasChanged",!1),e.set("key",xl())}));case sp:return e.withMutations((e=>{const t=e.get("localBackup").get("entry");e.delete("localBackup"),e.set("entry",t),e.setIn(["entry","newRecord"],!t.get("path")),e.set("fieldsMetaData",(0,kr.Map)()),e.set("fieldsErrors",(0,kr.Map)()),e.set("hasChanged",!0),e.set("key",xl())}));case lp:return e.withMutations((e=>{e.set("entry",(0,kr.fromJS)(t.payload)),e.setIn(["entry","newRecord"],!0),e.set("mediaFiles",(0,kr.List)()),e.set("fieldsMetaData",(0,kr.Map)()),e.set("fieldsErrors",(0,kr.Map)()),e.set("hasChanged",!0)}));case np:return Ld;case ap:{const{entry:n}=t.payload,r=new kr.Map({entry:(0,kr.fromJS)(n)});return e.set("localBackup",r)}case rp:return e.withMutations((e=>{const{field:n,value:r,metadata:i,entries:o,i18n:a}=t.payload,s=n.get("name"),l=n.get("meta"),u=a&&Vf(a.currentLocale,a.defaultLocale)||["data"];l?e.setIn(["entry","meta",s],r):(e.setIn(["entry",...u,s],r),a&&(e=eh(e,n,a.locales,a.defaultLocale))),e.mergeDeepIn(["fieldsMetaData"],(0,kr.fromJS)(i));const c=e.getIn(["entry",...u]),d=e.getIn(["entry","meta"]);e.set("hasChanged",!o.some((e=>c.equals(e.get(...u))))||!o.some((e=>d.equals(e.get("meta")))))}));case ip:return 0===t.payload.errors.length?e.deleteIn(["fieldsErrors",t.payload.uniquefieldId]):e.setIn(["fieldsErrors",t.payload.uniquefieldId],t.payload.errors);case op:{const{uniqueFieldId:n}=t.payload;return e.deleteIn(["fieldsErrors",n])}case up:case gd:return e.setIn(["entry","isPersisting"],!0);case dp:case yd:return e.deleteIn(["entry","isPersisting"]);case bd:return e.setIn(["entry","isUpdatingStatus"],!0);case _d:case wd:return e.deleteIn(["entry","isUpdatingStatus"]);case xd:return e.setIn(["entry","isPublishing"],!0);case kd:case Ed:return e.deleteIn(["entry","isPublishing"]);case cp:case vd:return e.withMutations((e=>{e.deleteIn(["entry","isPersisting"]),e.set("hasChanged",!1),e.getIn(["entry","slug"])||e.setIn(["entry","slug"],t.payload.slug)}));case pp:return e.withMutations((e=>{e.deleteIn(["entry","isPersisting"]),e.set("hasChanged",!1)}));case fp:return e.withMutations((e=>{const n=e.getIn(["entry","mediaFiles"]);e.setIn(["entry","mediaFiles"],n.filterNot((e=>e.get("id")===t.payload.id)).insert(0,(0,kr.fromJS)(t.payload))),e.set("hasChanged",!0)}));case hp:return e.withMutations((e=>{const n=e.getIn(["entry","mediaFiles"]);e.setIn(["entry","mediaFiles"],n.filterNot((e=>e.get("id")===t.payload.id))),e.set("hasChanged",!0)}));default:return e}},medias:Am,mediaLibrary:function(e=(0,kr.Map)(Hc),t){switch(t.type){case uc:return e.withMutations((e=>{e.set("externalLibrary",t.payload),e.set("showMediaButton",t.payload.enableStandalone())}));case sc:{const{controlID:n,forImage:r,privateUpload:i,config:o,field:a,value:s,replaceIndex:l}=t.payload,u=o||(0,kr.Map)();return e.get("privateUpload")!==i?(0,kr.Map)({isVisible:!0,forImage:r,controlID:n,canInsert:!!n,privateUpload:i,config:u,controlMedia:(0,kr.Map)(),displayURLs:(0,kr.Map)(),field:a,value:s,replaceIndex:l}):e.withMutations((e=>{e.set("isVisible",!0),e.set("forImage",null!=r&&r),e.set("controlID",null!=n?n:""),e.set("canInsert",!!n),e.set("privateUpload",i),e.set("config",u),e.set("field",null!=a?a:""),e.set("value",""==s&&u.get("multiple")?[]:null!=s?s:""),e.set("replaceIndex",null!=l&&l)}))}case lc:return e.set("isVisible",!1);case cc:{const{mediaPath:n}=t.payload,r=e.get("controlID"),i=e.get("value");if(!Array.isArray(i))return e.withMutations((e=>{e.setIn(["controlMedia",r],n)}));const o=e.get("replaceIndex"),a=Array.isArray(n)?n:[n],s=i;return"number"==typeof o?s[o]=a[0]:s.push(...a),e.withMutations((e=>{e.setIn(["controlMedia",r],s)}))}case dc:{const n=t.payload.controlID;return e.setIn(["controlMedia",n],"")}case pc:return e.withMutations((e=>{e.set("isLoading",!0),e.set("isPaginating",t.payload.page>1)}));case fc:{const{files:n=[],page:r,canPaginate:i,dynamicSearch:o,dynamicSearchQuery:a,privateUpload:s}=t.payload;if(e.get("privateUpload")!==s)return e;const l=n.map((e=>Wc(Wc({},e),{},{key:xl()})));return e.withMutations((e=>{if(e.set("isLoading",!1),e.set("isPaginating",!1),e.set("page",null!=r?r:1),e.set("hasNextPage",!!(i&&n.length>0)),e.set("dynamicSearch",null!=o&&o),e.set("dynamicSearchQuery",null!=a?a:""),e.set("dynamicSearchActive",!!a),r&&r>1){const t=e.get("files").concat(l);e.set("files",t)}else e.set("files",l)}))}case hc:return e.get("privateUpload")!==t.payload.privateUpload?e:e.set("isLoading",!1);case mc:return e.set("isPersisting",!0);case gc:{const{file:n,privateUpload:r}=t.payload;return e.get("privateUpload")!==r?e:e.withMutations((e=>{const t=[Wc(Wc({},n),{},{key:xl()}),...e.get("files")];e.set("files",t),e.set("isPersisting",!1)}))}case vc:return e.get("privateUpload")!==t.payload.privateUpload?e:e.set("isPersisting",!1);case yc:return e.set("isDeleting",!0);case bc:{const{file:n,privateUpload:r}=t.payload,{key:i,id:o}=n;return e.get("privateUpload")!==r?e:e.withMutations((e=>{const t=e.get("files").filter((e=>i?e.key!==i:e.id!==o));e.set("files",t),e.deleteIn(["displayURLs",o]),e.set("isDeleting",!1)}))}case wc:return e.get("privateUpload")!==t.payload.privateUpload?e:e.set("isDeleting",!1);case _c:return e.setIn(["displayURLs",t.payload.key,"isFetching"],!0);case xc:{const n=["displayURLs",t.payload.key];return e.setIn([...n,"isFetching"],!1).setIn([...n,"url"],t.payload.url)}case kc:{const n=["displayURLs",t.payload.key];return e.setIn([...n,"isFetching"],!1).setIn([...n,"err"],t.payload.err||!0).deleteIn([...n,"url"])}default:return e}},deploys:Im,globalUI:Nm,status:Um,notifications:Wm};function Vm(e,t,n){return Jp(e.entries,t,n)}function Gm(e,t){return ef(e.entries,t)}function Km(e,t,n){return function(e,t,n){return e[`${t}.${n}`]}(e.deploys,t,n)}function Ym(e,t,n){return function(e,t,n){return e&&e.getIn(["entities",`${t}.${n}`])}(e.editorialWorkflow,t,n)}function Zm(e,t,n){return vh(e.integrations,t,n)}function Xm(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}const Qm=(0,vr.MT)((0,vr.UY)(function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Xm(Object(n),!0).forEach((function(t){var r,i,o;r=e,i=t,o=n[t],i=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(i),i in r?Object.defineProperty(r,i,{value:o,enumerable:!0,configurable:!0,writable:!0}):r[i]=o})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Xm(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({},Hm)),(0,yr.Uo)((0,vr.md)(_r,(({dispatch:e,getState:t})=>{let n=[];return r=>i=>{if(i.type===xr)return n.push(i),null;const o=r(i);return function(r){const i=[],o=[];for(const e of n)e.predicate(r)?i.push(e):o.push(e);n=o;for(const n of i)n.run(e,t,r)}(i),o}}))));var Jm=__webpack_require__(98537),eg=__webpack_require__.n(Jm);function tg(e){return eg()({},Hs("en"),Hs(e))}function ng(e){var t=null;return function(){return null==t&&(t=e()),t}}function rg(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function ig(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var og=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),ig(this,"entered",[]),ig(this,"isNodeInDocument",void 0),this.isNodeInDocument=t}var t,n;return t=e,n=[{key:"enter",value:function(e){var t=this,n=this.entered.length;return this.entered=function(e,t){var n=new Set,r=function(e){return n.add(e)};e.forEach(r),t.forEach(r);var i=[];return n.forEach((function(e){return i.push(e)})),i}(this.entered.filter((function(n){return t.isNodeInDocument(n)&&(!n.contains||n.contains(e))})),[e]),0===n&&this.entered.length>0}},{key:"leave",value:function(e){var t,n,r=this.entered.length;return this.entered=(t=this.entered.filter(this.isNodeInDocument),n=e,t.filter((function(e){return e!==n}))),r>0&&0===this.entered.length}},{key:"reset",value:function(){this.entered=[]}}],n&&rg(t.prototype,n),e}(),ag=ng((function(){return/firefox/i.test(navigator.userAgent)})),sg=ng((function(){return Boolean(window.safari)}));function lg(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function ug(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var cg=function(){function e(t,n){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),ug(this,"xs",void 0),ug(this,"ys",void 0),ug(this,"c1s",void 0),ug(this,"c2s",void 0),ug(this,"c3s",void 0);for(var r=t.length,i=[],o=0;o<r;o++)i.push(o);i.sort((function(e,n){return t[e]<t[n]?-1:1}));for(var a,s,l=[],u=[],c=[],d=0;d<r-1;d++)a=t[d+1]-t[d],s=n[d+1]-n[d],u.push(a),l.push(s),c.push(s/a);for(var p=[c[0]],f=0;f<u.length-1;f++){var h=c[f],m=c[f+1];if(h*m<=0)p.push(0);else{a=u[f];var g=u[f+1],v=a+g;p.push(3*v/((v+g)/h+(v+a)/m))}}p.push(c[c.length-1]);for(var y,b=[],w=[],_=0;_<p.length-1;_++){y=c[_];var x=p[_],k=1/u[_],E=x+p[_+1]-y-y;b.push((y-x-E)*k),w.push(E*k*k)}this.xs=t,this.ys=n,this.c1s=p,this.c2s=b,this.c3s=w}var t,n;return t=e,n=[{key:"interpolate",value:function(e){var t=this.xs,n=this.ys,r=this.c1s,i=this.c2s,o=this.c3s,a=t.length-1;if(e===t[a])return n[a];for(var s,l=0,u=o.length-1;l<=u;){var c=t[s=Math.floor(.5*(l+u))];if(c<e)l=s+1;else{if(!(c>e))return n[s];u=s-1}}var d=e-t[a=Math.max(0,u)],p=d*d;return n[a]+r[a]*d+i[a]*p+o[a]*d*p}}],n&&lg(t.prototype,n),e}(),dg=1;function pg(e){var t=e.nodeType===dg?e:e.parentElement;if(!t)return null;var n=t.getBoundingClientRect(),r=n.top;return{x:n.left,y:r}}function fg(e){return{x:e.clientX,y:e.clientY}}var hg,mg="__NATIVE_FILE__",gg="__NATIVE_URL__",vg="__NATIVE_TEXT__",yg="__NATIVE_HTML__";function bg(e,t,n){var r=t.reduce((function(t,n){return t||e.getData(n)}),"");return null!=r?r:n}function wg(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var _g=(wg(hg={},mg,{exposeProperties:{files:function(e){return Array.prototype.slice.call(e.files)},items:function(e){return e.items},dataTransfer:function(e){return e}},matchesTypes:["Files"]}),wg(hg,yg,{exposeProperties:{html:function(e,t){return bg(e,t,"")},dataTransfer:function(e){return e}},matchesTypes:["Html","text/html"]}),wg(hg,gg,{exposeProperties:{urls:function(e,t){return bg(e,t,"").split("\n")},dataTransfer:function(e){return e}},matchesTypes:["Url","text/uri-list"]}),wg(hg,vg,{exposeProperties:{text:function(e,t){return bg(e,t,"")},dataTransfer:function(e){return e}},matchesTypes:["Text","text/plain"]}),hg);function xg(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function kg(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Eg=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),kg(this,"item",void 0),kg(this,"config",void 0),this.config=t,this.item={},this.initializeExposedProperties()}var t,n;return t=e,(n=[{key:"initializeExposedProperties",value:function(){var e=this;Object.keys(this.config.exposeProperties).forEach((function(t){Object.defineProperty(e.item,t,{configurable:!0,enumerable:!0,get:function(){return console.warn("Browser doesn't allow reading \"".concat(t,'" until the drop event.')),null}})}))}},{key:"loadDataTransfer",value:function(e){var t=this;if(e){var n={};Object.keys(this.config.exposeProperties).forEach((function(r){n[r]={value:t.config.exposeProperties[r](e,t.config.matchesTypes),configurable:!0,enumerable:!0}})),Object.defineProperties(this.item,n)}}},{key:"canDrag",value:function(){return!0}},{key:"beginDrag",value:function(){return this.item}},{key:"isDragging",value:function(e,t){return t===e.getSourceId()}},{key:"endDrag",value:function(){}}])&&xg(t.prototype,n),e}();function Cg(e){if(!e)return null;var t=Array.prototype.slice.call(e.types||[]);return Object.keys(_g).filter((function(e){return _g[e].matchesTypes.some((function(e){return t.indexOf(e)>-1}))}))[0]||null}function Sg(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Ag(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Tg=function(){function e(t,n){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Ag(this,"ownerDocument",null),Ag(this,"globalContext",void 0),Ag(this,"optionsArgs",void 0),this.globalContext=t,this.optionsArgs=n}var t,n;return t=e,(n=[{key:"window",get:function(){return this.globalContext?this.globalContext:"undefined"!=typeof window?window:void 0}},{key:"document",get:function(){var e;return null!==(e=this.globalContext)&&void 0!==e&&e.document?this.globalContext.document:this.window?this.window.document:void 0}},{key:"rootElement",get:function(){var e;return(null===(e=this.optionsArgs)||void 0===e?void 0:e.rootElement)||this.window}}])&&Sg(t.prototype,n),e}();function Og(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Pg(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Og(Object(n),!0).forEach((function(t){Rg(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Og(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Dg(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Rg(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Ig=function(){function e(t,n,r){var i=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Rg(this,"options",void 0),Rg(this,"actions",void 0),Rg(this,"monitor",void 0),Rg(this,"registry",void 0),Rg(this,"enterLeaveCounter",void 0),Rg(this,"sourcePreviewNodes",new Map),Rg(this,"sourcePreviewNodeOptions",new Map),Rg(this,"sourceNodes",new Map),Rg(this,"sourceNodeOptions",new Map),Rg(this,"dragStartSourceIds",null),Rg(this,"dropTargetIds",[]),Rg(this,"dragEnterTargetIds",[]),Rg(this,"currentNativeSource",null),Rg(this,"currentNativeHandle",null),Rg(this,"currentDragSourceNode",null),Rg(this,"altKeyPressed",!1),Rg(this,"mouseMoveTimeoutTimer",null),Rg(this,"asyncEndDragFrameId",null),Rg(this,"dragOverTargetIds",null),Rg(this,"lastClientOffset",null),Rg(this,"hoverRafId",null),Rg(this,"getSourceClientOffset",(function(e){var t=i.sourceNodes.get(e);return t&&pg(t)||null})),Rg(this,"endDragNativeItem",(function(){i.isDraggingNativeItem()&&(i.actions.endDrag(),i.currentNativeHandle&&i.registry.removeSource(i.currentNativeHandle),i.currentNativeHandle=null,i.currentNativeSource=null)})),Rg(this,"isNodeInDocument",(function(e){return Boolean(e&&i.document&&i.document.body&&i.document.body.contains(e))})),Rg(this,"endDragIfSourceWasRemovedFromDOM",(function(){var e=i.currentDragSourceNode;null==e||i.isNodeInDocument(e)||i.clearCurrentDragSourceNode()&&i.monitor.isDragging()&&i.actions.endDrag()})),Rg(this,"handleTopDragStartCapture",(function(){i.clearCurrentDragSourceNode(),i.dragStartSourceIds=[]})),Rg(this,"handleTopDragStart",(function(e){if(!e.defaultPrevented){var t=i.dragStartSourceIds;i.dragStartSourceIds=null;var n=fg(e);i.monitor.isDragging()&&i.actions.endDrag(),i.actions.beginDrag(t||[],{publishSource:!1,getSourceClientOffset:i.getSourceClientOffset,clientOffset:n});var r=e.dataTransfer,o=Cg(r);if(i.monitor.isDragging()){if(r&&"function"==typeof r.setDragImage){var a=i.monitor.getSourceId(),s=i.sourceNodes.get(a),l=i.sourcePreviewNodes.get(a)||s;if(l){var u=i.getCurrentSourcePreviewNodeOptions(),c=function(e,t,n,r,i){var o=function(e){var t;return"IMG"===e.nodeName&&(ag()||!(null!==(t=document.documentElement)&&void 0!==t&&t.contains(e)))}(t),a=pg(o?e:t),s={x:n.x-a.x,y:n.y-a.y},l=e.offsetWidth,u=e.offsetHeight,c=r.anchorX,d=r.anchorY,p=function(e,t,n,r){var i=e?t.width:n,o=e?t.height:r;return sg()&&e&&(o/=window.devicePixelRatio,i/=window.devicePixelRatio),{dragPreviewWidth:i,dragPreviewHeight:o}}(o,t,l,u),f=p.dragPreviewWidth,h=p.dragPreviewHeight,m=i.offsetX,g=i.offsetY,v=0===g||g;return{x:0===m||m?m:new cg([0,.5,1],[s.x,s.x/l*f,s.x+f-l]).interpolate(c),y:v?g:function(){var e=new cg([0,.5,1],[s.y,s.y/u*h,s.y+h-u]).interpolate(d);return sg()&&o&&(e+=(window.devicePixelRatio-1)*h),e}()}}(s,l,n,{anchorX:u.anchorX,anchorY:u.anchorY},{offsetX:u.offsetX,offsetY:u.offsetY});r.setDragImage(l,c.x,c.y)}}try{null==r||r.setData("application/json",{})}catch(e){}i.setCurrentDragSourceNode(e.target),i.getCurrentSourcePreviewNodeOptions().captureDraggingState?i.actions.publishDragSource():setTimeout((function(){return i.actions.publishDragSource()}),0)}else if(o)i.beginDragNativeItem(o);else{if(r&&!r.types&&(e.target&&!e.target.hasAttribute||!e.target.hasAttribute("draggable")))return;e.preventDefault()}}})),Rg(this,"handleTopDragEndCapture",(function(){i.clearCurrentDragSourceNode()&&i.monitor.isDragging()&&i.actions.endDrag()})),Rg(this,"handleTopDragEnterCapture",(function(e){if(i.dragEnterTargetIds=[],i.enterLeaveCounter.enter(e.target)&&!i.monitor.isDragging()){var t=e.dataTransfer,n=Cg(t);n&&i.beginDragNativeItem(n,t)}})),Rg(this,"handleTopDragEnter",(function(e){var t=i.dragEnterTargetIds;i.dragEnterTargetIds=[],i.monitor.isDragging()&&(i.altKeyPressed=e.altKey,t.length>0&&i.actions.hover(t,{clientOffset:fg(e)}),t.some((function(e){return i.monitor.canDropOnTarget(e)}))&&(e.preventDefault(),e.dataTransfer&&(e.dataTransfer.dropEffect=i.getCurrentDropEffect())))})),Rg(this,"handleTopDragOverCapture",(function(){i.dragOverTargetIds=[]})),Rg(this,"handleTopDragOver",(function(e){var t=i.dragOverTargetIds;if(i.dragOverTargetIds=[],!i.monitor.isDragging())return e.preventDefault(),void(e.dataTransfer&&(e.dataTransfer.dropEffect="none"));i.altKeyPressed=e.altKey,i.lastClientOffset=fg(e),null===i.hoverRafId&&"undefined"!=typeof requestAnimationFrame&&(i.hoverRafId=requestAnimationFrame((function(){i.monitor.isDragging()&&i.actions.hover(t||[],{clientOffset:i.lastClientOffset}),i.hoverRafId=null}))),(t||[]).some((function(e){return i.monitor.canDropOnTarget(e)}))?(e.preventDefault(),e.dataTransfer&&(e.dataTransfer.dropEffect=i.getCurrentDropEffect())):i.isDraggingNativeItem()?e.preventDefault():(e.preventDefault(),e.dataTransfer&&(e.dataTransfer.dropEffect="none"))})),Rg(this,"handleTopDragLeaveCapture",(function(e){i.isDraggingNativeItem()&&e.preventDefault(),i.enterLeaveCounter.leave(e.target)&&i.isDraggingNativeItem()&&setTimeout((function(){return i.endDragNativeItem()}),0)})),Rg(this,"handleTopDropCapture",(function(e){var t;i.dropTargetIds=[],i.isDraggingNativeItem()?(e.preventDefault(),null===(t=i.currentNativeSource)||void 0===t||t.loadDataTransfer(e.dataTransfer)):Cg(e.dataTransfer)&&e.preventDefault(),i.enterLeaveCounter.reset()})),Rg(this,"handleTopDrop",(function(e){var t=i.dropTargetIds;i.dropTargetIds=[],i.actions.hover(t,{clientOffset:fg(e)}),i.actions.drop({dropEffect:i.getCurrentDropEffect()}),i.isDraggingNativeItem()?i.endDragNativeItem():i.monitor.isDragging()&&i.actions.endDrag()})),Rg(this,"handleSelectStart",(function(e){var t=e.target;"function"==typeof t.dragDrop&&("INPUT"===t.tagName||"SELECT"===t.tagName||"TEXTAREA"===t.tagName||t.isContentEditable||(e.preventDefault(),t.dragDrop()))})),this.options=new Tg(n,r),this.actions=t.getActions(),this.monitor=t.getMonitor(),this.registry=t.getRegistry(),this.enterLeaveCounter=new og(this.isNodeInDocument)}var n,r;return n=e,r=[{key:"profile",value:function(){var e,t;return{sourcePreviewNodes:this.sourcePreviewNodes.size,sourcePreviewNodeOptions:this.sourcePreviewNodeOptions.size,sourceNodeOptions:this.sourceNodeOptions.size,sourceNodes:this.sourceNodes.size,dragStartSourceIds:(null===(e=this.dragStartSourceIds)||void 0===e?void 0:e.length)||0,dropTargetIds:this.dropTargetIds.length,dragEnterTargetIds:this.dragEnterTargetIds.length,dragOverTargetIds:(null===(t=this.dragOverTargetIds)||void 0===t?void 0:t.length)||0}}},{key:"window",get:function(){return this.options.window}},{key:"document",get:function(){return this.options.document}},{key:"rootElement",get:function(){return this.options.rootElement}},{key:"setup",value:function(){var e=this.rootElement;if(void 0!==e){if(e.__isReactDndBackendSetUp)throw new Error("Cannot have two HTML5 backends at the same time.");e.__isReactDndBackendSetUp=!0,this.addEventListeners(e)}}},{key:"teardown",value:function(){var e,t=this.rootElement;void 0!==t&&(t.__isReactDndBackendSetUp=!1,this.removeEventListeners(this.rootElement),this.clearCurrentDragSourceNode(),this.asyncEndDragFrameId&&(null===(e=this.window)||void 0===e||e.cancelAnimationFrame(this.asyncEndDragFrameId)))}},{key:"connectDragPreview",value:function(e,t,n){var r=this;return this.sourcePreviewNodeOptions.set(e,n),this.sourcePreviewNodes.set(e,t),function(){r.sourcePreviewNodes.delete(e),r.sourcePreviewNodeOptions.delete(e)}}},{key:"connectDragSource",value:function(e,t,n){var r=this;this.sourceNodes.set(e,t),this.sourceNodeOptions.set(e,n);var i=function(t){return r.handleDragStart(t,e)},o=function(e){return r.handleSelectStart(e)};return t.setAttribute("draggable","true"),t.addEventListener("dragstart",i),t.addEventListener("selectstart",o),function(){r.sourceNodes.delete(e),r.sourceNodeOptions.delete(e),t.removeEventListener("dragstart",i),t.removeEventListener("selectstart",o),t.setAttribute("draggable","false")}}},{key:"connectDropTarget",value:function(e,t){var n=this,r=function(t){return n.handleDragEnter(t,e)},i=function(t){return n.handleDragOver(t,e)},o=function(t){return n.handleDrop(t,e)};return t.addEventListener("dragenter",r),t.addEventListener("dragover",i),t.addEventListener("drop",o),function(){t.removeEventListener("dragenter",r),t.removeEventListener("dragover",i),t.removeEventListener("drop",o)}}},{key:"addEventListeners",value:function(e){e.addEventListener&&(e.addEventListener("dragstart",this.handleTopDragStart),e.addEventListener("dragstart",this.handleTopDragStartCapture,!0),e.addEventListener("dragend",this.handleTopDragEndCapture,!0),e.addEventListener("dragenter",this.handleTopDragEnter),e.addEventListener("dragenter",this.handleTopDragEnterCapture,!0),e.addEventListener("dragleave",this.handleTopDragLeaveCapture,!0),e.addEventListener("dragover",this.handleTopDragOver),e.addEventListener("dragover",this.handleTopDragOverCapture,!0),e.addEventListener("drop",this.handleTopDrop),e.addEventListener("drop",this.handleTopDropCapture,!0))}},{key:"removeEventListeners",value:function(e){e.removeEventListener&&(e.removeEventListener("dragstart",this.handleTopDragStart),e.removeEventListener("dragstart",this.handleTopDragStartCapture,!0),e.removeEventListener("dragend",this.handleTopDragEndCapture,!0),e.removeEventListener("dragenter",this.handleTopDragEnter),e.removeEventListener("dragenter",this.handleTopDragEnterCapture,!0),e.removeEventListener("dragleave",this.handleTopDragLeaveCapture,!0),e.removeEventListener("dragover",this.handleTopDragOver),e.removeEventListener("dragover",this.handleTopDragOverCapture,!0),e.removeEventListener("drop",this.handleTopDrop),e.removeEventListener("drop",this.handleTopDropCapture,!0))}},{key:"getCurrentSourceNodeOptions",value:function(){var e=this.monitor.getSourceId(),t=this.sourceNodeOptions.get(e);return Pg({dropEffect:this.altKeyPressed?"copy":"move"},t||{})}},{key:"getCurrentDropEffect",value:function(){return this.isDraggingNativeItem()?"copy":this.getCurrentSourceNodeOptions().dropEffect}},{key:"getCurrentSourcePreviewNodeOptions",value:function(){var e=this.monitor.getSourceId();return Pg({anchorX:.5,anchorY:.5,captureDraggingState:!1},this.sourcePreviewNodeOptions.get(e)||{})}},{key:"isDraggingNativeItem",value:function(){var e=this.monitor.getItemType();return Object.keys(t).some((function(n){return t[n]===e}))}},{key:"beginDragNativeItem",value:function(e,t){this.clearCurrentDragSourceNode(),this.currentNativeSource=function(e,t){var n=new Eg(_g[e]);return n.loadDataTransfer(t),n}(e,t),this.currentNativeHandle=this.registry.addSource(e,this.currentNativeSource),this.actions.beginDrag([this.currentNativeHandle])}},{key:"setCurrentDragSourceNode",value:function(e){var t=this;this.clearCurrentDragSourceNode(),this.currentDragSourceNode=e,this.mouseMoveTimeoutTimer=setTimeout((function(){var e;return null===(e=t.rootElement)||void 0===e?void 0:e.addEventListener("mousemove",t.endDragIfSourceWasRemovedFromDOM,!0)}),1e3)}},{key:"clearCurrentDragSourceNode",value:function(){var e;return!!this.currentDragSourceNode&&(this.currentDragSourceNode=null,this.rootElement&&(null===(e=this.window)||void 0===e||e.clearTimeout(this.mouseMoveTimeoutTimer||void 0),this.rootElement.removeEventListener("mousemove",this.endDragIfSourceWasRemovedFromDOM,!0)),this.mouseMoveTimeoutTimer=null,!0)}},{key:"handleDragStart",value:function(e,t){e.defaultPrevented||(this.dragStartSourceIds||(this.dragStartSourceIds=[]),this.dragStartSourceIds.unshift(t))}},{key:"handleDragEnter",value:function(e,t){this.dragEnterTargetIds.unshift(t)}},{key:"handleDragOver",value:function(e,t){null===this.dragOverTargetIds&&(this.dragOverTargetIds=[]),this.dragOverTargetIds.unshift(t)}},{key:"handleDrop",value:function(e,t){this.dropTargetIds.unshift(t)}}],r&&Dg(n.prototype,r),e}(),Mg=function(e,t,n){return new Ig(e,t,n)};function Lg(e,t){for(var n=arguments.length,r=new Array(n>2?n-2:0),i=2;i<n;i++)r[i-2]=arguments[i];if(!e){var o;if(void 0===t)o=new Error("Minified exception occurred; use the non-minified dev environment for the full error message and additional helpful warnings.");else{var a=0;(o=new Error(t.replace(/%s/g,(function(){return r[a++]})))).name="Invariant Violation"}throw o.framesToPop=1,o}}function Ng(e,t,n){var r=n.getRegistry(),i=r.addTarget(e,t);return[i,function(){return r.removeTarget(i)}]}function jg(e,t,n){var r=n.getRegistry(),i=r.addSource(e,t);return[i,function(){return r.removeSource(i)}]}function Fg(e){var t={};return Object.keys(e).forEach((function(n){var r=e[n];if(n.endsWith("Ref"))t[n]=e[n];else{var i=function(e){return function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:null;if(!(0,o.isValidElement)(t)){var r=t;return e(r,n),r}var i=t;!function(e){if("string"!=typeof e.type){var t=e.type.displayName||e.type.name||"the component";throw new Error("Only native element nodes can now be passed to React DnD connectors."+"You can either wrap ".concat(t," into a <div>, or turn it into a ")+"drag source or a drop target itself.")}}(i);var a=n?function(t){return e(t,n)}:e;return function(e,t){var n=e.ref;return Lg("string"!=typeof n,"Cannot connect React DnD to an element with an existing string ref. Please convert it to use a callback ref instead, or wrap it into a <span> or <div>. Read more: https://reactjs.org/docs/refs-and-the-dom.html#callback-refs"),n?(0,o.cloneElement)(e,{ref:function(e){Bg(n,e),Bg(t,e)}}):(0,o.cloneElement)(e,{ref:t})}(i,a)}}(r);t[n]=function(){return i}}})),t}function Bg(e,t){"function"==typeof e?e(t):e.current=t}function zg(e){return zg="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},zg(e)}function Ug(e){return null!==e&&"object"===zg(e)&&Object.prototype.hasOwnProperty.call(e,"current")}function qg(e,t,n,r){var i=n?n.call(r,e,t):void 0;if(void 0!==i)return!!i;if(e===t)return!0;if("object"!=typeof e||!e||"object"!=typeof t||!t)return!1;var o=Object.keys(e),a=Object.keys(t);if(o.length!==a.length)return!1;for(var s=Object.prototype.hasOwnProperty.bind(t),l=0;l<o.length;l++){var u=o[l];if(!s(u))return!1;var c=e[u],d=t[u];if(!1===(i=n?n.call(r,c,d,u):void 0)||void 0===i&&c!==d)return!1}return!0}function $g(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Wg(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Hg=function(){function e(t){var n=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Wg(this,"hooks",Fg({dragSource:function(e,t){n.clearDragSource(),n.dragSourceOptions=t||null,Ug(e)?n.dragSourceRef=e:n.dragSourceNode=e,n.reconnectDragSource()},dragPreview:function(e,t){n.clearDragPreview(),n.dragPreviewOptions=t||null,Ug(e)?n.dragPreviewRef=e:n.dragPreviewNode=e,n.reconnectDragPreview()}})),Wg(this,"handlerId",null),Wg(this,"dragSourceRef",null),Wg(this,"dragSourceNode",void 0),Wg(this,"dragSourceOptionsInternal",null),Wg(this,"dragSourceUnsubscribe",void 0),Wg(this,"dragPreviewRef",null),Wg(this,"dragPreviewNode",void 0),Wg(this,"dragPreviewOptionsInternal",null),Wg(this,"dragPreviewUnsubscribe",void 0),Wg(this,"lastConnectedHandlerId",null),Wg(this,"lastConnectedDragSource",null),Wg(this,"lastConnectedDragSourceOptions",null),Wg(this,"lastConnectedDragPreview",null),Wg(this,"lastConnectedDragPreviewOptions",null),Wg(this,"backend",void 0),this.backend=t}var t,n;return t=e,n=[{key:"receiveHandlerId",value:function(e){this.handlerId!==e&&(this.handlerId=e,this.reconnect())}},{key:"connectTarget",get:function(){return this.dragSource}},{key:"dragSourceOptions",get:function(){return this.dragSourceOptionsInternal},set:function(e){this.dragSourceOptionsInternal=e}},{key:"dragPreviewOptions",get:function(){return this.dragPreviewOptionsInternal},set:function(e){this.dragPreviewOptionsInternal=e}},{key:"reconnect",value:function(){this.reconnectDragSource(),this.reconnectDragPreview()}},{key:"reconnectDragSource",value:function(){var e=this.dragSource,t=this.didHandlerIdChange()||this.didConnectedDragSourceChange()||this.didDragSourceOptionsChange();t&&this.disconnectDragSource(),this.handlerId&&(e?t&&(this.lastConnectedHandlerId=this.handlerId,this.lastConnectedDragSource=e,this.lastConnectedDragSourceOptions=this.dragSourceOptions,this.dragSourceUnsubscribe=this.backend.connectDragSource(this.handlerId,e,this.dragSourceOptions)):this.lastConnectedDragSource=e)}},{key:"reconnectDragPreview",value:function(){var e=this.dragPreview,t=this.didHandlerIdChange()||this.didConnectedDragPreviewChange()||this.didDragPreviewOptionsChange();t&&this.disconnectDragPreview(),this.handlerId&&(e?t&&(this.lastConnectedHandlerId=this.handlerId,this.lastConnectedDragPreview=e,this.lastConnectedDragPreviewOptions=this.dragPreviewOptions,this.dragPreviewUnsubscribe=this.backend.connectDragPreview(this.handlerId,e,this.dragPreviewOptions)):this.lastConnectedDragPreview=e)}},{key:"didHandlerIdChange",value:function(){return this.lastConnectedHandlerId!==this.handlerId}},{key:"didConnectedDragSourceChange",value:function(){return this.lastConnectedDragSource!==this.dragSource}},{key:"didConnectedDragPreviewChange",value:function(){return this.lastConnectedDragPreview!==this.dragPreview}},{key:"didDragSourceOptionsChange",value:function(){return!qg(this.lastConnectedDragSourceOptions,this.dragSourceOptions)}},{key:"didDragPreviewOptionsChange",value:function(){return!qg(this.lastConnectedDragPreviewOptions,this.dragPreviewOptions)}},{key:"disconnectDragSource",value:function(){this.dragSourceUnsubscribe&&(this.dragSourceUnsubscribe(),this.dragSourceUnsubscribe=void 0)}},{key:"disconnectDragPreview",value:function(){this.dragPreviewUnsubscribe&&(this.dragPreviewUnsubscribe(),this.dragPreviewUnsubscribe=void 0,this.dragPreviewNode=null,this.dragPreviewRef=null)}},{key:"dragSource",get:function(){return this.dragSourceNode||this.dragSourceRef&&this.dragSourceRef.current}},{key:"dragPreview",get:function(){return this.dragPreviewNode||this.dragPreviewRef&&this.dragPreviewRef.current}},{key:"clearDragSource",value:function(){this.dragSourceNode=null,this.dragSourceRef=null}},{key:"clearDragPreview",value:function(){this.dragPreviewNode=null,this.dragPreviewRef=null}}],n&&$g(t.prototype,n),e}();function Vg(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Gg(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Kg=!1,Yg=!1,Zg=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Gg(this,"internalMonitor",void 0),Gg(this,"sourceId",null),this.internalMonitor=t.getMonitor()}var t,n;return t=e,n=[{key:"receiveHandlerId",value:function(e){this.sourceId=e}},{key:"getHandlerId",value:function(){return this.sourceId}},{key:"canDrag",value:function(){Lg(!Kg,"You may not call monitor.canDrag() inside your canDrag() implementation. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source-monitor");try{return Kg=!0,this.internalMonitor.canDragSource(this.sourceId)}finally{Kg=!1}}},{key:"isDragging",value:function(){if(!this.sourceId)return!1;Lg(!Yg,"You may not call monitor.isDragging() inside your isDragging() implementation. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source-monitor");try{return Yg=!0,this.internalMonitor.isDraggingSource(this.sourceId)}finally{Yg=!1}}},{key:"subscribeToStateChange",value:function(e,t){return this.internalMonitor.subscribeToStateChange(e,t)}},{key:"isDraggingSource",value:function(e){return this.internalMonitor.isDraggingSource(e)}},{key:"isOverTarget",value:function(e,t){return this.internalMonitor.isOverTarget(e,t)}},{key:"getTargetIds",value:function(){return this.internalMonitor.getTargetIds()}},{key:"isSourcePublic",value:function(){return this.internalMonitor.isSourcePublic()}},{key:"getSourceId",value:function(){return this.internalMonitor.getSourceId()}},{key:"subscribeToOffsetChange",value:function(e){return this.internalMonitor.subscribeToOffsetChange(e)}},{key:"canDragSource",value:function(e){return this.internalMonitor.canDragSource(e)}},{key:"canDropOnTarget",value:function(e){return this.internalMonitor.canDropOnTarget(e)}},{key:"getItemType",value:function(){return this.internalMonitor.getItemType()}},{key:"getItem",value:function(){return this.internalMonitor.getItem()}},{key:"getDropResult",value:function(){return this.internalMonitor.getDropResult()}},{key:"didDrop",value:function(){return this.internalMonitor.didDrop()}},{key:"getInitialClientOffset",value:function(){return this.internalMonitor.getInitialClientOffset()}},{key:"getInitialSourceClientOffset",value:function(){return this.internalMonitor.getInitialSourceClientOffset()}},{key:"getSourceClientOffset",value:function(){return this.internalMonitor.getSourceClientOffset()}},{key:"getClientOffset",value:function(){return this.internalMonitor.getClientOffset()}},{key:"getDifferenceFromInitialOffset",value:function(){return this.internalMonitor.getDifferenceFromInitialOffset()}}],n&&Vg(t.prototype,n),e}();function Xg(e){return Xg="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},Xg(e)}function Qg(e){var t=e.current;return null==t?null:t.decoratedRef?t.decoratedRef.current:t}function Jg(e){return"function"==typeof e}function ev(){}function tv(e){if(!function(e){return"object"===Xg(e)&&null!==e}(e))return!1;if(null===Object.getPrototypeOf(e))return!0;for(var t=e;null!==Object.getPrototypeOf(t);)t=Object.getPrototypeOf(t);return Object.getPrototypeOf(e)===t}function nv(e,t){return"string"==typeof e||"symbol"===Xg(e)||!!t&&Array.isArray(e)&&e.every((function(e){return nv(e,!1)}))}var rv=__webpack_require__(52322),iv=(0,o.createContext)({dragDropManager:void 0});function ov(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function av(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function sv(e,t,n){return t&&av(e.prototype,t),n&&av(e,n),e}function lv(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var uv=function(){function e(t){ov(this,e),lv(this,"isDisposed",!1),lv(this,"action",void 0),this.action=Jg(t)?t:ev}return sv(e,[{key:"dispose",value:function(){this.isDisposed||(this.action(),this.isDisposed=!0)}}],[{key:"isDisposable",value:function(e){return Boolean(e&&Jg(e.dispose))}},{key:"_fixup",value:function(t){return e.isDisposable(t)?t:e.empty}},{key:"create",value:function(t){return new e(t)}}]),e}();lv(uv,"empty",{dispose:ev});var cv=function(){function e(){ov(this,e),lv(this,"isDisposed",!1),lv(this,"disposables",void 0);for(var t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];this.disposables=n}return sv(e,[{key:"add",value:function(e){this.isDisposed?e.dispose():this.disposables.push(e)}},{key:"remove",value:function(e){var t=!1;if(!this.isDisposed){var n=this.disposables.indexOf(e);-1!==n&&(t=!0,this.disposables.splice(n,1),e.dispose())}return t}},{key:"clear",value:function(){if(!this.isDisposed){for(var e=this.disposables.length,t=new Array(e),n=0;n<e;n++)t[n]=this.disposables[n];this.disposables=[];for(var r=0;r<e;r++)t[r].dispose()}}},{key:"dispose",value:function(){if(!this.isDisposed){this.isDisposed=!0;for(var e=this.disposables.length,t=new Array(e),n=0;n<e;n++)t[n]=this.disposables[n];this.disposables=[];for(var r=0;r<e;r++)t[r].dispose()}}}]),e}(),dv=function(){function e(){ov(this,e),lv(this,"isDisposed",!1),lv(this,"current",void 0)}return sv(e,[{key:"getDisposable",value:function(){return this.current}},{key:"setDisposable",value:function(e){var t=this.isDisposed;if(!t){var n=this.current;this.current=e,n&&n.dispose()}t&&e&&e.dispose()}},{key:"dispose",value:function(){if(!this.isDisposed){this.isDisposed=!0;var e=this.current;this.current=void 0,e&&e.dispose()}}}]),e}();function pv(e){return pv="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},pv(e)}function fv(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function hv(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function mv(e,t){return mv=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e},mv(e,t)}function gv(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}function vv(e){return vv=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)},vv(e)}function yv(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function bv(e){var t=e.DecoratedComponent,n=e.createHandler,r=e.createMonitor,i=e.createConnector,a=e.registerHandler,s=e.containerDisplayName,l=e.getType,u=e.collect,c=e.options.arePropsEqual,d=void 0===c?qg:c,p=t,f=t.displayName||t.name||"Component",h=function(e){!function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&mv(e,t)}(g,e);var t,s,c,h,m=(c=g,h=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Boolean.prototype.valueOf.call(Reflect.construct(Boolean,[],(function(){}))),!0}catch(e){return!1}}(),function(){var e,t=vv(c);if(h){var n=vv(this).constructor;e=Reflect.construct(t,arguments,n)}else e=t.apply(this,arguments);return function(e,t){if(t&&("object"===pv(t)||"function"==typeof t))return t;if(void 0!==t)throw new TypeError("Derived constructors may only return object or undefined");return gv(e)}(this,e)});function g(e){var t;return function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,g),yv(gv(t=m.call(this,e)),"decoratedRef",(0,o.createRef)()),yv(gv(t),"handlerId",void 0),yv(gv(t),"manager",void 0),yv(gv(t),"handlerMonitor",void 0),yv(gv(t),"handlerConnector",void 0),yv(gv(t),"handler",void 0),yv(gv(t),"disposable",void 0),yv(gv(t),"currentType",void 0),yv(gv(t),"handleChange",(function(){var e=t.getCurrentState();qg(e,t.state)||t.setState(e)})),t.disposable=new dv,t.receiveProps(e),t.dispose(),t}return t=g,s=[{key:"getHandlerId",value:function(){return this.handlerId}},{key:"getDecoratedComponentInstance",value:function(){return Lg(this.decoratedRef.current,"In order to access an instance of the decorated component, it must either be a class component or use React.forwardRef()"),this.decoratedRef.current}},{key:"shouldComponentUpdate",value:function(e,t){return!d(e,this.props)||!qg(t,this.state)}},{key:"componentDidMount",value:function(){this.disposable=new dv,this.currentType=void 0,this.receiveProps(this.props),this.handleChange()}},{key:"componentDidUpdate",value:function(e){d(this.props,e)||(this.receiveProps(this.props),this.handleChange())}},{key:"componentWillUnmount",value:function(){this.dispose()}},{key:"receiveProps",value:function(e){this.handler&&(this.handler.receiveProps(e),this.receiveType(l(e)))}},{key:"receiveType",value:function(e){if(this.handlerMonitor&&this.manager&&this.handlerConnector&&e!==this.currentType){this.currentType=e;var t=function(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){var n=null==e?null:"undefined"!=typeof Symbol&&e[Symbol.iterator]||e["@@iterator"];if(null!=n){var r,i,o=[],a=!0,s=!1;try{for(n=n.call(e);!(a=(r=n.next()).done)&&(o.push(r.value),!t||o.length!==t);a=!0);}catch(e){s=!0,i=e}finally{try{a||null==n.return||n.return()}finally{if(s)throw i}}return o}}(e,t)||function(e,t){if(e){if("string"==typeof e)return fv(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?fv(e,t):void 0}}(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}(a(e,this.handler,this.manager),2),n=t[0],r=t[1];this.handlerId=n,this.handlerMonitor.receiveHandlerId(n),this.handlerConnector.receiveHandlerId(n);var i=this.manager.getMonitor().subscribeToStateChange(this.handleChange,{handlerIds:[n]});this.disposable.setDisposable(new cv(new uv(i),new uv(r)))}}},{key:"dispose",value:function(){this.disposable.dispose(),this.handlerConnector&&this.handlerConnector.receiveHandlerId(null)}},{key:"getCurrentState",value:function(){return this.handlerConnector?u(this.handlerConnector.hooks,this.handlerMonitor,this.props):{}}},{key:"render",value:function(){var e=this;return(0,rv.jsx)(iv.Consumer,{children:function(t){var n,r,i=t.dragDropManager;return e.receiveDragDropManager(i),"undefined"!=typeof requestAnimationFrame&&requestAnimationFrame((function(){var t;return null===(t=e.handlerConnector)||void 0===t?void 0:t.reconnect()})),(0,rv.jsx)(p,Object.assign({},e.props,e.getCurrentState(),{ref:(n=p,(r=n)&&r.prototype&&"function"==typeof r.prototype.render||function(e){var t;return"Symbol(react.forward_ref)"===(null==e||null===(t=e.$$typeof)||void 0===t?void 0:t.toString())}(n)?e.decoratedRef:null)}),void 0)}},void 0)}},{key:"receiveDragDropManager",value:function(e){void 0===this.manager&&(Lg(void 0!==e,"Could not find the drag and drop manager in the context of %s. Make sure to render a DndProvider component in your top-level component. Read more: http://react-dnd.github.io/react-dnd/docs/troubleshooting#could-not-find-the-drag-and-drop-manager-in-the-context",f,f),void 0!==e&&(this.manager=e,this.handlerMonitor=r(e),this.handlerConnector=i(e.getBackend()),this.handler=n(this.handlerMonitor,this.decoratedRef)))}}],s&&hv(t.prototype,s),g}(o.Component);return yv(h,"DecoratedComponent",t),yv(h,"displayName","".concat(s,"(").concat(f,")")),y()(h,t)}function wv(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function _v(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var xv=["canDrag","beginDrag","isDragging","endDrag"],kv=["beginDrag"],Ev=function(){function e(t,n,r){var i=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),_v(this,"props",null),_v(this,"spec",void 0),_v(this,"monitor",void 0),_v(this,"ref",void 0),_v(this,"beginDrag",(function(){if(i.props)return i.spec.beginDrag(i.props,i.monitor,i.ref.current)})),this.spec=t,this.monitor=n,this.ref=r}var t,n;return t=e,(n=[{key:"receiveProps",value:function(e){this.props=e}},{key:"canDrag",value:function(){return!!this.props&&(!this.spec.canDrag||this.spec.canDrag(this.props,this.monitor))}},{key:"isDragging",value:function(e,t){return!!this.props&&(this.spec.isDragging?this.spec.isDragging(this.props,this.monitor):t===e.getSourceId())}},{key:"endDrag",value:function(){this.props&&this.spec.endDrag&&this.spec.endDrag(this.props,this.monitor,Qg(this.ref))}}])&&wv(t.prototype,n),e}();function Cv(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Sv(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Av=!1,Tv=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Sv(this,"internalMonitor",void 0),Sv(this,"targetId",null),this.internalMonitor=t.getMonitor()}var t,n;return t=e,n=[{key:"receiveHandlerId",value:function(e){this.targetId=e}},{key:"getHandlerId",value:function(){return this.targetId}},{key:"subscribeToStateChange",value:function(e,t){return this.internalMonitor.subscribeToStateChange(e,t)}},{key:"canDrop",value:function(){if(!this.targetId)return!1;Lg(!Av,"You may not call monitor.canDrop() inside your canDrop() implementation. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target-monitor");try{return Av=!0,this.internalMonitor.canDropOnTarget(this.targetId)}finally{Av=!1}}},{key:"isOver",value:function(e){return!!this.targetId&&this.internalMonitor.isOverTarget(this.targetId,e)}},{key:"getItemType",value:function(){return this.internalMonitor.getItemType()}},{key:"getItem",value:function(){return this.internalMonitor.getItem()}},{key:"getDropResult",value:function(){return this.internalMonitor.getDropResult()}},{key:"didDrop",value:function(){return this.internalMonitor.didDrop()}},{key:"getInitialClientOffset",value:function(){return this.internalMonitor.getInitialClientOffset()}},{key:"getInitialSourceClientOffset",value:function(){return this.internalMonitor.getInitialSourceClientOffset()}},{key:"getSourceClientOffset",value:function(){return this.internalMonitor.getSourceClientOffset()}},{key:"getClientOffset",value:function(){return this.internalMonitor.getClientOffset()}},{key:"getDifferenceFromInitialOffset",value:function(){return this.internalMonitor.getDifferenceFromInitialOffset()}}],n&&Cv(t.prototype,n),e}();function Ov(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Pv(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Dv=function(){function e(t){var n=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Pv(this,"hooks",Fg({dropTarget:function(e,t){n.clearDropTarget(),n.dropTargetOptions=t,Ug(e)?n.dropTargetRef=e:n.dropTargetNode=e,n.reconnect()}})),Pv(this,"handlerId",null),Pv(this,"dropTargetRef",null),Pv(this,"dropTargetNode",void 0),Pv(this,"dropTargetOptionsInternal",null),Pv(this,"unsubscribeDropTarget",void 0),Pv(this,"lastConnectedHandlerId",null),Pv(this,"lastConnectedDropTarget",null),Pv(this,"lastConnectedDropTargetOptions",null),Pv(this,"backend",void 0),this.backend=t}var t,n;return t=e,n=[{key:"connectTarget",get:function(){return this.dropTarget}},{key:"reconnect",value:function(){var e=this.didHandlerIdChange()||this.didDropTargetChange()||this.didOptionsChange();e&&this.disconnectDropTarget();var t=this.dropTarget;this.handlerId&&(t?e&&(this.lastConnectedHandlerId=this.handlerId,this.lastConnectedDropTarget=t,this.lastConnectedDropTargetOptions=this.dropTargetOptions,this.unsubscribeDropTarget=this.backend.connectDropTarget(this.handlerId,t,this.dropTargetOptions)):this.lastConnectedDropTarget=t)}},{key:"receiveHandlerId",value:function(e){e!==this.handlerId&&(this.handlerId=e,this.reconnect())}},{key:"dropTargetOptions",get:function(){return this.dropTargetOptionsInternal},set:function(e){this.dropTargetOptionsInternal=e}},{key:"didHandlerIdChange",value:function(){return this.lastConnectedHandlerId!==this.handlerId}},{key:"didDropTargetChange",value:function(){return this.lastConnectedDropTarget!==this.dropTarget}},{key:"didOptionsChange",value:function(){return!qg(this.lastConnectedDropTargetOptions,this.dropTargetOptions)}},{key:"disconnectDropTarget",value:function(){this.unsubscribeDropTarget&&(this.unsubscribeDropTarget(),this.unsubscribeDropTarget=void 0)}},{key:"dropTarget",get:function(){return this.dropTargetNode||this.dropTargetRef&&this.dropTargetRef.current}},{key:"clearDropTarget",value:function(){this.dropTargetRef=null,this.dropTargetNode=null}}],n&&Ov(t.prototype,n),e}();function Rv(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Iv(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Mv=["canDrop","hover","drop"],Lv=function(){function e(t,n,r){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Iv(this,"props",null),Iv(this,"spec",void 0),Iv(this,"monitor",void 0),Iv(this,"ref",void 0),this.spec=t,this.monitor=n,this.ref=r}var t,n;return t=e,(n=[{key:"receiveProps",value:function(e){this.props=e}},{key:"receiveMonitor",value:function(e){this.monitor=e}},{key:"canDrop",value:function(){return!this.spec.canDrop||this.spec.canDrop(this.props,this.monitor)}},{key:"hover",value:function(){this.spec.hover&&this.props&&this.spec.hover(this.props,this.monitor,Qg(this.ref))}},{key:"drop",value:function(){if(this.spec.drop)return this.spec.drop(this.props,this.monitor,this.ref.current)}}])&&Rv(t.prototype,n),e}();var Nv="dnd-core/INIT_COORDS",jv="dnd-core/BEGIN_DRAG",Fv="dnd-core/PUBLISH_DRAG_SOURCE",Bv="dnd-core/HOVER",zv="dnd-core/DROP",Uv="dnd-core/END_DRAG";function qv(e,t){return{type:Nv,payload:{sourceClientOffset:t||null,clientOffset:e||null}}}function $v(e){return $v="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},$v(e)}function Wv(e,t,n){return t.split(".").reduce((function(e,t){return e&&e[t]?e[t]:n||null}),e)}function Hv(e){return"object"===$v(e)}var Vv={type:Nv,payload:{clientOffset:null,sourceClientOffset:null}};function Gv(e){return function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:[],n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{publishSource:!0},r=n.publishSource,i=void 0===r||r,o=n.clientOffset,a=n.getSourceClientOffset,s=e.getMonitor(),l=e.getRegistry();e.dispatch(qv(o)),function(e,t,n){Lg(!t.isDragging(),"Cannot call beginDrag while dragging."),e.forEach((function(e){Lg(n.getSource(e),"Expected sourceIds to be registered.")}))}(t,s,l);var u=function(e,t){for(var n=null,r=e.length-1;r>=0;r--)if(t.canDragSource(e[r])){n=e[r];break}return n}(t,s);if(null!==u){var c=null;if(o){if(!a)throw new Error("getSourceClientOffset must be defined");!function(e){Lg("function"==typeof e,"When clientOffset is provided, getSourceClientOffset must be a function.")}(a),c=a(u)}e.dispatch(qv(o,c));var d=l.getSource(u).beginDrag(s,u);if(null!=d){!function(e){Lg(Hv(e),"Item must be an object.")}(d),l.pinSource(u);var p=l.getSourceType(u);return{type:jv,payload:{itemType:p,item:d,sourceId:u,clientOffset:o||null,sourceClientOffset:c||null,isSourcePublic:!!i}}}}else e.dispatch(Vv)}}function Kv(e){return function(){if(e.getMonitor().isDragging())return{type:Fv}}}function Yv(e,t){return null===t?null===e:Array.isArray(e)?e.some((function(e){return e===t})):e===t}function Zv(e){return function(t){var n=(arguments.length>1&&void 0!==arguments[1]?arguments[1]:{}).clientOffset;!function(e){Lg(Array.isArray(e),"Expected targetIds to be an array.")}(t);var r=t.slice(0),i=e.getMonitor(),o=e.getRegistry();return function(e,t,n){Lg(t.isDragging(),"Cannot call hover while not dragging."),Lg(!t.didDrop(),"Cannot call hover after drop.");for(var r=0;r<e.length;r++){var i=e[r];Lg(e.lastIndexOf(i)===r,"Expected targetIds to be unique in the passed array."),Lg(n.getTarget(i),"Expected targetIds to be registered.")}}(r,i,o),function(e,t,n){for(var r=e.length-1;r>=0;r--){var i=e[r];Yv(t.getTargetType(i),n)||e.splice(r,1)}}(r,o,i.getItemType()),function(e,t,n){e.forEach((function(e){n.getTarget(e).hover(t,e)}))}(r,i,o),{type:Bv,payload:{targetIds:r,clientOffset:n||null}}}}function Xv(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Qv(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Xv(Object(n),!0).forEach((function(t){Jv(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Xv(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Jv(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function ey(e){return function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},n=e.getMonitor(),r=e.getRegistry();!function(e){Lg(e.isDragging(),"Cannot call drop while not dragging."),Lg(!e.didDrop(),"Cannot call drop twice during one drag operation.")}(n);var i=function(e){var t=e.getTargetIds().filter(e.canDropOnTarget,e);return t.reverse(),t}(n);i.forEach((function(i,o){var a=function(e,t,n,r){var i=n.getTarget(e),o=i?i.drop(r,e):void 0;return function(e){Lg(void 0===e||Hv(e),"Drop result must either be an object or undefined.")}(o),void 0===o&&(o=0===t?{}:r.getDropResult()),o}(i,o,r,n),s={type:zv,payload:{dropResult:Qv(Qv({},t),a)}};e.dispatch(s)}))}}function ty(e){return function(){var t=e.getMonitor(),n=e.getRegistry();!function(e){Lg(e.isDragging(),"Cannot call endDrag while not dragging.")}(t);var r=t.getSourceId();return null!=r&&(n.getSource(r,!0).endDrag(t,r),n.unpinSource()),{type:Uv}}}function ny(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function ry(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var iy=function(){function e(t,n){var r=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),ry(this,"store",void 0),ry(this,"monitor",void 0),ry(this,"backend",void 0),ry(this,"isSetUp",!1),ry(this,"handleRefCountChange",(function(){var e=r.store.getState().refCount>0;r.backend&&(e&&!r.isSetUp?(r.backend.setup(),r.isSetUp=!0):!e&&r.isSetUp&&(r.backend.teardown(),r.isSetUp=!1))})),this.store=t,this.monitor=n,t.subscribe(this.handleRefCountChange)}var t,n;return t=e,n=[{key:"receiveBackend",value:function(e){this.backend=e}},{key:"getMonitor",value:function(){return this.monitor}},{key:"getBackend",value:function(){return this.backend}},{key:"getRegistry",value:function(){return this.monitor.registry}},{key:"getActions",value:function(){var e=this,t=this.store.dispatch,n=function(e){return{beginDrag:Gv(e),publishDragSource:Kv(e),hover:Zv(e),drop:ey(e),endDrag:ty(e)}}(this);return Object.keys(n).reduce((function(r,i){var o,a=n[i];return r[i]=(o=a,function(){for(var n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];var a=o.apply(e,r);void 0!==a&&t(a)}),r}),{})}},{key:"dispatch",value:function(e){this.store.dispatch(e)}}],n&&ny(t.prototype,n),e}(),oy=function(e,t){return e===t};function ay(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function sy(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?ay(Object(n),!0).forEach((function(t){ly(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):ay(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function ly(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var uy={initialSourceClientOffset:null,initialClientOffset:null,clientOffset:null};function cy(){var e,t,n=arguments.length>0&&void 0!==arguments[0]?arguments[0]:uy,r=arguments.length>1?arguments[1]:void 0,i=r.payload;switch(r.type){case Nv:case jv:return{initialSourceClientOffset:i.sourceClientOffset,initialClientOffset:i.clientOffset,clientOffset:i.clientOffset};case Bv:return e=n.clientOffset,t=i.clientOffset,!e&&!t||e&&t&&e.x===t.x&&e.y===t.y?n:sy(sy({},n),{},{clientOffset:i.clientOffset});case Uv:case zv:return uy;default:return n}}var dy="dnd-core/ADD_SOURCE",py="dnd-core/ADD_TARGET",fy="dnd-core/REMOVE_SOURCE",hy="dnd-core/REMOVE_TARGET";function my(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function gy(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?my(Object(n),!0).forEach((function(t){vy(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):my(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function vy(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var yy={itemType:null,item:null,sourceId:null,targetIds:[],dropResult:null,didDrop:!1,isSourcePublic:null};function by(){var e,t,n=arguments.length>0&&void 0!==arguments[0]?arguments[0]:yy,r=arguments.length>1?arguments[1]:void 0,i=r.payload;switch(r.type){case jv:return gy(gy({},n),{},{itemType:i.itemType,item:i.item,sourceId:i.sourceId,isSourcePublic:i.isSourcePublic,dropResult:null,didDrop:!1});case Fv:return gy(gy({},n),{},{isSourcePublic:!0});case Bv:return gy(gy({},n),{},{targetIds:i.targetIds});case hy:return-1===n.targetIds.indexOf(i.targetId)?n:gy(gy({},n),{},{targetIds:(e=n.targetIds,t=i.targetId,e.filter((function(e){return e!==t})))});case zv:return gy(gy({},n),{},{dropResult:i.dropResult,didDrop:!0,targetIds:[]});case Uv:return gy(gy({},n),{},{itemType:null,item:null,sourceId:null,dropResult:null,didDrop:!1,isSourcePublic:null,targetIds:[]});default:return n}}function wy(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:0;switch((arguments.length>1?arguments[1]:void 0).type){case dy:case py:return e+1;case fy:case hy:return e-1;default:return e}}var _y=[],xy=[];function ky(){var e=arguments.length>1?arguments[1]:void 0;switch(e.type){case Bv:break;case dy:case py:case hy:case fy:return _y;default:return xy}var t=e.payload,n=t.targetIds,r=void 0===n?[]:n,i=t.prevTargetIds,o=void 0===i?[]:i,a=function(e,t){var n=new Map,r=function(e){n.set(e,n.has(e)?n.get(e)+1:1)};e.forEach(r),t.forEach(r);var i=[];return n.forEach((function(e,t){1===e&&i.push(t)})),i}(r,o),s=a.length>0||!function(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:oy;if(e.length!==t.length)return!1;for(var r=0;r<e.length;++r)if(!n(e[r],t[r]))return!1;return!0}(r,o);if(!s)return _y;var l=o[o.length-1],u=r[r.length-1];return l!==u&&(l&&a.push(l),u&&a.push(u)),a}function Ey(){return(arguments.length>0&&void 0!==arguments[0]?arguments[0]:0)+1}function Cy(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Sy(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Cy(Object(n),!0).forEach((function(t){Ay(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Cy(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Ay(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function Ty(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},t=arguments.length>1?arguments[1]:void 0;return{dirtyHandlerIds:ky(e.dirtyHandlerIds,{type:t.type,payload:Sy(Sy({},t.payload),{},{prevTargetIds:Wv(e,"dragOperation.targetIds",[])})}),dragOffset:cy(e.dragOffset,t),refCount:wy(e.refCount,t),dragOperation:by(e.dragOperation,t),stateId:Ey(e.stateId)}}function Oy(e,t){return{x:e.x-t.x,y:e.y-t.y}}function Py(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Dy(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}_y.__IS_NONE__=!0,xy.__IS_ALL__=!0;var Ry,Iy=function(){function e(t,n){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Dy(this,"store",void 0),Dy(this,"registry",void 0),this.store=t,this.registry=n}var t,n;return t=e,n=[{key:"subscribeToStateChange",value:function(e){var t=this,n=(arguments.length>1&&void 0!==arguments[1]?arguments[1]:{handlerIds:void 0}).handlerIds;Lg("function"==typeof e,"listener must be a function."),Lg(void 0===n||Array.isArray(n),"handlerIds, when specified, must be an array of strings.");var r=this.store.getState().stateId;return this.store.subscribe((function(){var i=t.store.getState(),o=i.stateId;try{var a=o===r||o===r+1&&!function(e,t){if(e===_y)return!1;if(e===xy||void 0===t)return!0;var n,r=(n=e,t.filter((function(e){return n.indexOf(e)>-1})));return r.length>0}(i.dirtyHandlerIds,n);a||e()}finally{r=o}}))}},{key:"subscribeToOffsetChange",value:function(e){var t=this;Lg("function"==typeof e,"listener must be a function.");var n=this.store.getState().dragOffset;return this.store.subscribe((function(){var r=t.store.getState().dragOffset;r!==n&&(n=r,e())}))}},{key:"canDragSource",value:function(e){if(!e)return!1;var t=this.registry.getSource(e);return Lg(t,"Expected to find a valid source. sourceId=".concat(e)),!this.isDragging()&&t.canDrag(this,e)}},{key:"canDropOnTarget",value:function(e){if(!e)return!1;var t=this.registry.getTarget(e);return Lg(t,"Expected to find a valid target. targetId=".concat(e)),!(!this.isDragging()||this.didDrop())&&Yv(this.registry.getTargetType(e),this.getItemType())&&t.canDrop(this,e)}},{key:"isDragging",value:function(){return Boolean(this.getItemType())}},{key:"isDraggingSource",value:function(e){if(!e)return!1;var t=this.registry.getSource(e,!0);return Lg(t,"Expected to find a valid source. sourceId=".concat(e)),!(!this.isDragging()||!this.isSourcePublic())&&this.registry.getSourceType(e)===this.getItemType()&&t.isDragging(this,e)}},{key:"isOverTarget",value:function(e){if(!e)return!1;var t=(arguments.length>1&&void 0!==arguments[1]?arguments[1]:{shallow:!1}).shallow;if(!this.isDragging())return!1;var n=this.registry.getTargetType(e),r=this.getItemType();if(r&&!Yv(n,r))return!1;var i=this.getTargetIds();if(!i.length)return!1;var o=i.indexOf(e);return t?o===i.length-1:o>-1}},{key:"getItemType",value:function(){return this.store.getState().dragOperation.itemType}},{key:"getItem",value:function(){return this.store.getState().dragOperation.item}},{key:"getSourceId",value:function(){return this.store.getState().dragOperation.sourceId}},{key:"getTargetIds",value:function(){return this.store.getState().dragOperation.targetIds}},{key:"getDropResult",value:function(){return this.store.getState().dragOperation.dropResult}},{key:"didDrop",value:function(){return this.store.getState().dragOperation.didDrop}},{key:"isSourcePublic",value:function(){return Boolean(this.store.getState().dragOperation.isSourcePublic)}},{key:"getInitialClientOffset",value:function(){return this.store.getState().dragOffset.initialClientOffset}},{key:"getInitialSourceClientOffset",value:function(){return this.store.getState().dragOffset.initialSourceClientOffset}},{key:"getClientOffset",value:function(){return this.store.getState().dragOffset.clientOffset}},{key:"getSourceClientOffset",value:function(){return t=(e=this.store.getState().dragOffset).clientOffset,n=e.initialClientOffset,r=e.initialSourceClientOffset,t&&n&&r?Oy(function(e,t){return{x:e.x+t.x,y:e.y+t.y}}(t,r),n):null;var e,t,n,r}},{key:"getDifferenceFromInitialOffset",value:function(){return t=(e=this.store.getState().dragOffset).clientOffset,n=e.initialClientOffset,t&&n?Oy(t,n):null;var e,t,n}}],n&&Py(t.prototype,n),e}(),My=0;function Ly(e){return Ly="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},Ly(e)}function Ny(e,t){t&&Array.isArray(e)?e.forEach((function(e){return Ny(e,!1)})):Lg("string"==typeof e||"symbol"===Ly(e),t?"Type can only be a string, a symbol, or an array of either.":"Type can only be a string or a symbol.")}!function(e){e.SOURCE="SOURCE",e.TARGET="TARGET"}(Ry||(Ry={}));const jy="undefined"!=typeof global?global:self,Fy=jy.MutationObserver||jy.WebKitMutationObserver;function By(e){return function(){const t=setTimeout(r,0),n=setInterval(r,50);function r(){clearTimeout(t),clearInterval(n),e()}}}const zy="function"==typeof Fy?function(e){let t=1;const n=new Fy(e),r=document.createTextNode("");return n.observe(r,{characterData:!0}),function(){t=-t,r.data=t}}:By;class Uy{call(){try{this.task&&this.task()}catch(e){this.onError(e)}finally{this.task=null,this.release(this)}}constructor(e,t){this.onError=e,this.release=t,this.task=null}}const qy=new class{enqueueTask(e){const{queue:t,requestFlush:n}=this;t.length||(n(),this.flushing=!0),t[t.length]=e}constructor(){this.queue=[],this.pendingErrors=[],this.flushing=!1,this.index=0,this.capacity=1024,this.flush=()=>{const{queue:e}=this;for(;this.index<e.length;){const t=this.index;if(this.index++,e[t].call(),this.index>this.capacity){for(let t=0,n=e.length-this.index;t<n;t++)e[t]=e[t+this.index];e.length-=this.index,this.index=0}}e.length=0,this.index=0,this.flushing=!1},this.registerPendingError=e=>{this.pendingErrors.push(e),this.requestErrorThrow()},this.requestFlush=zy(this.flush),this.requestErrorThrow=By((()=>{if(this.pendingErrors.length)throw this.pendingErrors.shift()}))}},$y=new class{create(e){const t=this.freeTasks,n=t.length?t.pop():new Uy(this.onError,(e=>t[t.length]=e));return n.task=e,n}constructor(e){this.onError=e,this.freeTasks=[]}}(qy.registerPendingError);function Wy(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function Hy(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function Vy(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){var n=null==e?null:"undefined"!=typeof Symbol&&e[Symbol.iterator]||e["@@iterator"];if(null!=n){var r,i,o=[],a=!0,s=!1;try{for(n=n.call(e);!(a=(r=n.next()).done)&&(o.push(r.value),!t||o.length!==t);a=!0);}catch(e){s=!0,i=e}finally{try{a||null==n.return||n.return()}finally{if(s)throw i}}return o}}(e,t)||function(e,t){if(e){if("string"==typeof e)return Gy(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?Gy(e,t):void 0}}(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function Gy(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function Ky(e){switch(e[0]){case"S":return Ry.SOURCE;case"T":return Ry.TARGET;default:Lg(!1,"Cannot parse handler ID: ".concat(e))}}function Yy(e,t){var n=e.entries(),r=!1;do{var i=n.next(),o=i.done;if(Vy(i.value,2)[1]===t)return!0;r=!!o}while(!r);return!1}var Zy=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),Hy(this,"types",new Map),Hy(this,"dragSources",new Map),Hy(this,"dropTargets",new Map),Hy(this,"pinnedSourceId",null),Hy(this,"pinnedSource",null),Hy(this,"store",void 0),this.store=t}var t,n;return t=e,n=[{key:"addSource",value:function(e,t){Ny(e),function(e){Lg("function"==typeof e.canDrag,"Expected canDrag to be a function."),Lg("function"==typeof e.beginDrag,"Expected beginDrag to be a function."),Lg("function"==typeof e.endDrag,"Expected endDrag to be a function.")}(t);var n=this.addHandler(Ry.SOURCE,e,t);return this.store.dispatch(function(e){return{type:dy,payload:{sourceId:e}}}(n)),n}},{key:"addTarget",value:function(e,t){Ny(e,!0),function(e){Lg("function"==typeof e.canDrop,"Expected canDrop to be a function."),Lg("function"==typeof e.hover,"Expected hover to be a function."),Lg("function"==typeof e.drop,"Expected beginDrag to be a function.")}(t);var n=this.addHandler(Ry.TARGET,e,t);return this.store.dispatch(function(e){return{type:py,payload:{targetId:e}}}(n)),n}},{key:"containsHandler",value:function(e){return Yy(this.dragSources,e)||Yy(this.dropTargets,e)}},{key:"getSource",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];return Lg(this.isSourceId(e),"Expected a valid source ID."),t&&e===this.pinnedSourceId?this.pinnedSource:this.dragSources.get(e)}},{key:"getTarget",value:function(e){return Lg(this.isTargetId(e),"Expected a valid target ID."),this.dropTargets.get(e)}},{key:"getSourceType",value:function(e){return Lg(this.isSourceId(e),"Expected a valid source ID."),this.types.get(e)}},{key:"getTargetType",value:function(e){return Lg(this.isTargetId(e),"Expected a valid target ID."),this.types.get(e)}},{key:"isSourceId",value:function(e){return Ky(e)===Ry.SOURCE}},{key:"isTargetId",value:function(e){return Ky(e)===Ry.TARGET}},{key:"removeSource",value:function(e){var t,n=this;Lg(this.getSource(e),"Expected an existing source."),this.store.dispatch(function(e){return{type:fy,payload:{sourceId:e}}}(e)),t=function(){n.dragSources.delete(e),n.types.delete(e)},qy.enqueueTask($y.create(t))}},{key:"removeTarget",value:function(e){Lg(this.getTarget(e),"Expected an existing target."),this.store.dispatch(function(e){return{type:hy,payload:{targetId:e}}}(e)),this.dropTargets.delete(e),this.types.delete(e)}},{key:"pinSource",value:function(e){var t=this.getSource(e);Lg(t,"Expected an existing source."),this.pinnedSourceId=e,this.pinnedSource=t}},{key:"unpinSource",value:function(){Lg(this.pinnedSource,"No source is pinned at the time."),this.pinnedSourceId=null,this.pinnedSource=null}},{key:"addHandler",value:function(e,t,n){var r=function(e){var t=(My++).toString();switch(e){case Ry.SOURCE:return"S".concat(t);case Ry.TARGET:return"T".concat(t);default:throw new Error("Unknown Handler Role: ".concat(e))}}(e);return this.types.set(r,t),e===Ry.SOURCE?this.dragSources.set(r,n):e===Ry.TARGET&&this.dropTargets.set(r,n),r}}],n&&Wy(t.prototype,n),e}();function Xy(e){var t,n,r=arguments.length>1&&void 0!==arguments[1]?arguments[1]:void 0,i=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},o=(t=arguments.length>3&&void 0!==arguments[3]&&arguments[3],n="undefined"!=typeof window&&window.__REDUX_DEVTOOLS_EXTENSION__,(0,vr.MT)(Ty,t&&n&&n({name:"dnd-core",instanceId:"dnd-core"}))),a=new Iy(o,new Zy(o)),s=new iy(o,a),l=e(s,r,i);return s.receiveBackend(l),s}var Qy=["children"];function Jy(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}var eb=0,tb=Symbol.for("__REACT_DND_CONTEXT_INSTANCE__"),nb=(0,o.memo)((function(e){var t=e.children,n=function(e){if("manager"in e)return[{dragDropManager:e.manager},!1];var t=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:rb(),n=arguments.length>2?arguments[2]:void 0,r=arguments.length>3?arguments[3]:void 0,i=t;return i[tb]||(i[tb]={dragDropManager:Xy(e,t,n,r)}),i[tb]}(e.backend,e.context,e.options,e.debugMode);return[t,!e.context]}(function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,Qy)),r=function(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){var n=null==e?null:"undefined"!=typeof Symbol&&e[Symbol.iterator]||e["@@iterator"];if(null!=n){var r,i,o=[],a=!0,s=!1;try{for(n=n.call(e);!(a=(r=n.next()).done)&&(o.push(r.value),!t||o.length!==t);a=!0);}catch(e){s=!0,i=e}finally{try{a||null==n.return||n.return()}finally{if(s)throw i}}return o}}(e,t)||function(e,t){if(e){if("string"==typeof e)return Jy(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?Jy(e,t):void 0}}(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}(n,2),i=r[0],a=r[1];return(0,o.useEffect)((function(){if(a){var e=rb();return++eb,function(){0==--eb&&(e[tb]=null)}}}),[]),(0,rv.jsx)(iv.Provider,Object.assign({value:i},{children:t}),void 0)}));function rb(){return void 0!==__webpack_require__.g?__webpack_require__.g:window}const ib=["namespace"],ob=["children","isDragging","connectDragComponent"],ab=["onDrop","namespace"];function sb(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}function lb(e){let{namespace:t}=e,n=sb(e,ib);const r=function(e,t,n){var r=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{},i=e;"function"!=typeof e&&(Lg(nv(e),'Expected "type" provided as the first argument to DragSource to be a string, or a function that returns a string given the current props. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source',e),i=function(){return e}),Lg(tv(t),'Expected "spec" provided as the second argument to DragSource to be a plain object. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source',t);var o=function(e){return Object.keys(e).forEach((function(t){Lg(xv.indexOf(t)>-1,'Expected the drag source specification to only have some of the following keys: %s. Instead received a specification with an unexpected "%s" key. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source',xv.join(", "),t),Lg("function"==typeof e[t],"Expected %s in the drag source specification to be a function. Instead received a specification with %s: %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source",t,t,e[t])})),kv.forEach((function(t){Lg("function"==typeof e[t],"Expected %s in the drag source specification to be a function. Instead received a specification with %s: %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source",t,t,e[t])})),function(t,n){return new Ev(e,t,n)}}(t);return Lg("function"==typeof n,'Expected "collect" provided as the third argument to DragSource to be a function that returns a plain object of props to inject. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source',n),Lg(tv(r),'Expected "options" provided as the fourth argument to DragSource to be a plain object when specified. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drag-source',n),function(e){return bv({containerDisplayName:"DragSource",createHandler:o,registerHandler:jg,createConnector:function(e){return new Hg(e)},createMonitor:function(e){return new Zg(e)},DecoratedComponent:e,getType:i,collect:n,options:r})}}(t,{beginDrag(e){let{children:t,isDragging:n,connectDragComponent:r}=e;return sb(e,ob)}},(e=>({connectDragComponent:e.dragSource()})))((({children:e,connectDragComponent:t})=>e(t)));return o.createElement(r,n,n.children)}function ub(e){let{onDrop:t,namespace:n}=e,r=sb(e,ab);const i=function(e,t,n){var r=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{},i=e;"function"!=typeof e&&(Lg(nv(e,!0),'Expected "type" provided as the first argument to DropTarget to be a string, an array of strings, or a function that returns either given the current props. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target',e),i=function(){return e}),Lg(tv(t),'Expected "spec" provided as the second argument to DropTarget to be a plain object. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target',t);var o=function(e){return Object.keys(e).forEach((function(t){Lg(Mv.indexOf(t)>-1,'Expected the drop target specification to only have some of the following keys: %s. Instead received a specification with an unexpected "%s" key. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target',Mv.join(", "),t),Lg("function"==typeof e[t],"Expected %s in the drop target specification to be a function. Instead received a specification with %s: %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target",t,t,e[t])})),function(t,n){return new Lv(e,t,n)}}(t);return Lg("function"==typeof n,'Expected "collect" provided as the third argument to DropTarget to be a function that returns a plain object of props to inject. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target',n),Lg(tv(r),'Expected "options" provided as the fourth argument to DropTarget to be a plain object when specified. Instead, received %s. Read more: http://react-dnd.github.io/react-dnd/docs/api/drop-target',n),function(e){return bv({containerDisplayName:"DropTarget",createHandler:o,registerHandler:Ng,createMonitor:function(e){return new Tv(e)},createConnector:function(e){return new Dv(e)},DecoratedComponent:e,getType:i,collect:n,options:r})}}(n,{drop(e,n){t(n.getItem())}},((e,t)=>({connectDropTarget:e.dropTarget(),isHovered:t.isOver()})))((({children:e,connectDropTarget:t,isHovered:n})=>e(t,{isHovered:n})));return o.createElement(i,r,r.children)}lb.propTypes={namespace:ie().any.isRequired,children:ie().func.isRequired},ub.propTypes={onDrop:ie().func.isRequired,namespace:ie().any.isRequired,children:ie().func.isRequired};var cb=/^((children|dangerouslySetInnerHTML|key|ref|autoFocus|defaultValue|defaultChecked|innerHTML|suppressContentEditableWarning|suppressHydrationWarning|valueLink|abbr|accept|acceptCharset|accessKey|action|allow|allowUserMedia|allowPaymentRequest|allowFullScreen|allowTransparency|alt|async|autoComplete|autoPlay|capture|cellPadding|cellSpacing|challenge|charSet|checked|cite|classID|className|cols|colSpan|content|contentEditable|contextMenu|controls|controlsList|coords|crossOrigin|data|dateTime|decoding|default|defer|dir|disabled|disablePictureInPicture|download|draggable|encType|enterKeyHint|form|formAction|formEncType|formMethod|formNoValidate|formTarget|frameBorder|headers|height|hidden|high|href|hrefLang|htmlFor|httpEquiv|id|inputMode|integrity|is|keyParams|keyType|kind|label|lang|list|loading|loop|low|marginHeight|marginWidth|max|maxLength|media|mediaGroup|method|min|minLength|multiple|muted|name|nonce|noValidate|open|optimum|pattern|placeholder|playsInline|poster|preload|profile|radioGroup|readOnly|referrerPolicy|rel|required|reversed|role|rows|rowSpan|sandbox|scope|scoped|scrolling|seamless|selected|shape|size|sizes|slot|span|spellCheck|src|srcDoc|srcLang|srcSet|start|step|style|summary|tabIndex|target|title|translate|type|useMap|value|width|wmode|wrap|about|datatype|inlist|prefix|property|resource|typeof|vocab|autoCapitalize|autoCorrect|autoSave|color|incremental|fallback|inert|itemProp|itemScope|itemType|itemID|itemRef|on|option|results|security|unselectable|accentHeight|accumulate|additive|alignmentBaseline|allowReorder|alphabetic|amplitude|arabicForm|ascent|attributeName|attributeType|autoReverse|azimuth|baseFrequency|baselineShift|baseProfile|bbox|begin|bias|by|calcMode|capHeight|clip|clipPathUnits|clipPath|clipRule|colorInterpolation|colorInterpolationFilters|colorProfile|colorRendering|contentScriptType|contentStyleType|cursor|cx|cy|d|decelerate|descent|diffuseConstant|direction|display|divisor|dominantBaseline|dur|dx|dy|edgeMode|elevation|enableBackground|end|exponent|externalResourcesRequired|fill|fillOpacity|fillRule|filter|filterRes|filterUnits|floodColor|floodOpacity|focusable|fontFamily|fontSize|fontSizeAdjust|fontStretch|fontStyle|fontVariant|fontWeight|format|from|fr|fx|fy|g1|g2|glyphName|glyphOrientationHorizontal|glyphOrientationVertical|glyphRef|gradientTransform|gradientUnits|hanging|horizAdvX|horizOriginX|ideographic|imageRendering|in|in2|intercept|k|k1|k2|k3|k4|kernelMatrix|kernelUnitLength|kerning|keyPoints|keySplines|keyTimes|lengthAdjust|letterSpacing|lightingColor|limitingConeAngle|local|markerEnd|markerMid|markerStart|markerHeight|markerUnits|markerWidth|mask|maskContentUnits|maskUnits|mathematical|mode|numOctaves|offset|opacity|operator|order|orient|orientation|origin|overflow|overlinePosition|overlineThickness|panose1|paintOrder|pathLength|patternContentUnits|patternTransform|patternUnits|pointerEvents|points|pointsAtX|pointsAtY|pointsAtZ|preserveAlpha|preserveAspectRatio|primitiveUnits|r|radius|refX|refY|renderingIntent|repeatCount|repeatDur|requiredExtensions|requiredFeatures|restart|result|rotate|rx|ry|scale|seed|shapeRendering|slope|spacing|specularConstant|specularExponent|speed|spreadMethod|startOffset|stdDeviation|stemh|stemv|stitchTiles|stopColor|stopOpacity|strikethroughPosition|strikethroughThickness|string|stroke|strokeDasharray|strokeDashoffset|strokeLinecap|strokeLinejoin|strokeMiterlimit|strokeOpacity|strokeWidth|surfaceScale|systemLanguage|tableValues|targetX|targetY|textAnchor|textDecoration|textRendering|textLength|to|transform|u1|u2|underlinePosition|underlineThickness|unicode|unicodeBidi|unicodeRange|unitsPerEm|vAlphabetic|vHanging|vIdeographic|vMathematical|values|vectorEffect|version|vertAdvY|vertOriginX|vertOriginY|viewBox|viewTarget|visibility|widths|wordSpacing|writingMode|x|xHeight|x1|x2|xChannelSelector|xlinkActuate|xlinkArcrole|xlinkHref|xlinkRole|xlinkShow|xlinkTitle|xlinkType|xmlBase|xmlns|xmlnsXlink|xmlLang|xmlSpace|y|y1|y2|yChannelSelector|z|zoomAndPan|for|class|autofocus)|(([Dd][Aa][Tt][Aa]|[Aa][Rr][Ii][Aa]|x)-.*))$/,db=Jt((function(e){return cb.test(e)||111===e.charCodeAt(0)&&110===e.charCodeAt(1)&&e.charCodeAt(2)<91})),pb=function(e){return"theme"!==e},fb=function(e){return"string"==typeof e&&e.charCodeAt(0)>96?db:pb},hb=function(e,t,n){var r;if(t){var i=t.shouldForwardProp;r=e.__emotion_forwardProp&&i?function(t){return e.__emotion_forwardProp(t)&&i(t)}:i}return"function"!=typeof r&&n&&(r=e.__emotion_forwardProp),r},mb=function(e){var t=e.cache,n=e.serialized,r=e.isStringTag;return Zt(t,n,r),pn((function(){return Xt(t,n,r)})),null},gb=function e(t,n){var r,i,a=t.__emotion_real===t,s=a&&t.__emotion_base||t;void 0!==n&&(r=n.label,i=n.target);var l=hb(t,n,a),u=l||fb(s),c=!u("as");return function(){var d=arguments,p=a&&void 0!==t.__emotion_styles?t.__emotion_styles.slice(0):[];if(void 0!==r&&p.push("label:"+r+";"),null==d[0]||void 0===d[0].raw)p.push.apply(p,d);else{p.push(d[0][0]);for(var f=d.length,h=1;h<f;h++)p.push(d[h],d[0][h])}var g=gn((function(e,t,n){var r=c&&e.as||s,a="",d=[],f=e;if(null==e.theme){for(var h in f={},e)f[h]=e[h];f.theme=o.useContext(vn)}"string"==typeof e.className?a=Yt(t.registered,d,e.className):null!=e.className&&(a=e.className+" ");var m=cn(p.concat(d),t.registered,f);a+=t.key+"-"+m.name,void 0!==i&&(a+=" "+i);var g=c&&void 0===l?fb(r):u,v={};for(var y in e)c&&"as"===y||g(y)&&(v[y]=e[y]);return v.className=a,v.ref=n,o.createElement(o.Fragment,null,o.createElement(mb,{cache:t,serialized:m,isStringTag:"string"==typeof r}),o.createElement(r,v))}));return g.displayName=void 0!==r?r:"Styled("+("string"==typeof s?s:s.displayName||s.name||"Component")+")",g.defaultProps=t.defaultProps,g.__emotion_real=g,g.__emotion_base=s,g.__emotion_styles=p,g.__emotion_forwardProp=l,Object.defineProperty(g,"toString",{value:function(){return"."+i}}),g.withComponent=function(t,r){return e(t,m({},n,r,{shouldForwardProp:hb(g,r,!0)})).apply(void 0,p)},g}};function vb(e,{target:t=document.body}={}){if("string"!=typeof e)throw new TypeError(`Expected parameter \`text\` to be a \`string\`, got \`${typeof e}\`.`);const n=document.createElement("textarea"),r=document.activeElement;n.value=e,n.setAttribute("readonly",""),n.style.contain="strict",n.style.position="absolute",n.style.left="-9999px",n.style.fontSize="12pt";const i=document.getSelection(),o=i.rangeCount>0&&i.getRangeAt(0);t.append(n),n.select(),n.selectionStart=0,n.selectionEnd=e.length;let a=!1;try{a=document.execCommand("copy")}catch{}return n.remove(),o&&(i.removeAllRanges(),i.addRange(o)),r&&r.focus(),a}var yb=__webpack_require__(67129);const bb=/\s+at.*[(\s](.*)\)?/,wb=/^(?:(?:(?:node|node:[\w/]+|(?:(?:node:)?internal\/[\w/]*|.*node_modules\/(?:babel-polyfill|pirates)\/.*)?\w+)(?:\.js)?:\d+:\d+)|native)/,_b=void 0===yb.homedir?"":yb.homedir().replace(/\\/g,"/");function xb(e,{pretty:t=!1,basePath:n}={}){const r=n&&new RegExp(`(at | \\()${function(e){if("string"!=typeof e)throw new TypeError("Expected a string");return e.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}(n.replace(/\\/g,"/"))}`,"g");if("string"==typeof e)return e.replace(/\\/g,"/").split("\n").filter((e=>{const t=e.match(bb);if(null===t||!t[1])return!0;const n=t[1];return!(n.includes(".app/Contents/Resources/electron.asar")||n.includes(".app/Contents/Resources/default_app.asar")||n.includes("node_modules/electron/dist/resources/electron.asar")||n.includes("node_modules/electron/dist/resources/default_app.asar")||wb.test(n))})).filter((e=>""!==e.trim())).map((e=>(r&&(e=e.replace(r,"$1")),t&&(e=e.replace(bb,((e,t)=>e.replace(t,t.replace(_b,"~"))))),e))).join("\n")}function kb(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Eb="https://github.com/decaporg/decap-cms/issues/new?";function Cb({title:e,config:t}){try{const n=function({config:e}){let t="";t="decap-cms@3.6.1";const n=function({version:e,provider:t,browser:n,config:r}){return`\n**Describe the bug**\n\n**To Reproduce**\n\n**Expected behavior**\n\n**Screenshots**\n\n**Applicable Versions:**\n - Decap CMS version: \`${e}\`\n - Git provider: \`${t}\`\n - Browser version: \`${n}\`\n\n**CMS configuration**\n\`\`\`\n${r}\n\`\`\`\n\n**Additional context**\n`}({version:"decap-cms@3.6.1",provider:e.backend.name,browser:navigator.userAgent,config:Ta().stringify(e)});return n}({config:t}),r=new URLSearchParams;return r.append("title",ua()(e,{length:100})),r.append("body",ua()(n,{length:4e3,omission:"\n..."})),r.append("labels","type: bug"),`${Eb}${r.toString()}`}catch(e){return console.log(e),`${Eb}template=bug_report.md`}}const Sb=gb("div",{target:"emw4gx72",label:"ErrorBoundaryContainer"})("padding:40px;h1{font-size:28px;color:",Kn.text,";}h2{font-size:20px;}strong{color:",Kn.textLead,";font-weight:500;}hr{width:200px;margin:30px 0;border:0;height:1px;background-color:",Kn.text,";}a{color:",Kn.active,";}"),Ab=gb("span",{target:"emw4gx71",label:"PrivacyWarning"})("color:",Kn.text,";"),Tb=gb("button",{target:"emw4gx70",label:"CopyButton"})(cr.button,";",cr.default,";",cr.gray,";display:block;margin:12px 0;");function Ob({entry:e,t}){return console.log(e),xn(o.Fragment,null,xn("hr",null),xn("h2",null,t("ui.errorBoundary.recoveredEntry.heading")),xn("strong",null,t("ui.errorBoundary.recoveredEntry.warning")),xn(Tb,{onClick:()=>vb(e)},t("ui.errorBoundary.recoveredEntry.copyButtonLabel")),xn("pre",null,xn("code",null,e)))}class Pb extends o.Component{constructor(...e){super(...e),kb(this,"state",{hasError:!1,errorMessage:"",errorTitle:"",backup:""})}static getDerivedStateFromError(e){return console.error(e),{hasError:!0,errorMessage:xb(e.stack,{basePath:window.location.origin||""}),errorTitle:e.toString()}}shouldComponentUpdate(e,t){return!this.props.showBackup||this.state.errorMessage!==t.errorMessage||this.state.backup!==t.backup}async componentDidUpdate(){if(this.props.showBackup){const e=await Fo.getItem("backup");e&&console.log(e),this.setState({backup:e})}}render(){const{hasError:e,errorMessage:t,backup:n,errorTitle:r}=this.state,{showBackup:i,t:a}=this.props;return e?xn(Sb,null,xn("h1",null,a("ui.errorBoundary.title")),xn("p",null,xn("span",null,a("ui.errorBoundary.details")),xn("a",{href:Cb({title:r,config:this.props.config}),target:"_blank",rel:"noopener noreferrer","data-testid":"issue-url"},a("ui.errorBoundary.reportIt"))),xn("p",null,a("ui.errorBoundary.privacyWarning").split("\n").map(((e,t)=>xn(o.Fragment,null,xn(Ab,{key:t},e),xn("br",null))))),xn("hr",null),xn("h2",null,a("ui.errorBoundary.detailsHeading")),xn("p",null,t),n&&i&&xn(Ob,{entry:n,t:a})):this.props.children}}kb(Pb,"propTypes",{children:ie().node,t:ie().func.isRequired,config:ie().object.isRequired});const Db=(0,gr.Iu)()(Pb);function Rb({label:e,imagesOnly:t,onChange:n,disabled:r,className:i}){return xn("label",{tabIndex:"0",className:`nc-fileUploadButton ${i||""}`},xn("span",null,e),xn("input",{type:"file",accept:t?"image/*":"*/*",onChange:n,disabled:r}))}Rb.propTypes={className:ie().string,label:ie().string.isRequired,imagesOnly:ie().bool,onChange:ie().func.isRequired,disabled:ie().bool};var Ib=__webpack_require__(37149),Mb=__webpack_require__.n(Ib),Lb={name:"1o9c9d2-ReactModalGlobalStyles",styles:".ReactModal__Body--open{overflow:hidden;};label:ReactModalGlobalStyles;"};function Nb(){return xn(kn,{styles:Lb})}const jb=`\n ${tr};\n background-color: #fff;\n border-radius: ${Yn.borderRadius};\n height: 80%;\n text-align: center;\n max-width: 2200px;\n padding: 20px;\n\n &:focus {\n outline: none;\n }\n `,Fb=`\n z-index: ${hr.zIndex99999};\n position: fixed;\n top: 0;\n left: 0;\n right: 0;\n bottom: 0;\n display: flex;\n justify-content: center;\n align-items: center;\n opacity: 0;\n background-color: rgba(0, 0, 0, 0);\n transition: background-color ${Xn}, opacity ${Xn};\n `;class Bb extends o.Component{componentDidMount(){Mb().setAppElement("#nc-root")}render(){const{isOpen:e,children:t,className:n,onClose:r}=this.props;return xn(o.Fragment,null,xn(Nb,null),xn(Tn,null,(({css:i,cx:o})=>xn(Mb(),{isOpen:e,onRequestClose:r,closeTimeoutMS:300,className:{base:o(i`
${jb};
`,n),afterOpen:"",beforeClose:""},overlayClassName:{base:i`
${Fb};
`,afterOpen:i`
${"\n background-color: rgba(0, 0, 0, 0.6);\n opacity: 1;\n "};
`,beforeClose:i`
${"\n background-color: rgba(0, 0, 0, 0);\n opacity: 0;\n "};
`}},t))))}}function zb(e){var t,n,r="";if("string"==typeof e||"number"==typeof e)r+=e;else if("object"==typeof e)if(Array.isArray(e))for(t=0;t<e.length;t++)e[t]&&(n=zb(e[t]))&&(r&&(r+=" "),r+=n);else for(t in e)e[t]&&(r&&(r+=" "),r+=t);return r}var Ub,qb,$b;Ub=Bb,qb="propTypes",$b={children:ie().node.isRequired,isOpen:ie().bool.isRequired,className:ie().string,onClose:ie().func.isRequired},qb=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(qb),qb in Ub?Object.defineProperty(Ub,qb,{value:$b,enumerable:!0,configurable:!0,writable:!0}):Ub[qb]=$b;const Wb=function(){for(var e,t,n=0,r="";n<arguments.length;)(e=arguments[n++])&&(t=zb(e))&&(r&&(r+=" "),r+=t);return r},Hb=e=>"number"==typeof e&&!isNaN(e),Vb=e=>"string"==typeof e,Gb=e=>"function"==typeof e,Kb=e=>Vb(e)||Gb(e)?e:null,Yb=e=>(0,o.isValidElement)(e)||Vb(e)||Gb(e)||Hb(e);function Zb(e){let{enter:t,exit:n,appendPosition:r=!1,collapse:i=!0,collapseDuration:a=300}=e;return function(e){let{children:s,position:l,preventExitTransition:u,done:c,nodeRef:d,isIn:p}=e;const f=r?`${t}--${l}`:t,h=r?`${n}--${l}`:n,m=(0,o.useRef)(0);return(0,o.useLayoutEffect)((()=>{const e=d.current,t=f.split(" "),n=r=>{r.target===d.current&&(e.dispatchEvent(new Event("d")),e.removeEventListener("animationend",n),e.removeEventListener("animationcancel",n),0===m.current&&"animationcancel"!==r.type&&e.classList.remove(...t))};e.classList.add(...t),e.addEventListener("animationend",n),e.addEventListener("animationcancel",n)}),[]),(0,o.useEffect)((()=>{const e=d.current,t=()=>{e.removeEventListener("animationend",t),i?function(e,t,n){void 0===n&&(n=300);const{scrollHeight:r,style:i}=e;requestAnimationFrame((()=>{i.minHeight="initial",i.height=r+"px",i.transition=`all ${n}ms`,requestAnimationFrame((()=>{i.height="0",i.padding="0",i.margin="0",setTimeout(t,n)}))}))}(e,c,a):c()};p||(u?t():(m.current=1,e.className+=` ${h}`,e.addEventListener("animationend",t)))}),[p]),o.createElement(o.Fragment,null,s)}}function Xb(e,t){return null!=e?{content:e.content,containerId:e.props.containerId,id:e.props.toastId,theme:e.props.theme,type:e.props.type,data:e.props.data||{},isLoading:e.props.isLoading,icon:e.props.icon,status:t}:{}}const Qb={list:new Map,emitQueue:new Map,on(e,t){return this.list.has(e)||this.list.set(e,[]),this.list.get(e).push(t),this},off(e,t){if(t){const n=this.list.get(e).filter((e=>e!==t));return this.list.set(e,n),this}return this.list.delete(e),this},cancelEmit(e){const t=this.emitQueue.get(e);return t&&(t.forEach(clearTimeout),this.emitQueue.delete(e)),this},emit(e){this.list.has(e)&&this.list.get(e).forEach((t=>{const n=setTimeout((()=>{t(...[].slice.call(arguments,1))}),0);this.emitQueue.has(e)||this.emitQueue.set(e,[]),this.emitQueue.get(e).push(n)}))}},Jb=e=>{let{theme:t,type:n,...r}=e;return o.createElement("svg",{viewBox:"0 0 24 24",width:"100%",height:"100%",fill:"colored"===t?"currentColor":`var(--toastify-icon-color-${n})`,...r})},ew={info:function(e){return o.createElement(Jb,{...e},o.createElement("path",{d:"M12 0a12 12 0 1012 12A12.013 12.013 0 0012 0zm.25 5a1.5 1.5 0 11-1.5 1.5 1.5 1.5 0 011.5-1.5zm2.25 13.5h-4a1 1 0 010-2h.75a.25.25 0 00.25-.25v-4.5a.25.25 0 00-.25-.25h-.75a1 1 0 010-2h1a2 2 0 012 2v4.75a.25.25 0 00.25.25h.75a1 1 0 110 2z"}))},warning:function(e){return o.createElement(Jb,{...e},o.createElement("path",{d:"M23.32 17.191L15.438 2.184C14.728.833 13.416 0 11.996 0c-1.42 0-2.733.833-3.443 2.184L.533 17.448a4.744 4.744 0 000 4.368C1.243 23.167 2.555 24 3.975 24h16.05C22.22 24 24 22.044 24 19.632c0-.904-.251-1.746-.68-2.44zm-9.622 1.46c0 1.033-.724 1.823-1.698 1.823s-1.698-.79-1.698-1.822v-.043c0-1.028.724-1.822 1.698-1.822s1.698.79 1.698 1.822v.043zm.039-12.285l-.84 8.06c-.057.581-.408.943-.897.943-.49 0-.84-.367-.896-.942l-.84-8.065c-.057-.624.25-1.095.779-1.095h1.91c.528.005.84.476.784 1.1z"}))},success:function(e){return o.createElement(Jb,{...e},o.createElement("path",{d:"M12 0a12 12 0 1012 12A12.014 12.014 0 0012 0zm6.927 8.2l-6.845 9.289a1.011 1.011 0 01-1.43.188l-4.888-3.908a1 1 0 111.25-1.562l4.076 3.261 6.227-8.451a1 1 0 111.61 1.183z"}))},error:function(e){return o.createElement(Jb,{...e},o.createElement("path",{d:"M11.983 0a12.206 12.206 0 00-8.51 3.653A11.8 11.8 0 000 12.207 11.779 11.779 0 0011.8 24h.214A12.111 12.111 0 0024 11.791 11.766 11.766 0 0011.983 0zM10.5 16.542a1.476 1.476 0 011.449-1.53h.027a1.527 1.527 0 011.523 1.47 1.475 1.475 0 01-1.449 1.53h-.027a1.529 1.529 0 01-1.523-1.47zM11 12.5v-6a1 1 0 012 0v6a1 1 0 11-2 0z"}))},spinner:function(){return o.createElement("div",{className:"Toastify__spinner"})}};function tw(e){return e.targetTouches&&e.targetTouches.length>=1?e.targetTouches[0].clientX:e.clientX}function nw(e){return e.targetTouches&&e.targetTouches.length>=1?e.targetTouches[0].clientY:e.clientY}function rw(e){let{closeToast:t,theme:n,ariaLabel:r="close"}=e;return o.createElement("button",{className:`Toastify__close-button Toastify__close-button--${n}`,type:"button",onClick:e=>{e.stopPropagation(),t(e)},"aria-label":r},o.createElement("svg",{"aria-hidden":"true",viewBox:"0 0 14 16"},o.createElement("path",{fillRule:"evenodd",d:"M7.71 8.23l3.75 3.75-1.48 1.48-3.75-3.75-3.75 3.75L1 11.98l3.75-3.75L1 4.48 2.48 3l3.75 3.75L9.98 3l1.48 1.48-3.75 3.75z"})))}function iw(e){let{delay:t,isRunning:n,closeToast:r,type:i="default",hide:a,className:s,style:l,controlledProgress:u,progress:c,rtl:d,isIn:p,theme:f}=e;const h=a||u&&0===c,m={...l,animationDuration:`${t}ms`,animationPlayState:n?"running":"paused",opacity:h?0:1};u&&(m.transform=`scaleX(${c})`);const g=Wb("Toastify__progress-bar",u?"Toastify__progress-bar--controlled":"Toastify__progress-bar--animated",`Toastify__progress-bar-theme--${f}`,`Toastify__progress-bar--${i}`,{"Toastify__progress-bar--rtl":d}),v=Gb(s)?s({rtl:d,type:i,defaultClassName:g}):Wb(g,s);return o.createElement("div",{role:"progressbar","aria-hidden":h?"true":"false","aria-label":"notification timer",className:v,style:m,[u&&c>=1?"onTransitionEnd":"onAnimationEnd"]:u&&c<1?null:()=>{p&&r()}})}const ow=e=>{const{isRunning:t,preventExitTransition:n,toastRef:r,eventHandlers:i}=function(e){const[t,n]=(0,o.useState)(!1),[r,i]=(0,o.useState)(!1),a=(0,o.useRef)(null),s=(0,o.useRef)({start:0,x:0,y:0,delta:0,removalDistance:0,canCloseOnClick:!0,canDrag:!1,boundingRect:null,didMove:!1}).current,l=(0,o.useRef)(e),{autoClose:u,pauseOnHover:c,closeToast:d,onClick:p,closeOnClick:f}=e;function h(t){if(e.draggable){"touchstart"===t.nativeEvent.type&&t.nativeEvent.preventDefault(),s.didMove=!1,document.addEventListener("mousemove",y),document.addEventListener("mouseup",b),document.addEventListener("touchmove",y),document.addEventListener("touchend",b);const n=a.current;s.canCloseOnClick=!0,s.canDrag=!0,s.boundingRect=n.getBoundingClientRect(),n.style.transition="",s.x=tw(t.nativeEvent),s.y=nw(t.nativeEvent),"x"===e.draggableDirection?(s.start=s.x,s.removalDistance=n.offsetWidth*(e.draggablePercent/100)):(s.start=s.y,s.removalDistance=n.offsetHeight*(80===e.draggablePercent?1.5*e.draggablePercent:e.draggablePercent/100))}}function m(t){if(s.boundingRect){const{top:n,bottom:r,left:i,right:o}=s.boundingRect;"touchend"!==t.nativeEvent.type&&e.pauseOnHover&&s.x>=i&&s.x<=o&&s.y>=n&&s.y<=r?v():g()}}function g(){n(!0)}function v(){n(!1)}function y(n){const r=a.current;s.canDrag&&r&&(s.didMove=!0,t&&v(),s.x=tw(n),s.y=nw(n),s.delta="x"===e.draggableDirection?s.x-s.start:s.y-s.start,s.start!==s.x&&(s.canCloseOnClick=!1),r.style.transform=`translate${e.draggableDirection}(${s.delta}px)`,r.style.opacity=""+(1-Math.abs(s.delta/s.removalDistance)))}function b(){document.removeEventListener("mousemove",y),document.removeEventListener("mouseup",b),document.removeEventListener("touchmove",y),document.removeEventListener("touchend",b);const t=a.current;if(s.canDrag&&s.didMove&&t){if(s.canDrag=!1,Math.abs(s.delta)>s.removalDistance)return i(!0),void e.closeToast();t.style.transition="transform 0.2s, opacity 0.2s",t.style.transform=`translate${e.draggableDirection}(0)`,t.style.opacity="1"}}(0,o.useEffect)((()=>{l.current=e})),(0,o.useEffect)((()=>(a.current&&a.current.addEventListener("d",g,{once:!0}),Gb(e.onOpen)&&e.onOpen((0,o.isValidElement)(e.children)&&e.children.props),()=>{const e=l.current;Gb(e.onClose)&&e.onClose((0,o.isValidElement)(e.children)&&e.children.props)})),[]),(0,o.useEffect)((()=>(e.pauseOnFocusLoss&&(document.hasFocus()||v(),window.addEventListener("focus",g),window.addEventListener("blur",v)),()=>{e.pauseOnFocusLoss&&(window.removeEventListener("focus",g),window.removeEventListener("blur",v))})),[e.pauseOnFocusLoss]);const w={onMouseDown:h,onTouchStart:h,onMouseUp:m,onTouchEnd:m};return u&&c&&(w.onMouseEnter=v,w.onMouseLeave=g),f&&(w.onClick=e=>{p&&p(e),s.canCloseOnClick&&d()}),{playToast:g,pauseToast:v,isRunning:t,preventExitTransition:r,toastRef:a,eventHandlers:w}}(e),{closeButton:a,children:s,autoClose:l,onClick:u,type:c,hideProgressBar:d,closeToast:p,transition:f,position:h,className:m,style:g,bodyClassName:v,bodyStyle:y,progressClassName:b,progressStyle:w,updateId:_,role:x,progress:k,rtl:E,toastId:C,deleteToast:S,isIn:A,isLoading:T,iconOut:O,closeOnClick:P,theme:D}=e,R=Wb("Toastify__toast",`Toastify__toast-theme--${D}`,`Toastify__toast--${c}`,{"Toastify__toast--rtl":E},{"Toastify__toast--close-on-click":P}),I=Gb(m)?m({rtl:E,position:h,type:c,defaultClassName:R}):Wb(R,m),M=!!k||!l,L={closeToast:p,type:c,theme:D};let N=null;return!1===a||(N=Gb(a)?a(L):(0,o.isValidElement)(a)?(0,o.cloneElement)(a,L):rw(L)),o.createElement(f,{isIn:A,done:S,position:h,preventExitTransition:n,nodeRef:r},o.createElement("div",{id:C,onClick:u,className:I,...i,style:g,ref:r},o.createElement("div",{...A&&{role:x},className:Gb(v)?v({type:c}):Wb("Toastify__toast-body",v),style:y},null!=O&&o.createElement("div",{className:Wb("Toastify__toast-icon",{"Toastify--animate-icon Toastify__zoom-enter":!T})},O),o.createElement("div",null,s)),N,o.createElement(iw,{..._&&!M?{key:`pb-${_}`}:{},rtl:E,theme:D,delay:l,isRunning:t,isIn:A,closeToast:p,hide:d,type:c,style:w,className:b,controlledProgress:M,progress:k||0})))},aw=function(e,t){return void 0===t&&(t=!1),{enter:`Toastify--animate Toastify__${e}-enter`,exit:`Toastify--animate Toastify__${e}-exit`,appendPosition:t}},sw=Zb(aw("bounce",!0)),lw=(Zb(aw("slide",!0)),Zb(aw("zoom")),Zb(aw("flip")),(0,o.forwardRef)(((e,t)=>{const{getToastToRender:n,containerRef:r,isToastActive:i}=function(e){const[,t]=(0,o.useReducer)((e=>e+1),0),[n,r]=(0,o.useState)([]),i=(0,o.useRef)(null),a=(0,o.useRef)(new Map).current,s=e=>-1!==n.indexOf(e),l=(0,o.useRef)({toastKey:1,displayedToast:0,count:0,queue:[],props:e,containerId:null,isToastActive:s,getToast:e=>a.get(e)}).current;function u(e){let{containerId:t}=e;const{limit:n}=l.props;!n||t&&l.containerId!==t||(l.count-=l.queue.length,l.queue=[])}function c(e){r((t=>null==e?[]:t.filter((t=>t!==e))))}function d(){const{toastContent:e,toastProps:t,staleId:n}=l.queue.shift();f(e,t,n)}function p(e,n){let{delay:r,staleId:s,...u}=n;if(!Yb(e)||function(e){return!i.current||l.props.enableMultiContainer&&e.containerId!==l.props.containerId||a.has(e.toastId)&&null==e.updateId}(u))return;const{toastId:p,updateId:h,data:m}=u,{props:g}=l,v=()=>c(p),y=null==h;y&&l.count++;const b={...g,style:g.toastStyle,key:l.toastKey++,...Object.fromEntries(Object.entries(u).filter((e=>{let[t,n]=e;return null!=n}))),toastId:p,updateId:h,data:m,closeToast:v,isIn:!1,className:Kb(u.className||g.toastClassName),bodyClassName:Kb(u.bodyClassName||g.bodyClassName),progressClassName:Kb(u.progressClassName||g.progressClassName),autoClose:!u.isLoading&&(w=u.autoClose,_=g.autoClose,!1===w||Hb(w)&&w>0?w:_),deleteToast(){const e=Xb(a.get(p),"removed");a.delete(p),Qb.emit(4,e);const n=l.queue.length;if(l.count=null==p?l.count-l.displayedToast:l.count-1,l.count<0&&(l.count=0),n>0){const e=null==p?l.props.limit:1;if(1===n||1===e)l.displayedToast++,d();else{const t=e>n?n:e;l.displayedToast=t;for(let e=0;e<t;e++)d()}}else t()}};var w,_;b.iconOut=function(e){let{theme:t,type:n,isLoading:r,icon:i}=e,a=null;const s={theme:t,type:n};return!1===i||(Gb(i)?a=i(s):(0,o.isValidElement)(i)?a=(0,o.cloneElement)(i,s):Vb(i)||Hb(i)?a=i:r?a=ew.spinner():(e=>e in ew)(n)&&(a=ew[n](s))),a}(b),Gb(u.onOpen)&&(b.onOpen=u.onOpen),Gb(u.onClose)&&(b.onClose=u.onClose),b.closeButton=g.closeButton,!1===u.closeButton||Yb(u.closeButton)?b.closeButton=u.closeButton:!0===u.closeButton&&(b.closeButton=!Yb(g.closeButton)||g.closeButton);let x=e;(0,o.isValidElement)(e)&&!Vb(e.type)?x=(0,o.cloneElement)(e,{closeToast:v,toastProps:b,data:m}):Gb(e)&&(x=e({closeToast:v,toastProps:b,data:m})),g.limit&&g.limit>0&&l.count>g.limit&&y?l.queue.push({toastContent:x,toastProps:b,staleId:s}):Hb(r)?setTimeout((()=>{f(x,b,s)}),r):f(x,b,s)}function f(e,t,n){const{toastId:i}=t;n&&a.delete(n);const o={content:e,props:t};a.set(i,o),r((e=>[...e,i].filter((e=>e!==n)))),Qb.emit(4,Xb(o,null==o.props.updateId?"added":"updated"))}return(0,o.useEffect)((()=>(l.containerId=e.containerId,Qb.cancelEmit(3).on(0,p).on(1,(e=>i.current&&c(e))).on(5,u).emit(2,l),()=>{a.clear(),Qb.emit(3,l)})),[]),(0,o.useEffect)((()=>{l.props=e,l.isToastActive=s,l.displayedToast=n.length})),{getToastToRender:function(t){const n=new Map,r=Array.from(a.values());return e.newestOnTop&&r.reverse(),r.forEach((e=>{const{position:t}=e.props;n.has(t)||n.set(t,[]),n.get(t).push(e)})),Array.from(n,(e=>t(e[0],e[1])))},containerRef:i,isToastActive:s}}(e),{className:a,style:s,rtl:l,containerId:u}=e;function c(e){const t=Wb("Toastify__toast-container",`Toastify__toast-container--${e}`,{"Toastify__toast-container--rtl":l});return Gb(a)?a({position:e,rtl:l,defaultClassName:t}):Wb(t,Kb(a))}return(0,o.useEffect)((()=>{t&&(t.current=r.current)}),[]),o.createElement("div",{ref:r,className:"Toastify",id:u},n(((e,t)=>{const n=t.length?{...s}:{...s,pointerEvents:"none"};return o.createElement("div",{className:c(e),style:n,key:`container-${e}`},t.map(((e,n)=>{let{content:r,props:a}=e;return o.createElement(ow,{...a,isIn:i(a.toastId),style:{...a.style,"--nth":n+1,"--len":t.length},key:`toast-${a.key}`},r)})))})))})));lw.displayName="ToastContainer",lw.defaultProps={position:"top-right",transition:sw,autoClose:5e3,closeButton:rw,pauseOnHover:!0,pauseOnFocusLoss:!0,closeOnClick:!0,draggable:!0,draggablePercent:80,draggableDirection:"x",role:"alert",theme:"light"};let uw,cw=new Map,dw=[],pw=1;function fw(){return""+pw++}function hw(e){return e&&(Vb(e.toastId)||Hb(e.toastId))?e.toastId:fw()}function mw(e,t){return cw.size>0?Qb.emit(0,e,t):dw.push({content:e,options:t}),t.toastId}function gw(e,t){return{...t,type:t&&t.type||e,toastId:hw(t)}}function vw(e){return(t,n)=>mw(t,gw(e,n))}function yw(e,t){return mw(e,gw("default",t))}function bw(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function ww(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var _w;yw.loading=(e,t)=>mw(e,gw("default",{isLoading:!0,autoClose:!1,closeOnClick:!1,closeButton:!1,draggable:!1,...t})),yw.promise=function(e,t,n){let r,{pending:i,error:o,success:a}=t;i&&(r=Vb(i)?yw.loading(i,n):yw.loading(i.render,{...n,...i}));const s={isLoading:null,autoClose:null,closeOnClick:null,closeButton:null,draggable:null},l=(e,t,i)=>{if(null==t)return void yw.dismiss(r);const o={type:e,...s,...n,data:i},a=Vb(t)?{render:t}:t;return r?yw.update(r,{...o,...a}):yw(a.render,{...o,...a}),i},u=Gb(e)?e():e;return u.then((e=>l("success",a,e))).catch((e=>l("error",o,e))),u},yw.success=vw("success"),yw.info=vw("info"),yw.error=vw("error"),yw.warning=vw("warning"),yw.warn=yw.warning,yw.dark=(e,t)=>mw(e,gw("default",{theme:"dark",...t})),yw.dismiss=e=>{cw.size>0?Qb.emit(1,e):dw=dw.filter((t=>null!=e&&t.options.toastId!==e))},yw.clearWaitingQueue=function(e){return void 0===e&&(e={}),Qb.emit(5,e)},yw.isActive=e=>{let t=!1;return cw.forEach((n=>{n.isToastActive&&n.isToastActive(e)&&(t=!0)})),t},yw.update=function(e,t){void 0===t&&(t={}),setTimeout((()=>{const n=function(e,t){let{containerId:n}=t;const r=cw.get(n||uw);return r&&r.getToast(e)}(e,t);if(n){const{props:r,content:i}=n,o={delay:100,...r,...t,toastId:t.toastId||e,updateId:fw()};o.toastId!==e&&(o.staleId=e);const a=o.render||i;delete o.render,mw(a,o)}}),0)},yw.done=e=>{yw.update(e,{progress:1})},yw.onChange=e=>(Qb.on(4,e),()=>{Qb.off(4,e)}),yw.POSITION={TOP_LEFT:"top-left",TOP_RIGHT:"top-right",TOP_CENTER:"top-center",BOTTOM_LEFT:"bottom-left",BOTTOM_RIGHT:"bottom-right",BOTTOM_CENTER:"bottom-center"},yw.TYPE={INFO:"info",SUCCESS:"success",WARNING:"warning",ERROR:"error",DEFAULT:"default"},Qb.on(2,(e=>{uw=e.containerId||e,cw.set(uw,e),dw.forEach((e=>{Qb.emit(0,e.content,e.options)})),dw=[]})).on(3,(e=>{cw.delete(e.containerId||e),0===cw.size&&Qb.off(0).off(1).off(5)})),(_w=document.createElement("style")).innerText=":root{--toastify-color-light:#fff;--toastify-color-dark:#121212;--toastify-color-info:#3498db;--toastify-color-success:#07bc0c;--toastify-color-warning:#f1c40f;--toastify-color-error:#e74c3c;--toastify-color-transparent:hsla(0,0%,100%,.7);--toastify-icon-color-info:var(--toastify-color-info);--toastify-icon-color-success:var(--toastify-color-success);--toastify-icon-color-warning:var(--toastify-color-warning);--toastify-icon-color-error:var(--toastify-color-error);--toastify-toast-width:320px;--toastify-toast-background:#fff;--toastify-toast-min-height:64px;--toastify-toast-max-height:800px;--toastify-font-family:sans-serif;--toastify-z-index:9999;--toastify-text-color-light:#757575;--toastify-text-color-dark:#fff;--toastify-text-color-info:#fff;--toastify-text-color-success:#fff;--toastify-text-color-warning:#fff;--toastify-text-color-error:#fff;--toastify-spinner-color:#616161;--toastify-spinner-color-empty-area:#e0e0e0;--toastify-color-progress-light:linear-gradient(90deg,#4cd964,#5ac8fa,#007aff,#34aadc,#5856d6,#ff2d55);--toastify-color-progress-dark:#bb86fc;--toastify-color-progress-info:var(--toastify-color-info);--toastify-color-progress-success:var(--toastify-color-success);--toastify-color-progress-warning:var(--toastify-color-warning);--toastify-color-progress-error:var(--toastify-color-error)}.Toastify__toast-container{z-index:var(--toastify-z-index);-webkit-transform:translateZ(var(--toastify-z-index));position:fixed;padding:4px;width:var(--toastify-toast-width);box-sizing:border-box;color:#fff}.Toastify__toast-container--top-left{top:1em;left:1em}.Toastify__toast-container--top-center{top:1em;left:50%;transform:translateX(-50%)}.Toastify__toast-container--top-right{top:1em;right:1em}.Toastify__toast-container--bottom-left{bottom:1em;left:1em}.Toastify__toast-container--bottom-center{bottom:1em;left:50%;transform:translateX(-50%)}.Toastify__toast-container--bottom-right{bottom:1em;right:1em}@media only screen and (max-width:480px){.Toastify__toast-container{width:100vw;padding:0;left:0;margin:0}.Toastify__toast-container--top-center,.Toastify__toast-container--top-left,.Toastify__toast-container--top-right{top:0;transform:translateX(0)}.Toastify__toast-container--bottom-center,.Toastify__toast-container--bottom-left,.Toastify__toast-container--bottom-right{bottom:0;transform:translateX(0)}.Toastify__toast-container--rtl{right:0;left:auto}}.Toastify__toast{position:relative;min-height:var(--toastify-toast-min-height);box-sizing:border-box;margin-bottom:1rem;padding:8px;border-radius:4px;box-shadow:0 1px 10px 0 rgba(0,0,0,.1),0 2px 15px 0 rgba(0,0,0,.05);display:-ms-flexbox;display:flex;-ms-flex-pack:justify;justify-content:space-between;max-height:var(--toastify-toast-max-height);overflow:hidden;font-family:var(--toastify-font-family);cursor:default;direction:ltr;z-index:0}.Toastify__toast--rtl{direction:rtl}.Toastify__toast--close-on-click{cursor:pointer}.Toastify__toast-body{margin:auto 0;-ms-flex:1 1 auto;flex:1 1 auto;padding:6px;display:-ms-flexbox;display:flex;-ms-flex-align:center;align-items:center}.Toastify__toast-body>div:last-child{word-break:break-word;-ms-flex:1;flex:1}.Toastify__toast-icon{-webkit-margin-end:10px;margin-inline-end:10px;width:20px;-ms-flex-negative:0;flex-shrink:0;display:-ms-flexbox;display:flex}.Toastify--animate{animation-fill-mode:both;animation-duration:.7s}.Toastify--animate-icon{animation-fill-mode:both;animation-duration:.3s}@media only screen and (max-width:480px){.Toastify__toast{margin-bottom:0;border-radius:0}}.Toastify__toast-theme--dark{background:var(--toastify-color-dark);color:var(--toastify-text-color-dark)}.Toastify__toast-theme--colored.Toastify__toast--default,.Toastify__toast-theme--light{background:var(--toastify-color-light);color:var(--toastify-text-color-light)}.Toastify__toast-theme--colored.Toastify__toast--info{color:var(--toastify-text-color-info);background:var(--toastify-color-info)}.Toastify__toast-theme--colored.Toastify__toast--success{color:var(--toastify-text-color-success);background:var(--toastify-color-success)}.Toastify__toast-theme--colored.Toastify__toast--warning{color:var(--toastify-text-color-warning);background:var(--toastify-color-warning)}.Toastify__toast-theme--colored.Toastify__toast--error{color:var(--toastify-text-color-error);background:var(--toastify-color-error)}.Toastify__progress-bar-theme--light{background:var(--toastify-color-progress-light)}.Toastify__progress-bar-theme--dark{background:var(--toastify-color-progress-dark)}.Toastify__progress-bar--info{background:var(--toastify-color-progress-info)}.Toastify__progress-bar--success{background:var(--toastify-color-progress-success)}.Toastify__progress-bar--warning{background:var(--toastify-color-progress-warning)}.Toastify__progress-bar--error{background:var(--toastify-color-progress-error)}.Toastify__progress-bar-theme--colored.Toastify__progress-bar--error,.Toastify__progress-bar-theme--colored.Toastify__progress-bar--info,.Toastify__progress-bar-theme--colored.Toastify__progress-bar--success,.Toastify__progress-bar-theme--colored.Toastify__progress-bar--warning{background:var(--toastify-color-transparent)}.Toastify__close-button{color:#fff;background:transparent;outline:none;border:none;padding:0;cursor:pointer;opacity:.7;transition:.3s ease;-ms-flex-item-align:start;align-self:flex-start}.Toastify__close-button--light{color:#000;opacity:.3}.Toastify__close-button>svg{fill:currentColor;height:16px;width:14px}.Toastify__close-button:focus,.Toastify__close-button:hover{opacity:1}@keyframes Toastify__trackProgress{0%{transform:scaleX(1)}to{transform:scaleX(0)}}.Toastify__progress-bar{position:absolute;bottom:0;left:0;width:100%;height:5px;z-index:var(--toastify-z-index);opacity:.7;transform-origin:left}.Toastify__progress-bar--animated{animation:Toastify__trackProgress linear 1 forwards}.Toastify__progress-bar--controlled{transition:transform .2s}.Toastify__progress-bar--rtl{right:0;left:auto;transform-origin:right}.Toastify__spinner{width:20px;height:20px;box-sizing:border-box;border:2px solid;border-radius:100%;border-color:var(--toastify-spinner-color-empty-area);border-right-color:var(--toastify-spinner-color);animation:Toastify__spin .65s linear infinite}@keyframes Toastify__bounceInRight{0%,60%,75%,90%,to{animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;transform:translate3d(3000px,0,0)}60%{opacity:1;transform:translate3d(-25px,0,0)}75%{transform:translate3d(10px,0,0)}90%{transform:translate3d(-5px,0,0)}to{transform:none}}@keyframes Toastify__bounceOutRight{20%{opacity:1;transform:translate3d(-20px,0,0)}to{opacity:0;transform:translate3d(2000px,0,0)}}@keyframes Toastify__bounceInLeft{0%,60%,75%,90%,to{animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;transform:translate3d(-3000px,0,0)}60%{opacity:1;transform:translate3d(25px,0,0)}75%{transform:translate3d(-10px,0,0)}90%{transform:translate3d(5px,0,0)}to{transform:none}}@keyframes Toastify__bounceOutLeft{20%{opacity:1;transform:translate3d(20px,0,0)}to{opacity:0;transform:translate3d(-2000px,0,0)}}@keyframes Toastify__bounceInUp{0%,60%,75%,90%,to{animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;transform:translate3d(0,3000px,0)}60%{opacity:1;transform:translate3d(0,-20px,0)}75%{transform:translate3d(0,10px,0)}90%{transform:translate3d(0,-5px,0)}to{transform:translateZ(0)}}@keyframes Toastify__bounceOutUp{20%{transform:translate3d(0,-10px,0)}40%,45%{opacity:1;transform:translate3d(0,20px,0)}to{opacity:0;transform:translate3d(0,-2000px,0)}}@keyframes Toastify__bounceInDown{0%,60%,75%,90%,to{animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;transform:translate3d(0,-3000px,0)}60%{opacity:1;transform:translate3d(0,25px,0)}75%{transform:translate3d(0,-10px,0)}90%{transform:translate3d(0,5px,0)}to{transform:none}}@keyframes Toastify__bounceOutDown{20%{transform:translate3d(0,10px,0)}40%,45%{opacity:1;transform:translate3d(0,-20px,0)}to{opacity:0;transform:translate3d(0,2000px,0)}}.Toastify__bounce-enter--bottom-left,.Toastify__bounce-enter--top-left{animation-name:Toastify__bounceInLeft}.Toastify__bounce-enter--bottom-right,.Toastify__bounce-enter--top-right{animation-name:Toastify__bounceInRight}.Toastify__bounce-enter--top-center{animation-name:Toastify__bounceInDown}.Toastify__bounce-enter--bottom-center{animation-name:Toastify__bounceInUp}.Toastify__bounce-exit--bottom-left,.Toastify__bounce-exit--top-left{animation-name:Toastify__bounceOutLeft}.Toastify__bounce-exit--bottom-right,.Toastify__bounce-exit--top-right{animation-name:Toastify__bounceOutRight}.Toastify__bounce-exit--top-center{animation-name:Toastify__bounceOutUp}.Toastify__bounce-exit--bottom-center{animation-name:Toastify__bounceOutDown}@keyframes Toastify__zoomIn{0%{opacity:0;transform:scale3d(.3,.3,.3)}50%{opacity:1}}@keyframes Toastify__zoomOut{0%{opacity:1}50%{opacity:0;transform:scale3d(.3,.3,.3)}to{opacity:0}}.Toastify__zoom-enter{animation-name:Toastify__zoomIn}.Toastify__zoom-exit{animation-name:Toastify__zoomOut}@keyframes Toastify__flipIn{0%{transform:perspective(400px) rotateX(90deg);animation-timing-function:ease-in;opacity:0}40%{transform:perspective(400px) rotateX(-20deg);animation-timing-function:ease-in}60%{transform:perspective(400px) rotateX(10deg);opacity:1}80%{transform:perspective(400px) rotateX(-5deg)}to{transform:perspective(400px)}}@keyframes Toastify__flipOut{0%{transform:perspective(400px)}30%{transform:perspective(400px) rotateX(-20deg);opacity:1}to{transform:perspective(400px) rotateX(90deg);opacity:0}}.Toastify__flip-enter{animation-name:Toastify__flipIn}.Toastify__flip-exit{animation-name:Toastify__flipOut}@keyframes Toastify__slideInRight{0%{transform:translate3d(110%,0,0);visibility:visible}to{transform:translateZ(0)}}@keyframes Toastify__slideInLeft{0%{transform:translate3d(-110%,0,0);visibility:visible}to{transform:translateZ(0)}}@keyframes Toastify__slideInUp{0%{transform:translate3d(0,110%,0);visibility:visible}to{transform:translateZ(0)}}@keyframes Toastify__slideInDown{0%{transform:translate3d(0,-110%,0);visibility:visible}to{transform:translateZ(0)}}@keyframes Toastify__slideOutRight{0%{transform:translateZ(0)}to{visibility:hidden;transform:translate3d(110%,0,0)}}@keyframes Toastify__slideOutLeft{0%{transform:translateZ(0)}to{visibility:hidden;transform:translate3d(-110%,0,0)}}@keyframes Toastify__slideOutDown{0%{transform:translateZ(0)}to{visibility:hidden;transform:translate3d(0,500px,0)}}@keyframes Toastify__slideOutUp{0%{transform:translateZ(0)}to{visibility:hidden;transform:translate3d(0,-500px,0)}}.Toastify__slide-enter--bottom-left,.Toastify__slide-enter--top-left{animation-name:Toastify__slideInLeft}.Toastify__slide-enter--bottom-right,.Toastify__slide-enter--top-right{animation-name:Toastify__slideInRight}.Toastify__slide-enter--top-center{animation-name:Toastify__slideInDown}.Toastify__slide-enter--bottom-center{animation-name:Toastify__slideInUp}.Toastify__slide-exit--bottom-left,.Toastify__slide-exit--top-left{animation-name:Toastify__slideOutLeft}.Toastify__slide-exit--bottom-right,.Toastify__slide-exit--top-right{animation-name:Toastify__slideOutRight}.Toastify__slide-exit--top-center{animation-name:Toastify__slideOutUp}.Toastify__slide-exit--bottom-center{animation-name:Toastify__slideOutDown}@keyframes Toastify__spin{0%{transform:rotate(0deg)}to{transform:rotate(1turn)}}",document.head.appendChild(_w);const xw=G((function({notifications:e}){return{notifications:e.notifications}}))((function({notifications:e}){const t=(0,gr.qM)(),n=J(),[r,i]=o.useState({});return(0,o.useEffect)((()=>{e.filter((e=>!r[e.id])).forEach((e=>{const o=yw("string"==typeof e.message?e.message:t(e.message.key,function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?bw(Object(n),!0).forEach((function(t){ww(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):bw(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({},e.message)),{autoClose:e.dismissAfter,type:e.type});r[e.id]=o,i(r),e.dismissAfter&&setTimeout((()=>{n(ic(e.id))}),e.dismissAfter)})),Object.entries(r).forEach((([t,n])=>{e.find((e=>e.id===t))||(yw.dismiss(n),delete r[t],i(r))}))}),[e]),yw.onChange((e=>{if("removed"==e.status){var t;const i=null===(t=Object.entries(r).find((([,t])=>t===e.id)))||void 0===t?void 0:t[0];i&&n(ic(i))}})),xn(o.Fragment,null,xn(lw,{position:"top-right",theme:"colored",className:"notif__container"}))}));var kw=__webpack_require__(6448),Ew=__webpack_require__(34519),Cw=__webpack_require__.n(Ew),Sw=function(e){return xn("svg",e,xn("path",{d:"M19 14h-4a1 1 0 0 0-1 1v4a1 1 0 0 1-1 1h-2a1 1 0 0 1-1-1v-4a1 1 0 0 0-1-1H5a1 1 0 0 1-1-1v-2a1 1 0 0 1 1-1h4a1 1 0 0 0 1-1V5a1 1 0 0 1 1-1h2a1 1 0 0 1 1 1v4a1 1 0 0 0 1 1h4a1 1 0 0 1 1 1v2a1 1 0 0 1-1 1Z"}))};Sw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var Aw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",fillRule:"evenodd",d:"M16.5 16 13 12h7l-3.5 4Zm-4-5.75H9.75a.5.5 0 0 0-.5.5v2.75a.5.5 0 0 1-.5.5h-1.5a.5.5 0 0 1-.5-.5v-2.75a.5.5 0 0 0-.5-.5H3.5a.5.5 0 0 1-.5-.5v-1.5a.5.5 0 0 1 .5-.5h2.75a.5.5 0 0 0 .5-.5V4.5a.5.5 0 0 1 .5-.5h1.5a.5.5 0 0 1 .5.5v2.75a.5.5 0 0 0 .5.5h2.75a.5.5 0 0 1 .5.5v1.5a.5.5 0 0 1-.5.5Z"}))};Aw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Tw=function(e){return xn("svg",e,xn("path",{fill:"#313D3E",d:"m10.506 19.916 1.903-1.953-4.744-4.67H21.31V10.55H7.665l4.744-4.682-1.903-1.953-7.95 8z"}))};Tw.defaultProps={viewBox:"0 0 24 24"};var Ow=function(e){return xn("svg",e,xn("path",{d:"M14.015 4.217 7.1 9.927 1.5 19.751l5.27.055zm.77 1.263-3.02 8.014 5.6 6.313-10.76 1.92 17.895.056Z",fill:"#2684FF"}))};Ow.defaultProps={viewBox:"0 0 26 26",height:"26",width:"26"};var Pw=function(e){return xn("svg",e,xn("path",{d:"M2.776 3a.655.655 0 0 0-.655.76l2.781 16.886c.072.427.44.74.872.744h13.344a.655.655 0 0 0 .655-.55l2.782-17.077a.655.655 0 0 0-.655-.76L2.776 3Zm11.713 12.204h-4.26L9.076 9.18h6.445l-1.032 6.025Z",fill:"#2684FF"}))};Pw.defaultProps={width:"26",height:"26",viewBox:"0 0 26 26"};var Dw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M13.2 9.819c.812-.577 1.381-1.525 1.381-2.404 0-1.948-1.465-3.448-3.348-3.448H6v12.066h5.894c1.75 0 3.106-1.465 3.106-3.267 0-1.31-.72-2.43-1.8-2.947ZM8.108 6.122h2.85c.788 0 1.424.577 1.424 1.293 0 .715-.636 1.292-1.425 1.292h-2.85V6.122Zm3.324 7.756H8.108v-2.585h3.324c.789 0 1.425.577 1.425 1.292 0 .716-.636 1.293-1.425 1.293Z"}))};Dw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Rw=function(e){return xn("svg",e,xn("path",{d:"m4.016 11-.648-.946a6.202 6.202 0 0 0-.157-.22 9.526 9.526 0 0 1-.096-.133l-.511-.7a7.413 7.413 0 0 0-.162-.214l-.102-.134-.265-.346a26.903 26.903 0 0 0-.543-.687l-.11-.136a21.767 21.767 0 0 0-.442-.54l-.278-.332a8.854 8.854 0 0 0-.192-.225L.417 6.28l-.283-.324L0 5.805l1.376-1.602c.04.027.186.132.186.132l.377.272.129.095c.08.058.16.115.237.175l.37.28c.192.142.382.292.565.436l.162.126c.27.21.503.398.714.574l.477.393c.078.064.156.127.23.194l.433.375.171-.205A50.865 50.865 0 0 1 8.18 4.023a35.163 35.163 0 0 1 2.382-2.213c.207-.174.42-.349.635-.518l.328-.255.333-.245c.072-.055.146-.107.221-.159l.117-.083c.11-.077.225-.155.341-.23.163-.11.334-.217.503-.32l1.158 1.74a11.908 11.908 0 0 0-.64.55l-.065.06c-.07.062-.139.125-.207.192l-.258.249-.26.265a21.72 21.72 0 0 0-.512.539 32.626 32.626 0 0 0-1.915 2.313 52.115 52.115 0 0 0-2.572 3.746l-.392.642-.19.322-.233.382H4.016z"}))};Rw.defaultProps={viewBox:"-1 -2 16 16"};var Iw=function(e){return xn("svg",e,xn("path",{d:"m5.123 6.33-2.26 2.137 8.656 9.15 9.344-9.105-2.17-2.228-7.084 6.902z"}))};Iw.defaultProps={viewBox:"0 0 24 24"};var Mw=function(e){return xn("svg",e,xn("path",{d:"m5.123 3.33-2.26 2.137 8.656 9.15 9.344-9.105-2.17-2.228-7.084 6.902z"}),xn("path",{d:"m5.123 10.33-2.26 2.137 8.656 9.15 9.344-9.105-2.17-2.228-7.084 6.902z"}))};Mw.defaultProps={viewBox:"0 0 24 24"};var Lw=function(e){return xn("svg",e,xn("circle",{cx:"12",cy:"12",r:"4"}))};Lw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var Nw=function(e){return xn("svg",e,xn("path",{d:"M11.916 9.96 6.282 4.345 4.398 6.401l5.634 5.616-5.616 5.617 1.849 2.022 5.616-5.617 5.616 5.6 1.884-2.04L13.765 12l5.633-5.634-1.866-2.022z"}))};Nw.defaultProps={viewBox:"0 0 24 24"};var jw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M9 14.6 4.649 10 9 5.4 7.676 4 2 10l5.676 6L9 14.6Zm3 0 4.351-4.6L12 5.4 13.324 4 19 10l-5.676 6L12 14.6Z"}))};jw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Fw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M10.103 13.32 7.05 10l3.052-3.32-1.325-1.01L4.798 10l3.98 4.33 1.325-1.01ZM5 4h12a2 2 0 0 1 2 2v8a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2Zm6.927 9.32 1.217.935 3.98-4.33-3.98-4.33-1.217 1.085L14.978 10l-3.05 3.32Z"}))};Fw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Bw=function(e){return xn("svg",e,xn("path",{d:"M3 15v-2h18v2H3Zm0-4V9h18v2H3Z"}))};Bw.defaultProps={viewBox:"0 0 24 24"};var zw=function(e){return xn("svg",e,xn("path",{d:"M22.557 11.492C21.13 10 16.849 6 12.395 6c-4.8 0-8.844 4-10.184 5.492a.798.798 0 0 0 0 1.081C3.55 14.043 7.616 18 12.395 18c4.756 0 8.8-3.914 10.162-5.405a.783.783 0 0 0 0-1.103Zm-10.14 4.692a4.152 4.152 0 0 1 0-8.303 4.152 4.152 0 0 1 4.15 4.151c0 2.292-1.88 4.152-4.15 4.152Zm0-2.79a1.362 1.362 0 1 0 0-2.724 1.362 1.362 0 0 0 0 2.725Z"}))};zw.defaultProps={viewBox:"0 0 24 24"};var Uw=function(e){return xn("svg",e,xn("path",{d:"M10 5H5a2 2 0 0 0-2 2v10a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V9a2 2 0 0 0-2-2h-7l-2-2Z"}))};Uw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var qw=function(e){return xn("svg",e,xn("path",{fillRule:"evenodd",d:"M8 0C3.58 0 0 3.58 0 8c0 3.54 2.29 6.53 5.47 7.59.4.07.55-.17.55-.38 0-.19-.01-.82-.01-1.49-2.01.37-2.53-.49-2.69-.94-.09-.23-.48-.94-.82-1.13-.28-.15-.68-.52-.01-.53.63-.01 1.08.58 1.23.82.72 1.21 1.87.87 2.33.66.07-.52.28-.87.51-1.07-1.78-.2-3.64-.89-3.64-3.95 0-.87.31-1.59.82-2.15-.08-.2-.36-1.02.08-2.12 0 0 .67-.21 2.2.82.64-.18 1.32-.27 2-.27.68 0 1.36.09 2 .27 1.53-1.04 2.2-.82 2.2-.82.44 1.1.16 1.92.08 2.12.51.56.82 1.27.82 2.15 0 3.07-1.87 3.75-3.65 3.95.29.25.54.73.54 1.48 0 1.07-.01 1.93-.01 2.2 0 .21.15.46.55.38A8.013 8.013 0 0 0 16 8c0-4.42-3.58-8-8-8z"}))};qw.defaultProps={width:"32",height:"32",viewBox:"0 0 16 16"};var $w=function(e){return xn("svg",e,xn("g",{fill:"none"},xn("path",{d:"M22.616 14.971 21.52 11.5l-2.173-6.882a.37.37 0 0 0-.71 0L16.465 11.5H9.252L7.079 4.617a.37.37 0 0 0-.71 0l-2.172 6.882L3.1 14.971c-.1.317.01.664.27.86l9.487 7.094 9.487-7.094a.781.781 0 0 0 .27-.86",fill:"#FC6D26"}),xn("path",{d:"M12.858 22.925 16.465 11.5H9.251z",fill:"#E24329"}),xn("path",{d:"M12.858 22.925 9.251 11.5H4.197z",fill:"#FC6D26"}),xn("path",{d:"M4.197 11.499 3.1 14.971c-.1.317.01.664.27.86l9.487 7.094L4.197 11.5z",fill:"#FCA326"}),xn("path",{d:"M4.197 11.499H9.25L7.08 4.617a.37.37 0 0 0-.71 0l-2.172 6.882z",fill:"#E24329"}),xn("path",{d:"M12.858 22.925 16.465 11.5h5.055z",fill:"#FC6D26"}),xn("path",{d:"m21.52 11.499 1.096 3.472c.1.317-.01.664-.271.86l-9.487 7.094L21.52 11.5z",fill:"#FCA326"}),xn("path",{d:"M21.52 11.499h-5.055l2.172-6.882a.37.37 0 0 1 .71 0l2.173 6.882z",fill:"#E24329"})))};$w.defaultProps={width:"26",height:"26",xmlns:"http://www.w3.org/2000/svg"};var Ww=function(e){return xn("svg",e,xn("g",{fill:"#609926"},xn("path",{d:"M622.7 149.8c-4.1-4.1-9.6-4-9.6-4s-117.2 6.6-177.9 8c-13.3.3-26.5.6-39.6.7v117.2c-5.5-2.6-11.1-5.3-16.6-7.9 0-36.4-.1-109.2-.1-109.2-29 .4-89.2-2.2-89.2-2.2s-141.4-7.1-156.8-8.5c-9.8-.6-22.5-2.1-39 1.5-8.7 1.8-33.5 7.4-53.8 26.9C-4.9 212.4 6.6 276.2 8 285.8c1.7 11.7 6.9 44.2 31.7 72.5 45.8 56.1 144.4 54.8 144.4 54.8s12.1 28.9 30.6 55.5c25 33.1 50.7 58.9 75.7 62 63 0 188.9-.1 188.9-.1s12 .1 28.3-10.3c14-8.5 26.5-23.4 26.5-23.4S547 483 565 451.5c5.5-9.7 10.1-19.1 14.1-28 0 0 55.2-117.1 55.2-231.1-1.1-34.5-9.6-40.6-11.6-42.6zM125.6 353.9c-25.9-8.5-36.9-18.7-36.9-18.7S69.6 321.8 60 295.4c-16.5-44.2-1.4-71.2-1.4-71.2s8.4-22.5 38.5-30c13.8-3.7 31-3.1 31-3.1s7.1 59.4 15.7 94.2c7.2 29.2 24.8 77.7 24.8 77.7s-26.1-3.1-43-9.1zm300.3 107.6s-6.1 14.5-19.6 15.4c-5.8.4-10.3-1.2-10.3-1.2s-.3-.1-5.3-2.1l-112.9-55s-10.9-5.7-12.8-15.6c-2.2-8.1 2.7-18.1 2.7-18.1L322 273s4.8-9.7 12.2-13c.6-.3 2.3-1 4.5-1.5 8.1-2.1 18 2.8 18 2.8L467.4 315s12.6 5.7 15.3 16.2c1.9 7.4-.5 14-1.8 17.2-6.3 15.4-55 113.1-55 113.1z"}),xn("path",{d:"M326.8 380.1c-8.2.1-15.4 5.8-17.3 13.8-1.9 8 2 16.3 9.1 20 7.7 4 17.5 1.8 22.7-5.4 5.1-7.1 4.3-16.9-1.8-23.1l24-49.1c1.5.1 3.7.2 6.2-.5 4.1-.9 7.1-3.6 7.1-3.6 4.2 1.8 8.6 3.8 13.2 6.1 4.8 2.4 9.3 4.9 13.4 7.3.9.5 1.8 1.1 2.8 1.9 1.6 1.3 3.4 3.1 4.7 5.5 1.9 5.5-1.9 14.9-1.9 14.9-2.3 7.6-18.4 40.6-18.4 40.6-8.1-.2-15.3 5-17.7 12.5-2.6 8.1 1.1 17.3 8.9 21.3 7.8 4 17.4 1.7 22.5-5.3 5-6.8 4.6-16.3-1.1-22.6 1.9-3.7 3.7-7.4 5.6-11.3 5-10.4 13.5-30.4 13.5-30.4.9-1.7 5.7-10.3 2.7-21.3-2.5-11.4-12.6-16.7-12.6-16.7-12.2-7.9-29.2-15.2-29.2-15.2s0-4.1-1.1-7.1c-1.1-3.1-2.8-5.1-3.9-6.3 4.7-9.7 9.4-19.3 14.1-29-4.1-2-8.1-4-12.2-6.1-4.8 9.8-9.7 19.7-14.5 29.5-6.7-.1-12.9 3.5-16.1 9.4-3.4 6.3-2.7 14.1 1.9 19.8l-24.6 50.4z"})))};Ww.defaultProps={viewBox:"0 0 640 640",className:"svg gitea-gitea",width:"16",height:"16","aria-hidden":"true",xmlns:"http://www.w3.org/2000/svg"};var Hw=function(e){return xn("svg",e,xn("path",{d:"M5 3h3a2 2 0 0 1 2 2v3a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2Zm11 0h3a2 2 0 0 1 2 2v3a2 2 0 0 1-2 2h-3a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2Zm0 11h3a2 2 0 0 1 2 2v3a2 2 0 0 1-2 2h-3a2 2 0 0 1-2-2v-3a2 2 0 0 1 2-2ZM5 14h3a2 2 0 0 1 2 2v3a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-3a2 2 0 0 1 2-2Z"}))};Hw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var Vw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M3 4h10v12H3V4Zm2 0v5h6V4H5Zm0 7v5h6v-5H5Zm12.068 5v-5.695h-.026l-1.612.767-.325-1.482 2.236-1.04h1.638V16h-1.911Z"}))};Vw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Gw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",fillRule:"evenodd",d:"M18.036 16h-5.532v-1.104l1.008-.912c1.704-1.524 2.532-2.4 2.556-3.312 0-.636-.384-1.14-1.284-1.14-.672 0-1.26.336-1.668.648L12.6 8.872c.588-.444 1.5-.804 2.556-.804 1.764 0 2.736 1.032 2.736 2.448 0 1.308-.948 2.352-2.076 3.36l-.72.6v.024h2.94V16ZM3 8h7v8H3V8Zm2 0v3h3V8H5Zm0 5v3h3v-3H5Z"}))};Gw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Kw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M3 4h10v12H3V4zm2 0v5h6V4H5zm0 7v5h6v-5H5m12.874 5.91-3.493-4.117h6.986l-3.493 4.117z"}))};Kw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Yw=function(e){return xn("svg",e,xn("path",{d:"M21 13h-2a1 1 0 0 0-1 1v6h-4v-5a1 1 0 0 0-1-1h-2a1 1 0 0 0-1 1v5H6v-6a1 1 0 0 0-1-1H3l9-9 9 9Z"}))};Yw.defaultProps={xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 24 24"};var Zw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M5 3h12a3 3 0 0 1 3 3v8a3 3 0 0 1-3 3H5a3 3 0 0 1-3-3V6a3 3 0 0 1 3-3Zm2.487 7.205-2.34 3.232a1 1 0 0 0 .81 1.586h9.954a1 1 0 0 0 .792-1.611L13.297 9l-3.451 4.469-2.36-3.264ZM8.5 8a1.5 1.5 0 1 0 0-3 1.5 1.5 0 0 0 0 3Z"}))};Zw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Xw=function(e){return xn("svg",e,xn("path",{d:"M8 15A7 7 0 1 1 8 1a7 7 0 0 1 0 14zm0 1A8 8 0 1 0 8 0a8 8 0 0 0 0 16z"}),xn("path",{d:"m8.93 6.588-2.29.287-.082.38.45.083c.294.07.352.176.288.469l-.738 3.468c-.194.897.105 1.319.808 1.319.545 0 1.178-.252 1.465-.598l.088-.416c-.2.176-.492.246-.686.246-.275 0-.375-.193-.304-.533L8.93 6.588zM9 4.5a1 1 0 1 1-2 0 1 1 0 0 1 2 0z"}))};Xw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"16",height:"16",fill:"currentColor",className:"bi bi-info-circle",viewBox:"0 0 16 16"};var Qw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M8 4.012V6h2.312l-2.925 8H5v1.988h7V14H9.953l2.925-8H15V4.012z"}))};Qw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var Jw=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"m14.683 10.315-1.256-1.253 1.253-1.254a1.767 1.767 0 0 0 0-2.503 1.765 1.765 0 0 0-2.503 0L9.67 7.81a1.766 1.766 0 0 0 0 2.504l-1.25 1.247-.625-.626a2.657 2.657 0 0 1-.002-3.756l3.135-3.136a3.543 3.543 0 0 1 5.007.009 3.544 3.544 0 0 1 .003 5.007l-1.255 1.255Zm-9.394-.626v-.003l1.252 1.249L5.29 12.19a1.767 1.767 0 0 0 0 2.505c.697.695 1.813.69 2.504 0l2.506-2.506a1.766 1.766 0 0 0 0-2.504l1.249-1.249.622.625a2.654 2.654 0 0 1 .006 3.756L9.04 15.953a3.539 3.539 0 0 1-5.004-.002 3.544 3.544 0 0 1-.003-5.008L5.29 9.69Z"}))};Jw.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var e_=function(e){return xn("svg",e,xn("path",{d:"M4.5 3h15a1.5 1.5 0 0 1 0 3h-15a1.5 1.5 0 0 1 0-3Zm0 5h15a1.5 1.5 0 0 1 0 3h-15a1.5 1.5 0 0 1 0-3Zm0 5h15a1.5 1.5 0 0 1 0 3h-15a1.5 1.5 0 0 1 0-3Zm0 5h15a1.5 1.5 0 0 1 0 3h-15a1.5 1.5 0 0 1 0-3Z"}))};e_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var t_=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M5 6.5a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3Zm0 5a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3Zm0 5a1.5 1.5 0 1 1 0-3 1.5 1.5 0 0 1 0 3ZM9 4h10v1.964H9V4Zm0 5h10v2H9V9Zm0 5h10v2H9v-2Z"}))};t_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var n_=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M20.014 4.023v2h-11v-2h11Zm0 5v2h-11v-2h11Zm0 5v2h-11v-2h11ZM3.604 8.985V4.23h-.018l-1.095.545-.22-1.053 1.518-.738h1.113v6H3.604Zm2.458 7.997h-4v-.835l.73-.69c1.231-1.152 1.83-1.814 1.847-2.503 0-.481-.277-.862-.928-.862-.486 0-.911.254-1.206.49l-.373-.99c.425-.335 1.084-.607 1.848-.607 1.275 0 1.978.78 1.978 1.85 0 .99-.685 1.779-1.5 2.54l-.522.455v.018h2.126v1.134Z"}))};n_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var r_=function(e){return xn("svg",e,xn("path",{d:"M1 17V8h2.75l2.75 3.309L9.25 8H12v9H9.25v-5.162l-2.75 3.31-2.75-3.31V17H1Zm18 0-4-4.368h2.667V8h2.666v4.632H23L19 17Z"}))};r_.defaultProps={viewBox:"0 0 24 24"};var i_=function(e){return xn("svg",e,xn("path",{d:"M21 15.25V4.75C21 3.788 20.212 3 19.25 3H8.75C7.787 3 7 3.788 7 4.75v10.5c0 .962.787 1.75 1.75 1.75h10.5c.962 0 1.75-.788 1.75-1.75Zm-9.641-3.773 1.787 2.394 2.615-3.277 3.522 4.417H8.717l2.642-3.534ZM3 7v12.25c0 .962.788 1.75 1.75 1.75H17v-1.956H5.98a1 1 0 0 1-1-1V7H3Z"}))};i_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var o_=function(e){return xn("svg",e,xn("path",{d:"M5.027 4.045h13.975a3 3 0 0 1 3 3v9.99a3 3 0 0 1-3 3H5.027a3 3 0 0 1-3-3v-9.99a3 3 0 0 1 3-3Zm2.874 8.48-4.114 5.504h16.455l-5.485-6.88-4.073 5.105-2.783-3.73ZM9.493 10a1.507 1.507 0 1 0 0-3.014 1.507 1.507 0 0 0 0 3.014Z"}))};o_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var a_=function(e){return xn("svg",e,xn("path",{d:"M30.73.15 0 2.95l3.67 40.21 20.03-1.83-1.99-21.86 10.71-.98c10.61-.97 19.14 7.53 20.29 20.19l19.67-1.79C70.34 14.72 51.64-1.75 30.73.15ZM73.61 49.51c0 12.72-7.73 21.95-18.37 21.95H44.49V49.47H24.38v40.42h30.86c21.02 0 38.12-18.11 38.12-40.38v-.04H73.61v.04Z",fill:"#FF0082",className:"no-fill"}),xn("path",{d:"M131.65 23.71h20.01c14.41 0 24.29 9.09 24.29 23.06 0 13.97-9.88 23.06-24.29 23.06h-20.01V23.71Zm19.51 37.35c8.75 0 14.47-5.47 14.47-14.29s-5.73-14.29-14.47-14.29h-9.31v28.59h9.31v-.01ZM207.61 58.69l5.22 5.93c-3.15 3.75-7.87 5.73-13.97 5.73-11.7 0-19.32-7.71-19.32-18.25s7.68-18.25 18.12-18.25c9.56 0 17.43 6.59 17.49 17.92l-25.04 5.07c1.45 3.49 4.59 5.27 9 5.27 3.59 0 6.17-1.12 8.5-3.43v.01Zm-18.44-7.64 16.49-3.36c-.94-3.62-3.9-6.06-7.99-6.06-4.91 0-8.31 3.43-8.5 9.42ZM218.25 52.1c0-10.67 7.87-18.25 18.88-18.25 7.11 0 12.71 3.23 15.17 9.02l-7.61 4.28c-1.83-3.36-4.53-4.87-7.61-4.87-4.97 0-8.87 3.62-8.87 9.81s3.9 9.81 8.87 9.81c3.08 0 5.79-1.45 7.61-4.87l7.61 4.35c-2.45 5.67-8.05 8.96-15.17 8.96-11.01 0-18.88-7.58-18.88-18.25v.01ZM290.93 34.38v35.44h-9.38v-4.08c-2.45 3.1-6.04 4.61-10.57 4.61-9.57 0-16.93-7.11-16.93-18.25s7.36-18.25 16.93-18.25c4.15 0 7.68 1.38 10.13 4.28v-3.75h9.82ZM281.3 52.1c0-6.13-3.78-9.81-8.62-9.81S264 45.98 264 52.1c0 6.12 3.78 9.81 8.68 9.81s8.62-3.69 8.62-9.81ZM334.54 52.1c0 11.13-7.36 18.25-16.86 18.25-4.22 0-7.68-1.38-10.19-4.28V82.6h-9.82V34.38h9.38v4.08c2.45-3.1 6.1-4.61 10.63-4.61 9.5 0 16.86 7.11 16.86 18.25Zm-9.94 0c0-6.13-3.71-9.81-8.62-9.81-4.91 0-8.62 3.69-8.62 9.81 0 6.12 3.71 9.81 8.62 9.81 4.91 0 8.62-3.69 8.62-9.81Z",fill:"#000",className:"no-fill"}))};a_.defaultProps={width:"335",height:"90",viewBox:"0 0 335 90",fill:"none",xmlns:"http://www.w3.org/2000/svg"};var s_=function(e){return xn("svg",e,xn("g",{fillRule:"evenodd"},xn("path",{d:"M4.7 20.5H15c2.1 0 3.8-1.7 3.8-3.8v-6.4c0-.5-.4-.9-.8-.9-.5 0-1 .4-1 .9v6.4a2 2 0 0 1-2 2H4.7a2 2 0 0 1-2-2V6.2c0-1.1.8-2 2-2H11c.5 0 .8-.4.8-1 0-.4-.3-.8-.8-.8H4.7C2.6 2.4.9 4.1.9 6.2v10.5c0 2 1.7 3.8 3.8 3.8z"}),xn("path",{d:"M20.9 7.2V1.7h-.1v-.2l-.1-.1-.2-.2h-.1l-.2-.2h-5.7c-.5 0-.8.3-.8.8s.3 1 .8 1H18l-8 8c-.4.3-.4.8 0 1.2.3.3.9.3 1.2 0l8-8v3.2c0 .5.4.9.9.9s.8-.4.9-1z"})))};s_.defaultProps={width:"21",height:"21",viewBox:"0 0 21 21",xmlns:"http://www.w3.org/2000/svg"};var l_=function(e){return xn("svg",e,xn("path",{d:"m13.366 3 5.625 5.493L19 19.169C19 20.176 18.156 21 17.125 21H5.865C4.836 21 4 20.176 4 19.17V4.83C4 3.825 4.834 3 5.866 3h7.5ZM6.94 12.11a.94.94 0 1 0 0 1.88h9.12a.94.94 0 0 0 0-1.88H6.94Zm0 3.89a.94.94 0 1 0 0 1.88h9.12a.94.94 0 1 0 0-1.88H6.94Zm5.073-6h5.139l-5.14-5.053V10Z"}))};l_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var u_=function(e){return xn("svg",e,xn("path",{d:"M3 8h1.98v11.044a1 1 0 0 0 1 1H17V22H4.75C3.788 22 3 21.212 3 20.25V8Zm6-6h6.074L20 7.041V16a2 2 0 0 1-2 2H9a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2Zm.94 8.11a.94.94 0 1 0 0 1.88h7.12a.94.94 0 0 0 0-1.88H9.94Zm0 3.89a.94.94 0 1 0 0 1.88h7.12a.94.94 0 1 0 0-1.88H9.94Zm4.054-6.124h4.344l-4.344-4.342v4.342Z"}))};u_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var c_=function(e){return xn("svg",e,xn("path",{d:"M21 15.25c0 .962-.788 1.75-1.75 1.75H8.75C7.787 17 7 16.212 7 15.25V4.75C7 3.788 7.787 3 8.75 3h10.5c.962 0 1.75.788 1.75 1.75v10.5ZM9 10v2h10v-2H9Zm0-4v2h10V6H9ZM3 7v12.25c0 .962.788 1.75 1.75 1.75H17v-1.956H5.98a1 1 0 0 1-1-1V7H3Z"}))};c_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var d_=function(e){return xn("svg",e,xn("path",{fill:"#7A8291",d:"M4.995 14.954h2.986l1.991-3.982V5H4v5.972h2.986l-1.99 3.982Zm7.963 0h2.986l1.991-3.982V5h-5.972v5.972h2.986l-1.99 3.982Z"}))};d_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"22",height:"20",viewBox:"0 0 22 20"};var p_=function(e){return xn("svg",e,xn("path",{d:"M13.3 1A9.8 9.8 0 0 0 1.1 7a9.4 9.4 0 0 0 6.2 12c5 1.5 10.3-1 12.1-5.8.2-.6-.1-1.3-.7-1.5-.7-.2-1.4.1-1.6.7a7.3 7.3 0 0 1-9 4.3 7 7 0 0 1-4.7-8.9 7.3 7.3 0 0 1 12-2.8L13 7.4c-.5.5-.3.8.3.8h5.5c.7 0 1.2-.5 1.2-1.1V1.8c0-.7-.4-.8-.9-.4l-2 2c-1-1-2.3-1.9-3.8-2.4z",fillRule:"evenodd"}))};p_.defaultProps={width:"20",height:"20",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"};var f_=function(e){return xn("svg",e,xn("path",{d:"M12.809 8.182a.898.898 0 1 1-1.798 0V4.058L8.576 6.494a.898.898 0 1 1-1.271-1.271l3.97-3.97a.925.925 0 0 1 1.27 0l4.026 4.026a.898.898 0 1 1-1.27 1.27l-2.492-2.49v4.123Zm.015 11.75 2.435-2.436a.899.899 0 1 1 1.27 1.271l-3.969 3.97a.896.896 0 0 1-1.27 0L7.264 18.71a.898.898 0 1 1 1.27-1.271l2.492 2.491v-4.124a.898.898 0 1 1 1.798 0v4.124Zm-.902-6.479a1.516 1.516 0 1 1 0-3.032 1.516 1.516 0 0 1 0 3.032Z"}))};f_.defaultProps={viewBox:"0 0 24 24"};var h_=function(e){return xn("svg",e,xn("path",{d:"M20.526 18.236a1.619 1.619 0 1 1-2.29 2.29l-3.341-3.342c-.237-.237-.666-.296-.964-.137 0 0 .04-.01-.22.108a6.881 6.881 0 1 1 3.44-3.436c-.117.258-.105.214-.105.214a.86.86 0 0 0 .138.962l3.342 3.341Zm-9.677-3.466a3.921 3.921 0 1 0 0-7.842 3.921 3.921 0 0 0 0 7.842Z"}))};h_.defaultProps={viewBox:"0 0 24 24"};var m_=function(e){return xn("svg",e,xn("path",{d:"M18.887 12.874c.037-.287.065-.575.065-.88 0-.306-.028-.594-.065-.881l1.954-1.484a.445.445 0 0 0 .11-.575L19.1 5.944c-.111-.198-.361-.27-.565-.198l-2.306.9c-.482-.36-1-.657-1.565-.882l-.352-2.382a.448.448 0 0 0-.454-.377h-3.704a.448.448 0 0 0-.454.377l-.352 2.382a7.17 7.17 0 0 0-1.565.881l-2.306-.899a.458.458 0 0 0-.565.198L3.06 9.054a.435.435 0 0 0 .111.575l1.954 1.484a6.923 6.923 0 0 0-.064.88c0 .297.027.594.064.881l-1.954 1.484a.445.445 0 0 0-.11.575l1.851 3.11c.112.198.362.27.565.198l2.306-.9c.482.36 1 .657 1.565.882l.352 2.382a.448.448 0 0 0 .454.377h3.704a.448.448 0 0 0 .454-.377l.352-2.382a7.17 7.17 0 0 0 1.565-.881l2.306.899c.213.08.454 0 .565-.198l1.852-3.11a.445.445 0 0 0-.111-.575l-1.954-1.484Zm-6.878 2.132a3.017 3.017 0 0 1-3.013-3.013 3.017 3.017 0 0 1 3.013-3.014 3.017 3.017 0 0 1 3.014 3.014 3.017 3.017 0 0 1-3.014 3.013Z"}))};m_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var g_=function(e){return xn("svg",e,xn("path",{fill:"#1E2532",fillRule:"nonzero",d:"M16.5 18c-3.025 0-5.5-2.634-5.5-5.854v-.292C11 8.634 13.475 6 16.5 6S22 8.634 22 11.854v.292C22 15.366 19.525 18 16.5 18ZM16 29c-3.738 0-7.192-1.689-9.39-4.335-.908-1.064-.798-2.7.302-3.601C7.736 20.409 8.753 20 9.88 20h12.645c.742 0 1.43.191 2.062.491 1.43.737 1.869 2.592.88 3.874C23.24 27.175 19.875 29 16 29Z"}))};g_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"32",height:"32",viewBox:"0 0 32 32"};var v_=function(e){return xn("svg",e,xn("path",{d:"M10 4h3a1 1 0 0 1 1 1v9a1 1 0 0 1-1 1h-3a1 1 0 0 1-1-1V5a1 1 0 0 1 1-1Zm7 0h3a1 1 0 0 1 1 1v10a1 1 0 0 1-1 1h-3a1 1 0 0 1-1-1V5a1 1 0 0 1 1-1ZM3 4h3a1 1 0 0 1 1 1v14a1 1 0 0 1-1 1H3a1 1 0 0 1-1-1V5a1 1 0 0 1 1-1Z"}))};v_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};var y_=function(e){return xn("svg",e,xn("path",{d:"M2.975 7.004c.002-.477.412-.947.897-.948h9.451l-.816 1.896H3.872c-.485 0-.895-.47-.897-.948Zm.017 8.97c.001.478.395 1.063.88 1.064H8.57c.01-.58.1-1.425.205-1.996H3.872c-.485.001-.879.524-.88 1.001v-.068Zm7.921-5.008.762-1.997H3.872c-.486 0-.933.521-.933.999 0 .477.447.998.933.998h7.041ZM2.95 13.041c0 .477.437.965.922.966H9.18c.096-.258.301-.716.419-.968l.445-.964H3.872c-.485 0-.921.489-.922.966Zm17.099-5.908.395-.849a2.296 2.296 0 0 0-.176-2.374 2.39 2.39 0 0 0-2.207-.984 2.368 2.368 0 0 0-1.936 1.433l-4.712 10.19a9.619 9.619 0 0 0-.699 5.953.608.608 0 0 0 .35.435c.182.081.392.071.564-.027a9.846 9.846 0 0 0 4.104-4.436l3.628-7.843.689-1.498Z"}))};y_.defaultProps={xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"};const b_={add:Sw,"add-with":Aw,arrow:Tw,azure:Ow,bitbucket:Pw,bold:Dw,check:Rw,chevron:Iw,"chevron-double":Mw,circle:Lw,close:Nw,code:jw,"code-block":Fw,"drag-handle":Bw,eye:zw,folder:Uw,github:qw,gitlab:$w,gitea:Ww,grid:Hw,h1:Vw,h2:Gw,hOptions:Kw,home:Yw,image:Zw,"info-circle":Xw,italic:Qw,link:Jw,list:e_,"list-bulleted":t_,"list-numbered":n_,markdown:r_,media:i_,"media-alt":o_,decap:a_,"decap-cms":a_,"new-tab":s_,page:l_,pages:u_,"pages-alt":c_,quote:d_,refresh:p_,scroll:f_,search:h_,settings:m_,user:g_,workflow:v_,write:y_};function w_(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function __(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const x_={arrow:{direction:"left"},chevron:{direction:"down"},"chevron-double":{direction:"down"}},k_=Cw()(b_,((e,t)=>function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?w_(Object(n),!0).forEach((function(t){__(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):w_(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({image:e},x_[t]||{}))),E_=k_,C_=gb("span",{target:"e1jeq5dr1",label:"IconWrapper"})("display:inline-block;line-height:0;width:",(e=>e.size),";height:",(e=>e.size),";transform:",(e=>`rotate(${e.rotation})`),";& path:not(.no-fill),& circle:not(.no-fill),& polygon:not(.no-fill),& rect:not(.no-fill){fill:currentColor;}& path.clipped{fill:transparent;}svg{width:100%;height:100%;}");function S_(e,t){if(!e||!t)return"0deg";const n={right:90,down:180,left:270,up:360};return n[t]-n[e]+"deg"}const A_={xsmall:"12px",small:"18px",medium:"24px",large:"32px"};function T_({type:e,direction:t,size:n="medium",className:r}){const i=E_[e].image;return xn(C_,{className:r,size:A_[n]||n,rotation:S_(E_[e].direction,t)},xn(i,null))}T_.propTypes={type:ie().string.isRequired,direction:ie().oneOf(["right","down","left","up"]),size:ie().string,className:ie().string};const O_=gb(T_,{target:"e1jeq5dr0"})(""),P_=["isActive","isCheckedItem"];function D_(){return D_=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},D_.apply(this,arguments)}const R_=gb(kw.Wrapper,{target:"efqlgsg3",label:"StyledWrapper"})({name:"dzgz3x",styles:"position:relative;font-size:14px;user-select:none"}),I_=gb(kw.Button,{target:"efqlgsg2",label:"StyledDropdownButton"})(cr.button,";",cr.default,";display:block;padding-left:20px;padding-right:40px;position:relative;&:after{",pr.caretDown,";content:'';display:block;position:absolute;top:16px;right:10px;color:currentColor;}"),M_=gb("ul",{target:"efqlgsg1",label:"DropdownList"})(pr.dropdownList,";margin:0;position:absolute;top:0;left:0;min-width:100%;z-index:",hr.zIndex299,";",(e=>En("width:",e.width,";top:",e.top,";left:","left"===e.position?0:"auto",";right:","right"===e.position?0:"auto",";;label:DropdownList;",";label:DropdownList;")),";");function L_(e){let{isActive:t,isCheckedItem:n=!1}=e,r=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,P_);return xn(kw.MenuItem,D_({css:En(pr.dropdownItem,";&:focus,&:active,&:not(:focus),&:not(:active){background-color:",t?Kn.activeBackground:"inherit",";color:",t?Kn.active:"#313d3e",";",n?"display: flex; justify-content: start":"",";}&:hover{color:",Kn.active,";background-color:",Kn.activeBackground,";}&.active{text-decoration:underline;};label:StyledMenuItem;",";label:css;")},r))}const N_=gb("div",{target:"efqlgsg0",label:"MenuItemIconContainer"})("flex:1 0 32px;text-align:right;position:relative;top:",(e=>e.iconSmall?"0":"2px"),";");function j_({closeOnSelection:e=!0,renderButton:t,dropdownWidth:n="auto",dropdownPosition:r="left",dropdownTopOverlap:i="0",className:o,children:a}){return xn(R_,{closeOnSelection:e,onSelection:e=>e(),className:o},t(),xn(kw.Menu,null,xn(M_,{width:n,top:i,position:r},a)))}function F_({label:e,icon:t,iconDirection:n,iconSmall:r,isActive:i,onClick:o,className:a}){return xn(L_,{value:o,isActive:i,className:a},xn("span",null,e),t?xn(N_,{iconSmall:r},xn(O_,{type:t,direction:n,size:r?"xsmall":"small"})):null)}j_.propTypes={renderButton:ie().func.isRequired,dropdownWidth:ie().string,dropdownPosition:ie().string,dropdownTopOverlap:ie().string,className:ie().string,children:ie().node},F_.propTypes={label:ie().string,icon:ie().string,iconDirection:ie().string,onClick:ie().func,className:ie().string};var B_={name:"1ak2sn3-StyledDropdownCheckbox",styles:"margin-right:10px;label:StyledDropdownCheckbox;"};function z_({checked:e,id:t}){return xn("input",{readOnly:!0,type:"checkbox",css:B_,checked:e,id:t})}function U_({label:e,id:t,checked:n,onClick:r}){return xn(L_,{isCheckedItem:!0,isActive:n,onClick:r},xn(z_,{checked:n,id:t}),xn("span",{htmlFor:t},e))}U_.propTypes={label:ie().string.isRequired,id:ie().string.isRequired,checked:ie().bool.isRequired,onClick:ie().func.isRequired};const q_={name:"m2n7c1-avatarImage",styles:"width:32px;border-radius:32px;label:avatarImage;"},$_=gb(kw.Button,{target:"e1gt62yu4",label:"AvatarDropdownButton"})({name:"dtukf8",styles:"display:inline-block;padding:8px;cursor:pointer;color:#1e2532;background-color:transparent"}),W_=gb("img",{target:"e1gt62yu3",label:"AvatarImage"})(q_,";"),H_=gb(O_,{target:"e1gt62yu2",label:"AvatarPlaceholderIcon"})(q_,";height:32px;color:#1e2532;background-color:",Kn.textFieldBorder,";"),V_=gb("a",{target:"e1gt62yu1",label:"AppHeaderSiteLink"})({name:"1uk00au",styles:"font-size:14px;font-weight:400;color:#7b8290;padding:10px 16px"}),G_=gb("a",{target:"e1gt62yu0",label:"AppHeaderTestRepoIndicator"})({name:"1uk00au",styles:"font-size:14px;font-weight:400;color:#7b8290;padding:10px 16px"});function K_({imageUrl:e}){return e?xn(W_,{src:e}):xn(H_,{type:"user",size:"large"})}function Y_({displayUrl:e,isTestRepo:t,imageUrl:n,onLogoutClick:r,t:i}){return xn(o.Fragment,null,t&&xn(G_,{href:"https://www.decapcms.org/docs/test-backend",target:"_blank",rel:"noopener noreferrer"},"Test Backend ↗"),e?xn(V_,{href:e,target:"_blank"},function(e){const t=e.indexOf("//");return t>-1?e.slice(t+2):e}(e)):null,xn(j_,{dropdownTopOverlap:"50px",dropdownWidth:"100px",dropdownPosition:"right",renderButton:()=>xn($_,null,xn(K_,{imageUrl:n}))},xn(F_,{label:i("ui.settingsDropdown.logOut"),onClick:r})))}K_.propTypes={imageUrl:ie().string},Y_.propTypes={displayUrl:ie().string,isTestRepo:ie().bool,imageUrl:ie().string,onLogoutClick:ie().func.isRequired,t:ie().func.isRequired};const Z_=(0,gr.Iu)()(Y_);var X_=__webpack_require__(19155),Q_=__webpack_require__.n(X_),J_=__webpack_require__(75465),ex=__webpack_require__.n(J_);function tx(e,t){return e.replace(new RegExp("(^|\\s)"+t+"(?:\\s|$)","g"),"$1").replace(/\s+/g," ").replace(/^\s*|\s*$/g,"")}const nx=o.createContext(null);var rx=function(e){return e.scrollTop},ix="unmounted",ox="exited",ax="entering",sx="entered",lx="exiting",ux=function(e){function t(t,n){var r;r=e.call(this,t,n)||this;var i,o=n&&!n.isMounting?t.enter:t.appear;return r.appearStatus=null,t.in?o?(i=ox,r.appearStatus=ax):i=sx:i=t.unmountOnExit||t.mountOnEnter?ix:ox,r.state={status:i},r.nextCallback=null,r}ne(t,e),t.getDerivedStateFromProps=function(e,t){return e.in&&t.status===ix?{status:ox}:null};var n=t.prototype;return n.componentDidMount=function(){this.updateStatus(!0,this.appearStatus)},n.componentDidUpdate=function(e){var t=null;if(e!==this.props){var n=this.state.status;this.props.in?n!==ax&&n!==sx&&(t=ax):n!==ax&&n!==sx||(t=lx)}this.updateStatus(!1,t)},n.componentWillUnmount=function(){this.cancelNextCallback()},n.getTimeouts=function(){var e,t,n,r=this.props.timeout;return e=t=n=r,null!=r&&"number"!=typeof r&&(e=r.exit,t=r.enter,n=void 0!==r.appear?r.appear:t),{exit:e,enter:t,appear:n}},n.updateStatus=function(e,t){if(void 0===e&&(e=!1),null!==t)if(this.cancelNextCallback(),t===ax){if(this.props.unmountOnExit||this.props.mountOnEnter){var n=this.props.nodeRef?this.props.nodeRef.current:ee.findDOMNode(this);n&&rx(n)}this.performEnter(e)}else this.performExit();else this.props.unmountOnExit&&this.state.status===ox&&this.setState({status:ix})},n.performEnter=function(e){var t=this,n=this.props.enter,r=this.context?this.context.isMounting:e,i=this.props.nodeRef?[r]:[ee.findDOMNode(this),r],o=i[0],a=i[1],s=this.getTimeouts(),l=r?s.appear:s.enter;e||n?(this.props.onEnter(o,a),this.safeSetState({status:ax},(function(){t.props.onEntering(o,a),t.onTransitionEnd(l,(function(){t.safeSetState({status:sx},(function(){t.props.onEntered(o,a)}))}))}))):this.safeSetState({status:sx},(function(){t.props.onEntered(o)}))},n.performExit=function(){var e=this,t=this.props.exit,n=this.getTimeouts(),r=this.props.nodeRef?void 0:ee.findDOMNode(this);t?(this.props.onExit(r),this.safeSetState({status:lx},(function(){e.props.onExiting(r),e.onTransitionEnd(n.exit,(function(){e.safeSetState({status:ox},(function(){e.props.onExited(r)}))}))}))):this.safeSetState({status:ox},(function(){e.props.onExited(r)}))},n.cancelNextCallback=function(){null!==this.nextCallback&&(this.nextCallback.cancel(),this.nextCallback=null)},n.safeSetState=function(e,t){t=this.setNextCallback(t),this.setState(e,t)},n.setNextCallback=function(e){var t=this,n=!0;return this.nextCallback=function(r){n&&(n=!1,t.nextCallback=null,e(r))},this.nextCallback.cancel=function(){n=!1},this.nextCallback},n.onTransitionEnd=function(e,t){this.setNextCallback(t);var n=this.props.nodeRef?this.props.nodeRef.current:ee.findDOMNode(this),r=null==e&&!this.props.addEndListener;if(n&&!r){if(this.props.addEndListener){var i=this.props.nodeRef?[this.nextCallback]:[n,this.nextCallback],o=i[0],a=i[1];this.props.addEndListener(o,a)}null!=e&&setTimeout(this.nextCallback,e)}else setTimeout(this.nextCallback,0)},n.render=function(){var e=this.state.status;if(e===ix)return null;var t=this.props,n=t.children,r=(t.in,t.mountOnEnter,t.unmountOnExit,t.appear,t.enter,t.exit,t.timeout,t.addEndListener,t.onEnter,t.onEntering,t.onEntered,t.onExit,t.onExiting,t.onExited,t.nodeRef,g(t,["children","in","mountOnEnter","unmountOnExit","appear","enter","exit","timeout","addEndListener","onEnter","onEntering","onEntered","onExit","onExiting","onExited","nodeRef"]));return o.createElement(nx.Provider,{value:null},"function"==typeof n?n(e,r):o.cloneElement(o.Children.only(n),r))},t}(o.Component);function cx(){}ux.contextType=nx,ux.propTypes={},ux.defaultProps={in:!1,mountOnEnter:!1,unmountOnExit:!1,appear:!1,enter:!0,exit:!0,onEnter:cx,onEntering:cx,onEntered:cx,onExit:cx,onExiting:cx,onExited:cx},ux.UNMOUNTED=ix,ux.EXITED=ox,ux.ENTERING=ax,ux.ENTERED=sx,ux.EXITING=lx;const dx=ux;var px=function(e,t){return e&&t&&t.split(" ").forEach((function(t){return r=t,void((n=e).classList?n.classList.remove(r):"string"==typeof n.className?n.className=tx(n.className,r):n.setAttribute("class",tx(n.className&&n.className.baseVal||"",r)));var n,r}))},fx=function(e){function t(){for(var t,n=arguments.length,r=new Array(n),i=0;i<n;i++)r[i]=arguments[i];return(t=e.call.apply(e,[this].concat(r))||this).appliedClasses={appear:{},enter:{},exit:{}},t.onEnter=function(e,n){var r=t.resolveArguments(e,n),i=r[0],o=r[1];t.removeClasses(i,"exit"),t.addClass(i,o?"appear":"enter","base"),t.props.onEnter&&t.props.onEnter(e,n)},t.onEntering=function(e,n){var r=t.resolveArguments(e,n),i=r[0],o=r[1]?"appear":"enter";t.addClass(i,o,"active"),t.props.onEntering&&t.props.onEntering(e,n)},t.onEntered=function(e,n){var r=t.resolveArguments(e,n),i=r[0],o=r[1]?"appear":"enter";t.removeClasses(i,o),t.addClass(i,o,"done"),t.props.onEntered&&t.props.onEntered(e,n)},t.onExit=function(e){var n=t.resolveArguments(e)[0];t.removeClasses(n,"appear"),t.removeClasses(n,"enter"),t.addClass(n,"exit","base"),t.props.onExit&&t.props.onExit(e)},t.onExiting=function(e){var n=t.resolveArguments(e)[0];t.addClass(n,"exit","active"),t.props.onExiting&&t.props.onExiting(e)},t.onExited=function(e){var n=t.resolveArguments(e)[0];t.removeClasses(n,"exit"),t.addClass(n,"exit","done"),t.props.onExited&&t.props.onExited(e)},t.resolveArguments=function(e,n){return t.props.nodeRef?[t.props.nodeRef.current,e]:[e,n]},t.getClassNames=function(e){var n=t.props.classNames,r="string"==typeof n,i=r?(r&&n?n+"-":"")+e:n[e];return{baseClassName:i,activeClassName:r?i+"-active":n[e+"Active"],doneClassName:r?i+"-done":n[e+"Done"]}},t}ne(t,e);var n=t.prototype;return n.addClass=function(e,t,n){var r=this.getClassNames(t)[n+"ClassName"],i=this.getClassNames("enter").doneClassName;"appear"===t&&"done"===n&&i&&(r+=" "+i),"active"===n&&e&&rx(e),r&&(this.appliedClasses[t][n]=r,function(e,t){e&&t&&t.split(" ").forEach((function(t){return r=t,void((n=e).classList?n.classList.add(r):function(e,t){return e.classList?!!t&&e.classList.contains(t):-1!==(" "+(e.className.baseVal||e.className)+" ").indexOf(" "+t+" ")}(n,r)||("string"==typeof n.className?n.className=n.className+" "+r:n.setAttribute("class",(n.className&&n.className.baseVal||"")+" "+r)));var n,r}))}(e,r))},n.removeClasses=function(e,t){var n=this.appliedClasses[t],r=n.base,i=n.active,o=n.done;this.appliedClasses[t]={},r&&px(e,r),i&&px(e,i),o&&px(e,o)},n.render=function(){var e=this.props,t=(e.classNames,g(e,["classNames"]));return o.createElement(dx,m({},t,{onEnter:this.onEnter,onEntered:this.onEntered,onEntering:this.onEntering,onExit:this.onExit,onExiting:this.onExiting,onExited:this.onExited}))},t}(o.Component);fx.defaultProps={classNames:""},fx.propTypes={};const hx=fx;function mx(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const gx={disabled:{name:"v06iuk-disabled",styles:"display:none;label:disabled;"},active:{name:"1426nog-active",styles:"display:block;label:active;"},enter:{name:"1rrod3j-enter",styles:"opacity:0.01;label:enter;"},enterActive:{name:"ssvs1t-enterActive",styles:"opacity:1;transition:opacity 500ms ease-in;label:enterActive;"},exit:{name:"t407yk-exit",styles:"opacity:1;label:exit;"},exitActive:{name:"1npgmu6-exitActive",styles:"opacity:0.01;transition:opacity 300ms ease-in;label:exitActive;"}},vx={loader:Cn`
from {
transform: rotate(0deg);
}
to {
transform: rotate(360deg);
}
`},yx=gb("div",{target:"ep2bezb2",label:"LoaderText"})({name:"xpdb8l",styles:"width:auto!important;height:auto!important;text-align:center;color:#767676;margin-top:55px;line-height:35px"}),bx=gb("div",{target:"ep2bezb1",label:"LoaderItem"})({name:"12zl32h",styles:"position:absolute;white-space:nowrap;transform:translateX(-50%)"});class wx extends o.Component{constructor(...e){super(...e),mx(this,"state",{currentItem:0}),mx(this,"setAnimation",(()=>{if(this.interval)return;const{children:e}=this.props;this.interval=setInterval((()=>{const t=this.state.currentItem===e.length-1?0:this.state.currentItem+1;this.setState({currentItem:t})}),5e3)})),mx(this,"renderChild",(()=>{const{children:e}=this.props,{currentItem:t}=this.state;return e?"string"==typeof e?xn(yx,null,e):Array.isArray(e)?(this.setAnimation(),xn(yx,null,xn(hx,{className:{enter:gx.enter,enterActive:gx.enterActive,exit:gx.exit,exitActive:gx.exitActive},timeout:500},xn(bx,{key:t},e[t])))):void 0:null}))}componentWillUnmount(){this.interval&&clearInterval(this.interval)}render(){const{className:e}=this.props;return xn("div",{className:e},this.renderChild())}}mx(wx,"propTypes",{children:ie().node,className:ie().string});const _x=gb(wx,{target:"ep2bezb0",label:"StyledLoader"})("display:",(e=>e.active?"block":"none"),";position:absolute;top:50%;left:50%;margin:0;text-align:center;z-index:",hr.zIndex1000,";transform:translateX(-50%) translateY(-50%);&:before,&:after{content:'';position:absolute;top:0%;left:50%;width:2.2857rem;height:2.2857rem;margin:0 0 0 -1.1429rem;border-radius:500rem;border-style:solid;border-width:0.2em;}&:before{border-color:rgba(0, 0, 0, 0.1);}&:after{animation:",vx.loader," 0.6s linear;animation-iteration-count:infinite;border-color:",Kn.active," transparent transparent;box-shadow:0 0 0 1px transparent;}");function xx(e){ad.push(Yl(e))}var kx=__webpack_require__(16760),Ex=__webpack_require__.n(kx);const Cx=gb("div",{target:"el0uck02",label:"SearchContainer"})({name:"sycyb7",styles:"height:37px;display:flex;align-items:center;position:relative;width:400px"}),Sx=gb("input",{target:"el0uck01",label:"SearchInput"})("background-color:#eff0f4;border-radius:",Yn.borderRadius,";font-size:14px;padding:10px 6px 10px 32px;width:100%;position:relative;z-index:",hr.zIndex1,";&:focus{outline:none;box-shadow:inset 0 0 0 2px ",Kn.active,";}"),Ax=gb(O_,{target:"el0uck00",label:"SearchIcon"})("position:absolute;top:50%;left:6px;z-index:",hr.zIndex2,";transform:translate(0, -50%);");function Tx({value:e,onChange:t,onKeyDown:n,placeholder:r,disabled:i}){return xn(Cx,null,xn(Ax,{type:"search",size:"small"}),xn(Sx,{value:e,onChange:t,onKeyDown:n,placeholder:r,disabled:i}))}Tx.propTypes={value:ie().string,onChange:ie().func.isRequired,onKeyDown:ie().func.isRequired,placeholder:ie().string.isRequired,disabled:ie().bool};const Ox=Tx,Px=gb("button",{target:"estf6121",label:"CloseButton"})(cr.button,";",er,";position:absolute;margin-right:-40px;left:-40px;top:-40px;width:40px;height:40px;border-radius:50%;background-color:white;padding:0;display:flex;justify-content:center;align-items:center;"),Dx=gb("h1",{target:"estf6120",label:"LibraryTitle"})("line-height:36px;font-size:22px;text-align:left;margin-bottom:25px;color:",(e=>e.isPrivate&&Kn.textFieldBorder),";");function Rx({onClose:e,title:t,isPrivate:n}){return xn("div",null,xn(Px,{onClick:e},xn(O_,{type:"close"})),xn(Dx,{isPrivate:n},t))}Rx.propTypes={onClose:ie().func.isRequired,title:ie().string.isRequired,isPrivate:ie().bool};const Ix=Rx;function Mx(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Lx={button:En(cr.button,";",cr.default,";display:inline-block;margin-left:15px;margin-right:2px;&[disabled]{",cr.disabled,";cursor:default;};label:button;",";label:button;")},Nx=gb(Rb,{target:"e288rjk3",label:"UploadButton"})(Lx.button,";",cr.gray,";",Jn,";margin-bottom:0;span{font-size:14px;font-weight:500;display:flex;justify-content:center;align-items:center;}input{height:0.1px;width:0.1px;margin:0;padding:0;opacity:0;overflow:hidden;position:absolute;z-index:",hr.zIndex0,";outline:none;}"),jx=gb("button",{target:"e288rjk2",label:"DeleteButton"})(Lx.button,";",cr.lightRed,";"),Fx=gb("button",{target:"e288rjk1",label:"InsertButton"})(Lx.button,";",cr.green,";"),Bx=gb("button",{target:"e288rjk0",label:"ActionButton"})(Lx.button,";",(e=>!e.disabled&&En(cr.gray,";;label:ActionButton;",";label:ActionButton;")),";"),zx=Bx;class Ux extends o.Component{constructor(...e){super(...e),Mx(this,"mounted",!1),Mx(this,"timeout",void 0),Mx(this,"state",{copied:!1}),Mx(this,"handleCopy",(()=>{clearTimeout(this.timeout);const{path:e,draft:t,name:n}=this.props;vb($o(e)||!t?e:n),this.setState({copied:!0}),this.timeout=setTimeout((()=>this.mounted&&this.setState({copied:!1})),1500)})),Mx(this,"getTitle",(()=>{const{t:e,path:t,draft:n}=this.props;return this.state.copied?e("mediaLibrary.mediaLibraryCard.copied"):t?$o(t)?e("mediaLibrary.mediaLibraryCard.copyUrl"):e(n?"mediaLibrary.mediaLibraryCard.copyName":"mediaLibrary.mediaLibraryCard.copyPath"):e("mediaLibrary.mediaLibraryCard.copy")}))}componentDidMount(){this.mounted=!0}componentWillUnmount(){this.mounted=!1}render(){const{disabled:e}=this.props;return xn(Bx,{disabled:e,onClick:this.handleCopy},this.getTitle())}}Ux.propTypes={disabled:ie().bool.isRequired,draft:ie().bool,path:ie().string,name:ie().string,t:ie().func.isRequired};const qx=gb("div",{target:"e1n0ky5z2",label:"LibraryTop"})({name:"16hjiy4",styles:"position:relative;display:flex;flex-direction:column"}),$x=gb("div",{target:"e1n0ky5z1",label:"RowContainer"})({name:"1eoy87d",styles:"display:flex;justify-content:space-between"}),Wx=gb("div",{target:"e1n0ky5z0",label:"ButtonsContainer"})({name:"ozd7xs",styles:"flex-shrink:0"});function Hx({t:e,onClose:t,privateUpload:n,forImage:r,onDownload:i,onUpload:o,query:a,onSearchChange:s,onSearchKeyDown:l,searchDisabled:u,onDelete:c,canInsert:d,onInsert:p,hasSelection:f,isPersisting:h,isDeleting:m,selectedFile:g}){const v=h||m,y=!v,b=!v&&f,w=e(h?"mediaLibrary.mediaLibraryModal.uploading":"mediaLibrary.mediaLibraryModal.upload"),_=e(m?"mediaLibrary.mediaLibraryModal.deleting":"mediaLibrary.mediaLibraryModal.deleteSelected"),x=e("mediaLibrary.mediaLibraryModal.download"),k=e("mediaLibrary.mediaLibraryModal.chooseSelected");return xn(qx,null,xn($x,null,xn(Ix,{onClose:t,title:`${n?e("mediaLibrary.mediaLibraryModal.private"):""}${e(r?"mediaLibrary.mediaLibraryModal.images":"mediaLibrary.mediaLibraryModal.mediaAssets")}`,isPrivate:n}),xn(Wx,null,xn(Ux,{disabled:!f,path:g.path,name:g.name,draft:g.draft,t:e}),xn(zx,{onClick:i,disabled:!f},x),xn(Nx,{label:w,imagesOnly:r,onChange:o,disabled:!y}))),xn($x,null,xn(Ox,{value:a,onChange:s,onKeyDown:l,placeholder:e("mediaLibrary.mediaLibraryModal.search"),disabled:u}),xn(Wx,null,xn(jx,{onClick:c,disabled:!b},_),d?xn(Fx,{onClick:p,disabled:!f},k):null)))}Hx.propTypes={t:ie().func.isRequired,onClose:ie().func.isRequired,privateUpload:ie().bool,forImage:ie().bool,onDownload:ie().func.isRequired,onUpload:ie().func.isRequired,query:ie().string,onSearchChange:ie().func.isRequired,onSearchKeyDown:ie().func.isRequired,searchDisabled:ie().bool.isRequired,onDelete:ie().func.isRequired,canInsert:ie().bool,onInsert:ie().func.isRequired,hasSelection:ie().bool.isRequired,isPersisting:ie().bool,isDeleting:ie().bool,selectedFile:ie().oneOfType([ie().shape({path:ie().string.isRequired,draft:ie().bool.isRequired,name:ie().string.isRequired}),ie().shape({})])};const Vx=Hx;var Gx=!("undefined"==typeof window||!window.document||!window.document.createElement),Kx=void 0;function Yx(e){e.handlers===e.nextHandlers&&(e.nextHandlers=e.handlers.slice())}function Zx(e){this.target=e,this.events={}}Zx.prototype.getEventHandlers=function(e,t){var n,r=String(e)+" "+String((n=t)?!0===n?100:(n.capture<<0)+(n.passive<<1)+(n.once<<2):0);return this.events[r]||(this.events[r]={handlers:[],handleEvent:void 0},this.events[r].nextHandlers=this.events[r].handlers),this.events[r]},Zx.prototype.handleEvent=function(e,t,n){var r=this.getEventHandlers(e,t);r.handlers=r.nextHandlers,r.handlers.forEach((function(e){e&&e(n)}))},Zx.prototype.add=function(e,t,n){var r=this,i=this.getEventHandlers(e,n);Yx(i),0===i.nextHandlers.length&&(i.handleEvent=this.handleEvent.bind(this,e,n),this.target.addEventListener(e,i.handleEvent,n)),i.nextHandlers.push(t);var o=!0;return function(){if(o){o=!1,Yx(i);var a=i.nextHandlers.indexOf(t);i.nextHandlers.splice(a,1),0===i.nextHandlers.length&&(r.target&&r.target.removeEventListener(e,i.handleEvent,n),i.handleEvent=void 0)}}};var Xx="__consolidated_events_handlers__";function Qx(e,t,n,r){e[Xx]||(e[Xx]=new Zx(e));var i=function(e){if(e)return void 0===Kx&&(Kx=function(){if(!Gx)return!1;if(!window.addEventListener||!window.removeEventListener||!Object.defineProperty)return!1;var e=!1;try{var t=Object.defineProperty({},"passive",{get:function(){e=!0}}),n=function(){};window.addEventListener("testPassiveEventSupport",n,t),window.removeEventListener("testPassiveEventSupport",n,t)}catch(e){}return e}()),Kx?e:!!e.capture}(r);return e[Xx].add(t,n,i)}var Jx=__webpack_require__(94237);function ek(e,t){var n,r=(n=e,!isNaN(parseFloat(n))&&isFinite(n)?parseFloat(n):"px"===n.slice(-2)?parseFloat(n.slice(0,-2)):void 0);if("number"==typeof r)return r;var i=function(e){if("%"===e.slice(-1))return parseFloat(e.slice(0,-1))/100}(e);return"number"==typeof i?i*t:void 0}var tk,nk="above",rk="inside",ik="below",ok="invisible";function ak(e){return"string"==typeof e.type}var sk=[];function lk(e){sk.push(e),tk||(tk=setTimeout((function(){var e;for(tk=null;e=sk.shift();)e()}),0));var t=!0;return function(){if(t){t=!1;var n=sk.indexOf(e);-1!==n&&(sk.splice(n,1),!sk.length&&tk&&(clearTimeout(tk),tk=null))}}}var uk="undefined"!=typeof window,ck=function(e){function t(t){var n;return(n=e.call(this,t)||this).refElement=function(e){n._ref=e},n}ne(t,e);var n=t.prototype;return n.componentDidMount=function(){var e=this;uk&&(this.cancelOnNextTick=lk((function(){e.cancelOnNextTick=null;var t=e.props,n=t.children;t.debug,function(e,t){if(e&&!ak(e)&&!t)throw new Error("<Waypoint> needs a DOM element to compute boundaries. The child you passed is neither a DOM element (e.g. <div>) nor does it use the innerRef prop.\n\nSee https://goo.gl/LrBNgw for more info.")}(n,e._ref),e._handleScroll=e._handleScroll.bind(e),e.scrollableAncestor=e._findScrollableAncestor(),e.scrollEventListenerUnsubscribe=Qx(e.scrollableAncestor,"scroll",e._handleScroll,{passive:!0}),e.resizeEventListenerUnsubscribe=Qx(window,"resize",e._handleScroll,{passive:!0}),e._handleScroll(null)})))},n.componentDidUpdate=function(){var e=this;uk&&this.scrollableAncestor&&(this.cancelOnNextTick||(this.cancelOnNextTick=lk((function(){e.cancelOnNextTick=null,e._handleScroll(null)}))))},n.componentWillUnmount=function(){uk&&(this.scrollEventListenerUnsubscribe&&this.scrollEventListenerUnsubscribe(),this.resizeEventListenerUnsubscribe&&this.resizeEventListenerUnsubscribe(),this.cancelOnNextTick&&this.cancelOnNextTick())},n._findScrollableAncestor=function(){var e=this.props,t=e.horizontal,n=e.scrollableAncestor;if(n)return function(e){return"window"===e?__webpack_require__.g.window:e}(n);for(var r=this._ref;r.parentNode;){if((r=r.parentNode)===document.body)return window;var i=window.getComputedStyle(r),o=(t?i.getPropertyValue("overflow-x"):i.getPropertyValue("overflow-y"))||i.getPropertyValue("overflow");if("auto"===o||"scroll"===o||"overlay"===o)return r}return window},n._handleScroll=function(e){if(this._ref){var t=this._getBounds(),n=function(e){return e.viewportBottom-e.viewportTop==0?ok:e.viewportTop<=e.waypointTop&&e.waypointTop<=e.viewportBottom||e.viewportTop<=e.waypointBottom&&e.waypointBottom<=e.viewportBottom||e.waypointTop<=e.viewportTop&&e.viewportBottom<=e.waypointBottom?rk:e.viewportBottom<e.waypointTop?ik:e.waypointTop<e.viewportTop?nk:ok}(t),r=this._previousPosition,i=this.props,o=(i.debug,i.onPositionChange),a=i.onEnter,s=i.onLeave,l=i.fireOnRapidScroll;if(this._previousPosition=n,r!==n){var u={currentPosition:n,previousPosition:r,event:e,waypointTop:t.waypointTop,waypointBottom:t.waypointBottom,viewportTop:t.viewportTop,viewportBottom:t.viewportBottom};o.call(this,u),n===rk?a.call(this,u):r===rk&&s.call(this,u),l&&(r===ik&&n===nk||r===nk&&n===ik)&&(a.call(this,{currentPosition:rk,previousPosition:r,event:e,waypointTop:t.waypointTop,waypointBottom:t.waypointBottom,viewportTop:t.viewportTop,viewportBottom:t.viewportBottom}),s.call(this,{currentPosition:n,previousPosition:rk,event:e,waypointTop:t.waypointTop,waypointBottom:t.waypointBottom,viewportTop:t.viewportTop,viewportBottom:t.viewportBottom}))}}},n._getBounds=function(){var e,t,n=this.props,r=n.horizontal,i=(n.debug,this._ref.getBoundingClientRect()),o=i.left,a=i.top,s=i.right,l=i.bottom,u=r?o:a,c=r?s:l;this.scrollableAncestor===window?(e=r?window.innerWidth:window.innerHeight,t=0):(e=r?this.scrollableAncestor.offsetWidth:this.scrollableAncestor.offsetHeight,t=r?this.scrollableAncestor.getBoundingClientRect().left:this.scrollableAncestor.getBoundingClientRect().top);var d=this.props,p=d.bottomOffset;return{waypointTop:u,waypointBottom:c,viewportTop:t+ek(d.topOffset,e),viewportBottom:t+e-ek(p,e)}},n.render=function(){var e=this,t=this.props.children;return t?ak(t)||(0,Jx.isForwardRef)(t)?o.cloneElement(t,{ref:function(n){e.refElement(n),t.ref&&("function"==typeof t.ref?t.ref(n):t.ref.current=n)}}):o.cloneElement(t,{innerRef:this.refElement}):o.createElement("span",{ref:this.refElement,style:{fontSize:0}})},t}(o.PureComponent);function dk(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}ck.above=nk,ck.below=ik,ck.inside=rk,ck.invisible=ok,ck.defaultProps={debug:!1,scrollableAncestor:void 0,children:void 0,topOffset:"0px",bottomOffset:"0px",horizontal:!1,onEnter:function(){},onLeave:function(){},onPositionChange:function(){},fireOnRapidScroll:!0},ck.displayName="Waypoint";var pk=Number.isNaN||function(e){return"number"==typeof e&&e!=e};function fk(e,t){if(e.length!==t.length)return!1;for(var n=0;n<e.length;n++)if(!((r=e[n])===(i=t[n])||pk(r)&&pk(i)))return!1;var r,i;return!0}const hk=function(e,t){var n;void 0===t&&(t=fk);var r,i=[],o=!1;return function(){for(var a=[],s=0;s<arguments.length;s++)a[s]=arguments[s];return o&&n===this&&t(a,i)||(r=e.apply(this,a),o=!0,n=this,i=a),r}};var mk="object"==typeof performance&&"function"==typeof performance.now?function(){return performance.now()}:function(){return Date.now()};function gk(e){cancelAnimationFrame(e.id)}function vk(e,t){var n=mk(),r={id:requestAnimationFrame((function i(){mk()-n>=t?e.call(null):r.id=requestAnimationFrame(i)}))};return r}var yk=-1;function bk(e){if(void 0===e&&(e=!1),-1===yk||e){var t=document.createElement("div"),n=t.style;n.width="50px",n.height="50px",n.overflow="scroll",document.body.appendChild(t),yk=t.offsetWidth-t.clientWidth,document.body.removeChild(t)}return yk}var wk=null;function _k(e){if(void 0===e&&(e=!1),null===wk||e){var t=document.createElement("div"),n=t.style;n.width="50px",n.height="50px",n.overflow="scroll",n.direction="rtl";var r=document.createElement("div"),i=r.style;return i.width="100px",i.height="100px",t.appendChild(r),document.body.appendChild(t),t.scrollLeft>0?wk="positive-descending":(t.scrollLeft=1,wk=0===t.scrollLeft?"negative":"positive-ascending"),document.body.removeChild(t),wk}return wk}var xk=function(e){var t=e.columnIndex;return e.data,e.rowIndex+":"+t};function kk(e){var t,n=e.getColumnOffset,r=e.getColumnStartIndexForOffset,i=e.getColumnStopIndexForStartIndex,a=e.getColumnWidth,s=e.getEstimatedTotalHeight,l=e.getEstimatedTotalWidth,u=e.getOffsetForColumnAndAlignment,c=e.getOffsetForRowAndAlignment,d=e.getRowHeight,p=e.getRowOffset,f=e.getRowStartIndexForOffset,h=e.getRowStopIndexForStartIndex,g=e.initInstanceProps,v=e.shouldResetStyleCacheOnItemSizeChange,y=e.validateProps;return t=function(e){function t(t){var r;return(r=e.call(this,t)||this)._instanceProps=g(r.props,dk(r)),r._resetIsScrollingTimeoutId=null,r._outerRef=void 0,r.state={instance:dk(r),isScrolling:!1,horizontalScrollDirection:"forward",scrollLeft:"number"==typeof r.props.initialScrollLeft?r.props.initialScrollLeft:0,scrollTop:"number"==typeof r.props.initialScrollTop?r.props.initialScrollTop:0,scrollUpdateWasRequested:!1,verticalScrollDirection:"forward"},r._callOnItemsRendered=void 0,r._callOnItemsRendered=hk((function(e,t,n,i,o,a,s,l){return r.props.onItemsRendered({overscanColumnStartIndex:e,overscanColumnStopIndex:t,overscanRowStartIndex:n,overscanRowStopIndex:i,visibleColumnStartIndex:o,visibleColumnStopIndex:a,visibleRowStartIndex:s,visibleRowStopIndex:l})})),r._callOnScroll=void 0,r._callOnScroll=hk((function(e,t,n,i,o){return r.props.onScroll({horizontalScrollDirection:n,scrollLeft:e,scrollTop:t,verticalScrollDirection:i,scrollUpdateWasRequested:o})})),r._getItemStyle=void 0,r._getItemStyle=function(e,t){var i,o=r.props,s=o.columnWidth,l=o.direction,u=o.rowHeight,c=r._getItemStyleCache(v&&s,v&&l,v&&u),f=e+":"+t;if(c.hasOwnProperty(f))i=c[f];else{var h=n(r.props,t,r._instanceProps),m="rtl"===l;c[f]=i={position:"absolute",left:m?void 0:h,right:m?h:void 0,top:p(r.props,e,r._instanceProps),height:d(r.props,e,r._instanceProps),width:a(r.props,t,r._instanceProps)}}return i},r._getItemStyleCache=void 0,r._getItemStyleCache=hk((function(e,t,n){return{}})),r._onScroll=function(e){var t=e.currentTarget,n=t.clientHeight,i=t.clientWidth,o=t.scrollLeft,a=t.scrollTop,s=t.scrollHeight,l=t.scrollWidth;r.setState((function(e){if(e.scrollLeft===o&&e.scrollTop===a)return null;var t=r.props.direction,u=o;if("rtl"===t)switch(_k()){case"negative":u=-o;break;case"positive-descending":u=l-i-o}u=Math.max(0,Math.min(u,l-i));var c=Math.max(0,Math.min(a,s-n));return{isScrolling:!0,horizontalScrollDirection:e.scrollLeft<o?"forward":"backward",scrollLeft:u,scrollTop:c,verticalScrollDirection:e.scrollTop<a?"forward":"backward",scrollUpdateWasRequested:!1}}),r._resetIsScrollingDebounced)},r._outerRefSetter=function(e){var t=r.props.outerRef;r._outerRef=e,"function"==typeof t?t(e):null!=t&&"object"==typeof t&&t.hasOwnProperty("current")&&(t.current=e)},r._resetIsScrollingDebounced=function(){null!==r._resetIsScrollingTimeoutId&&gk(r._resetIsScrollingTimeoutId),r._resetIsScrollingTimeoutId=vk(r._resetIsScrolling,150)},r._resetIsScrolling=function(){r._resetIsScrollingTimeoutId=null,r.setState({isScrolling:!1},(function(){r._getItemStyleCache(-1)}))},r}ne(t,e),t.getDerivedStateFromProps=function(e,t){return Ek(e,t),y(e),null};var b=t.prototype;return b.scrollTo=function(e){var t=e.scrollLeft,n=e.scrollTop;void 0!==t&&(t=Math.max(0,t)),void 0!==n&&(n=Math.max(0,n)),this.setState((function(e){return void 0===t&&(t=e.scrollLeft),void 0===n&&(n=e.scrollTop),e.scrollLeft===t&&e.scrollTop===n?null:{horizontalScrollDirection:e.scrollLeft<t?"forward":"backward",scrollLeft:t,scrollTop:n,scrollUpdateWasRequested:!0,verticalScrollDirection:e.scrollTop<n?"forward":"backward"}}),this._resetIsScrollingDebounced)},b.scrollToItem=function(e){var t=e.align,n=void 0===t?"auto":t,r=e.columnIndex,i=e.rowIndex,o=this.props,a=o.columnCount,d=o.height,p=o.rowCount,f=o.width,h=this.state,m=h.scrollLeft,g=h.scrollTop,v=bk();void 0!==r&&(r=Math.max(0,Math.min(r,a-1))),void 0!==i&&(i=Math.max(0,Math.min(i,p-1)));var y=s(this.props,this._instanceProps),b=l(this.props,this._instanceProps)>f?v:0,w=y>d?v:0;this.scrollTo({scrollLeft:void 0!==r?u(this.props,r,n,m,this._instanceProps,w):m,scrollTop:void 0!==i?c(this.props,i,n,g,this._instanceProps,b):g})},b.componentDidMount=function(){var e=this.props,t=e.initialScrollLeft,n=e.initialScrollTop;if(null!=this._outerRef){var r=this._outerRef;"number"==typeof t&&(r.scrollLeft=t),"number"==typeof n&&(r.scrollTop=n)}this._callPropsCallbacks()},b.componentDidUpdate=function(){var e=this.props.direction,t=this.state,n=t.scrollLeft,r=t.scrollTop;if(t.scrollUpdateWasRequested&&null!=this._outerRef){var i=this._outerRef;if("rtl"===e)switch(_k()){case"negative":i.scrollLeft=-n;break;case"positive-ascending":i.scrollLeft=n;break;default:var o=i.clientWidth,a=i.scrollWidth;i.scrollLeft=a-o-n}else i.scrollLeft=Math.max(0,n);i.scrollTop=Math.max(0,r)}this._callPropsCallbacks()},b.componentWillUnmount=function(){null!==this._resetIsScrollingTimeoutId&&gk(this._resetIsScrollingTimeoutId)},b.render=function(){var e=this.props,t=e.children,n=e.className,r=e.columnCount,i=e.direction,a=e.height,u=e.innerRef,c=e.innerElementType,d=e.innerTagName,p=e.itemData,f=e.itemKey,h=void 0===f?xk:f,g=e.outerElementType,v=e.outerTagName,y=e.rowCount,b=e.style,w=e.useIsScrolling,_=e.width,x=this.state.isScrolling,k=this._getHorizontalRangeToRender(),E=k[0],C=k[1],S=this._getVerticalRangeToRender(),A=S[0],T=S[1],O=[];if(r>0&&y)for(var P=A;P<=T;P++)for(var D=E;D<=C;D++)O.push((0,o.createElement)(t,{columnIndex:D,data:p,isScrolling:w?x:void 0,key:h({columnIndex:D,data:p,rowIndex:P}),rowIndex:P,style:this._getItemStyle(P,D)}));var R=s(this.props,this._instanceProps),I=l(this.props,this._instanceProps);return(0,o.createElement)(g||v||"div",{className:n,onScroll:this._onScroll,ref:this._outerRefSetter,style:m({position:"relative",height:a,width:_,overflow:"auto",WebkitOverflowScrolling:"touch",willChange:"transform",direction:i},b)},(0,o.createElement)(c||d||"div",{children:O,ref:u,style:{height:R,pointerEvents:x?"none":void 0,width:I}}))},b._callPropsCallbacks=function(){var e=this.props,t=e.columnCount,n=e.onItemsRendered,r=e.onScroll,i=e.rowCount;if("function"==typeof n&&t>0&&i>0){var o=this._getHorizontalRangeToRender(),a=o[0],s=o[1],l=o[2],u=o[3],c=this._getVerticalRangeToRender(),d=c[0],p=c[1],f=c[2],h=c[3];this._callOnItemsRendered(a,s,d,p,l,u,f,h)}if("function"==typeof r){var m=this.state,g=m.horizontalScrollDirection,v=m.scrollLeft,y=m.scrollTop,b=m.scrollUpdateWasRequested,w=m.verticalScrollDirection;this._callOnScroll(v,y,g,w,b)}},b._getHorizontalRangeToRender=function(){var e=this.props,t=e.columnCount,n=e.overscanColumnCount,o=e.overscanColumnsCount,a=e.overscanCount,s=e.rowCount,l=this.state,u=l.horizontalScrollDirection,c=l.isScrolling,d=l.scrollLeft,p=n||o||a||1;if(0===t||0===s)return[0,0,0,0];var f=r(this.props,d,this._instanceProps),h=i(this.props,f,d,this._instanceProps),m=c&&"backward"!==u?1:Math.max(1,p),g=c&&"forward"!==u?1:Math.max(1,p);return[Math.max(0,f-m),Math.max(0,Math.min(t-1,h+g)),f,h]},b._getVerticalRangeToRender=function(){var e=this.props,t=e.columnCount,n=e.overscanCount,r=e.overscanRowCount,i=e.overscanRowsCount,o=e.rowCount,a=this.state,s=a.isScrolling,l=a.verticalScrollDirection,u=a.scrollTop,c=r||i||n||1;if(0===t||0===o)return[0,0,0,0];var d=f(this.props,u,this._instanceProps),p=h(this.props,d,u,this._instanceProps),m=s&&"backward"!==l?1:Math.max(1,c),g=s&&"forward"!==l?1:Math.max(1,c);return[Math.max(0,d-m),Math.max(0,Math.min(o-1,p+g)),d,p]},t}(o.PureComponent),t.defaultProps={direction:"ltr",itemData:void 0,useIsScrolling:!1},t}var Ek=function(e,t){e.children,e.direction,e.height,e.innerTagName,e.outerTagName,e.overscanColumnsCount,e.overscanCount,e.overscanRowsCount,e.width,t.instance},Ck=function(e,t){return e};function Sk(e){var t,n=e.getItemOffset,r=e.getEstimatedTotalSize,i=e.getItemSize,a=e.getOffsetForIndexAndAlignment,s=e.getStartIndexForOffset,l=e.getStopIndexForStartIndex,u=e.initInstanceProps,c=e.shouldResetStyleCacheOnItemSizeChange,d=e.validateProps;return t=function(e){function t(t){var r;return(r=e.call(this,t)||this)._instanceProps=u(r.props,dk(r)),r._outerRef=void 0,r._resetIsScrollingTimeoutId=null,r.state={instance:dk(r),isScrolling:!1,scrollDirection:"forward",scrollOffset:"number"==typeof r.props.initialScrollOffset?r.props.initialScrollOffset:0,scrollUpdateWasRequested:!1},r._callOnItemsRendered=void 0,r._callOnItemsRendered=hk((function(e,t,n,i){return r.props.onItemsRendered({overscanStartIndex:e,overscanStopIndex:t,visibleStartIndex:n,visibleStopIndex:i})})),r._callOnScroll=void 0,r._callOnScroll=hk((function(e,t,n){return r.props.onScroll({scrollDirection:e,scrollOffset:t,scrollUpdateWasRequested:n})})),r._getItemStyle=void 0,r._getItemStyle=function(e){var t,o=r.props,a=o.direction,s=o.itemSize,l=o.layout,u=r._getItemStyleCache(c&&s,c&&l,c&&a);if(u.hasOwnProperty(e))t=u[e];else{var d=n(r.props,e,r._instanceProps),p=i(r.props,e,r._instanceProps),f="horizontal"===a||"horizontal"===l,h="rtl"===a,m=f?d:0;u[e]=t={position:"absolute",left:h?void 0:m,right:h?m:void 0,top:f?0:d,height:f?"100%":p,width:f?p:"100%"}}return t},r._getItemStyleCache=void 0,r._getItemStyleCache=hk((function(e,t,n){return{}})),r._onScrollHorizontal=function(e){var t=e.currentTarget,n=t.clientWidth,i=t.scrollLeft,o=t.scrollWidth;r.setState((function(e){if(e.scrollOffset===i)return null;var t=r.props.direction,a=i;if("rtl"===t)switch(_k()){case"negative":a=-i;break;case"positive-descending":a=o-n-i}return a=Math.max(0,Math.min(a,o-n)),{isScrolling:!0,scrollDirection:e.scrollOffset<i?"forward":"backward",scrollOffset:a,scrollUpdateWasRequested:!1}}),r._resetIsScrollingDebounced)},r._onScrollVertical=function(e){var t=e.currentTarget,n=t.clientHeight,i=t.scrollHeight,o=t.scrollTop;r.setState((function(e){if(e.scrollOffset===o)return null;var t=Math.max(0,Math.min(o,i-n));return{isScrolling:!0,scrollDirection:e.scrollOffset<t?"forward":"backward",scrollOffset:t,scrollUpdateWasRequested:!1}}),r._resetIsScrollingDebounced)},r._outerRefSetter=function(e){var t=r.props.outerRef;r._outerRef=e,"function"==typeof t?t(e):null!=t&&"object"==typeof t&&t.hasOwnProperty("current")&&(t.current=e)},r._resetIsScrollingDebounced=function(){null!==r._resetIsScrollingTimeoutId&&gk(r._resetIsScrollingTimeoutId),r._resetIsScrollingTimeoutId=vk(r._resetIsScrolling,150)},r._resetIsScrolling=function(){r._resetIsScrollingTimeoutId=null,r.setState({isScrolling:!1},(function(){r._getItemStyleCache(-1,null)}))},r}ne(t,e),t.getDerivedStateFromProps=function(e,t){return Ak(e,t),d(e),null};var p=t.prototype;return p.scrollTo=function(e){e=Math.max(0,e),this.setState((function(t){return t.scrollOffset===e?null:{scrollDirection:t.scrollOffset<e?"forward":"backward",scrollOffset:e,scrollUpdateWasRequested:!0}}),this._resetIsScrollingDebounced)},p.scrollToItem=function(e,t){void 0===t&&(t="auto");var n=this.props,r=n.itemCount,i=n.layout,o=this.state.scrollOffset;e=Math.max(0,Math.min(e,r-1));var s=0;if(this._outerRef){var l=this._outerRef;s="vertical"===i?l.scrollWidth>l.clientWidth?bk():0:l.scrollHeight>l.clientHeight?bk():0}this.scrollTo(a(this.props,e,t,o,this._instanceProps,s))},p.componentDidMount=function(){var e=this.props,t=e.direction,n=e.initialScrollOffset,r=e.layout;if("number"==typeof n&&null!=this._outerRef){var i=this._outerRef;"horizontal"===t||"horizontal"===r?i.scrollLeft=n:i.scrollTop=n}this._callPropsCallbacks()},p.componentDidUpdate=function(){var e=this.props,t=e.direction,n=e.layout,r=this.state,i=r.scrollOffset;if(r.scrollUpdateWasRequested&&null!=this._outerRef){var o=this._outerRef;if("horizontal"===t||"horizontal"===n)if("rtl"===t)switch(_k()){case"negative":o.scrollLeft=-i;break;case"positive-ascending":o.scrollLeft=i;break;default:var a=o.clientWidth,s=o.scrollWidth;o.scrollLeft=s-a-i}else o.scrollLeft=i;else o.scrollTop=i}this._callPropsCallbacks()},p.componentWillUnmount=function(){null!==this._resetIsScrollingTimeoutId&&gk(this._resetIsScrollingTimeoutId)},p.render=function(){var e=this.props,t=e.children,n=e.className,i=e.direction,a=e.height,s=e.innerRef,l=e.innerElementType,u=e.innerTagName,c=e.itemCount,d=e.itemData,p=e.itemKey,f=void 0===p?Ck:p,h=e.layout,g=e.outerElementType,v=e.outerTagName,y=e.style,b=e.useIsScrolling,w=e.width,_=this.state.isScrolling,x="horizontal"===i||"horizontal"===h,k=x?this._onScrollHorizontal:this._onScrollVertical,E=this._getRangeToRender(),C=E[0],S=E[1],A=[];if(c>0)for(var T=C;T<=S;T++)A.push((0,o.createElement)(t,{data:d,key:f(T,d),index:T,isScrolling:b?_:void 0,style:this._getItemStyle(T)}));var O=r(this.props,this._instanceProps);return(0,o.createElement)(g||v||"div",{className:n,onScroll:k,ref:this._outerRefSetter,style:m({position:"relative",height:a,width:w,overflow:"auto",WebkitOverflowScrolling:"touch",willChange:"transform",direction:i},y)},(0,o.createElement)(l||u||"div",{children:A,ref:s,style:{height:x?"100%":O,pointerEvents:_?"none":void 0,width:x?O:"100%"}}))},p._callPropsCallbacks=function(){if("function"==typeof this.props.onItemsRendered&&this.props.itemCount>0){var e=this._getRangeToRender(),t=e[0],n=e[1],r=e[2],i=e[3];this._callOnItemsRendered(t,n,r,i)}if("function"==typeof this.props.onScroll){var o=this.state,a=o.scrollDirection,s=o.scrollOffset,l=o.scrollUpdateWasRequested;this._callOnScroll(a,s,l)}},p._getRangeToRender=function(){var e=this.props,t=e.itemCount,n=e.overscanCount,r=this.state,i=r.isScrolling,o=r.scrollDirection,a=r.scrollOffset;if(0===t)return[0,0,0,0];var u=s(this.props,a,this._instanceProps),c=l(this.props,u,a,this._instanceProps),d=i&&"backward"!==o?1:Math.max(1,n),p=i&&"forward"!==o?1:Math.max(1,n);return[Math.max(0,u-d),Math.max(0,Math.min(t-1,c+p)),u,c]},t}(o.PureComponent),t.defaultProps={direction:"ltr",itemData:void 0,layout:"vertical",overscanCount:2,useIsScrolling:!1},t}var Ak=function(e,t){e.children,e.direction,e.height,e.layout,e.innerTagName,e.outerTagName,e.width,t.instance},Tk=kk({getColumnOffset:function(e,t){return t*e.columnWidth},getColumnWidth:function(e,t){return e.columnWidth},getRowOffset:function(e,t){return t*e.rowHeight},getRowHeight:function(e,t){return e.rowHeight},getEstimatedTotalHeight:function(e){var t=e.rowCount;return e.rowHeight*t},getEstimatedTotalWidth:function(e){var t=e.columnCount;return e.columnWidth*t},getOffsetForColumnAndAlignment:function(e,t,n,r,i,o){var a=e.columnCount,s=e.columnWidth,l=e.width,u=Math.max(0,a*s-l),c=Math.min(u,t*s),d=Math.max(0,t*s-l+o+s);switch("smart"===n&&(n=r>=d-l&&r<=c+l?"auto":"center"),n){case"start":return c;case"end":return d;case"center":var p=Math.round(d+(c-d)/2);return p<Math.ceil(l/2)?0:p>u+Math.floor(l/2)?u:p;default:return r>=d&&r<=c?r:d>c||r<d?d:c}},getOffsetForRowAndAlignment:function(e,t,n,r,i,o){var a=e.rowHeight,s=e.height,l=e.rowCount,u=Math.max(0,l*a-s),c=Math.min(u,t*a),d=Math.max(0,t*a-s+o+a);switch("smart"===n&&(n=r>=d-s&&r<=c+s?"auto":"center"),n){case"start":return c;case"end":return d;case"center":var p=Math.round(d+(c-d)/2);return p<Math.ceil(s/2)?0:p>u+Math.floor(s/2)?u:p;default:return r>=d&&r<=c?r:d>c||r<d?d:c}},getColumnStartIndexForOffset:function(e,t){var n=e.columnWidth,r=e.columnCount;return Math.max(0,Math.min(r-1,Math.floor(t/n)))},getColumnStopIndexForStartIndex:function(e,t,n){var r=e.columnWidth,i=e.columnCount,o=e.width,a=t*r,s=Math.ceil((o+n-a)/r);return Math.max(0,Math.min(i-1,t+s-1))},getRowStartIndexForOffset:function(e,t){var n=e.rowHeight,r=e.rowCount;return Math.max(0,Math.min(r-1,Math.floor(t/n)))},getRowStopIndexForStartIndex:function(e,t,n){var r=e.rowHeight,i=e.rowCount,o=e.height,a=t*r,s=Math.ceil((o+n-a)/r);return Math.max(0,Math.min(i-1,t+s-1))},initInstanceProps:function(e){},shouldResetStyleCacheOnItemSizeChange:!0,validateProps:function(e){e.columnWidth,e.rowHeight}}),Ok=Sk({getItemOffset:function(e,t){return t*e.itemSize},getItemSize:function(e,t){return e.itemSize},getEstimatedTotalSize:function(e){var t=e.itemCount;return e.itemSize*t},getOffsetForIndexAndAlignment:function(e,t,n,r,i,o){var a=e.direction,s=e.height,l=e.itemCount,u=e.itemSize,c=e.layout,d=e.width,p="horizontal"===a||"horizontal"===c?d:s,f=Math.max(0,l*u-p),h=Math.min(f,t*u),m=Math.max(0,t*u-p+u+o);switch("smart"===n&&(n=r>=m-p&&r<=h+p?"auto":"center"),n){case"start":return h;case"end":return m;case"center":var g=Math.round(m+(h-m)/2);return g<Math.ceil(p/2)?0:g>f+Math.floor(p/2)?f:g;default:return r>=m&&r<=h?r:r<m?m:h}},getStartIndexForOffset:function(e,t){var n=e.itemCount,r=e.itemSize;return Math.max(0,Math.min(n-1,Math.floor(t/r)))},getStopIndexForStartIndex:function(e,t,n){var r=e.direction,i=e.height,o=e.itemCount,a=e.itemSize,s=e.layout,l=e.width,u=t*a,c="horizontal"===r||"horizontal"===s?l:i,d=Math.ceil((c+n-u)/a);return Math.max(0,Math.min(o-1,t+d-1))},initInstanceProps:function(e){},shouldResetStyleCacheOnItemSizeChange:!0,validateProps:function(e){e.itemSize}});let Pk;Pk="undefined"!=typeof window?window:"undefined"!=typeof self?self:__webpack_require__.g;let Dk=null,Rk=null;const Ik=Pk.clearTimeout,Mk=Pk.setTimeout,Lk=Pk.cancelAnimationFrame||Pk.mozCancelAnimationFrame||Pk.webkitCancelAnimationFrame,Nk=Pk.requestAnimationFrame||Pk.mozRequestAnimationFrame||Pk.webkitRequestAnimationFrame;null==Lk||null==Nk?(Dk=Ik,Rk=function(e){return Mk(e,20)}):(Dk=function([e,t]){Lk(e),Ik(t)},Rk=function(e){const t=Nk((function(){Ik(n),e()})),n=Mk((function(){Lk(t),e()}),20);return[t,n]});class jk extends o.Component{constructor(...e){super(...e),this.state={height:this.props.defaultHeight||0,scaledHeight:this.props.defaultHeight||0,scaledWidth:this.props.defaultWidth||0,width:this.props.defaultWidth||0},this._autoSizer=null,this._detectElementResize=null,this._parentNode=null,this._resizeObserver=null,this._timeoutId=null,this._onResize=()=>{this._timeoutId=null;const{disableHeight:e,disableWidth:t,onResize:n}=this.props;if(this._parentNode){var r,i,o,a;const s=window.getComputedStyle(this._parentNode)||{},l=parseFloat(null!==(r=s.paddingLeft)&&void 0!==r?r:"0"),u=parseFloat(null!==(i=s.paddingRight)&&void 0!==i?i:"0"),c=parseFloat(null!==(o=s.paddingTop)&&void 0!==o?o:"0"),d=parseFloat(null!==(a=s.paddingBottom)&&void 0!==a?a:"0"),p=this._parentNode.getBoundingClientRect(),f=p.height-c-d,h=p.width-l-u,m=this._parentNode.offsetHeight-c-d,g=this._parentNode.offsetWidth-l-u;(e||this.state.height===m&&this.state.scaledHeight===f)&&(t||this.state.width===g&&this.state.scaledWidth===h)||(this.setState({height:m,width:g,scaledHeight:f,scaledWidth:h}),"function"==typeof n&&n({height:m,scaledHeight:f,scaledWidth:h,width:g}))}},this._setRef=e=>{this._autoSizer=e}}componentDidMount(){const{nonce:e}=this.props;this._autoSizer&&this._autoSizer.parentNode&&this._autoSizer.parentNode.ownerDocument&&this._autoSizer.parentNode.ownerDocument.defaultView&&this._autoSizer.parentNode instanceof this._autoSizer.parentNode.ownerDocument.defaultView.HTMLElement&&(this._parentNode=this._autoSizer.parentNode,null!=this._parentNode&&("undefined"!=typeof ResizeObserver?(this._resizeObserver=new ResizeObserver((()=>{this._timeoutId=setTimeout(this._onResize,0)})),this._resizeObserver.observe(this._parentNode)):(this._detectElementResize=function(e){let t,n,r,i,o,a,s;const l="undefined"!=typeof document&&document.attachEvent;if(!l){a=function(e){const t=e.__resizeTriggers__,n=t.firstElementChild,r=t.lastElementChild,i=n.firstElementChild;r.scrollLeft=r.scrollWidth,r.scrollTop=r.scrollHeight,i.style.width=n.offsetWidth+1+"px",i.style.height=n.offsetHeight+1+"px",n.scrollLeft=n.scrollWidth,n.scrollTop=n.scrollHeight},o=function(e){return e.offsetWidth!==e.__resizeLast__.width||e.offsetHeight!==e.__resizeLast__.height},s=function(e){if(e.target.className&&"function"==typeof e.target.className.indexOf&&e.target.className.indexOf("contract-trigger")<0&&e.target.className.indexOf("expand-trigger")<0)return;const t=this;a(this),this.__resizeRAF__&&Dk(this.__resizeRAF__),this.__resizeRAF__=Rk((function(){o(t)&&(t.__resizeLast__.width=t.offsetWidth,t.__resizeLast__.height=t.offsetHeight,t.__resizeListeners__.forEach((function(n){n.call(t,e)})))}))};let e=!1,l="";r="animationstart";const u="Webkit Moz O ms".split(" ");let c="webkitAnimationStart animationstart oAnimationStart MSAnimationStart".split(" "),d="";{const t=document.createElement("fakeelement");if(void 0!==t.style.animationName&&(e=!0),!1===e)for(let n=0;n<u.length;n++)if(void 0!==t.style[u[n]+"AnimationName"]){d=u[n],l="-"+d.toLowerCase()+"-",r=c[n],e=!0;break}}n="resizeanim",t="@"+l+"keyframes "+n+" { from { opacity: 0; } to { opacity: 0; } } ",i=l+"animation: 1ms "+n+"; "}return{addResizeListener:function(o,u){if(l)o.attachEvent("onresize",u);else{if(!o.__resizeTriggers__){const l=o.ownerDocument,u=Pk.getComputedStyle(o);u&&"static"===u.position&&(o.style.position="relative"),function(n){if(!n.getElementById("detectElementResize")){const r=(t||"")+".resize-triggers { "+(i||"")+'visibility: hidden; opacity: 0; } .resize-triggers, .resize-triggers > div, .contract-trigger:before { content: " "; display: block; position: absolute; top: 0; left: 0; height: 100%; width: 100%; overflow: hidden; z-index: -1; } .resize-triggers > div { background: #eee; overflow: auto; } .contract-trigger:before { width: 200%; height: 200%; }',o=n.head||n.getElementsByTagName("head")[0],a=n.createElement("style");a.id="detectElementResize",a.type="text/css",null!=e&&a.setAttribute("nonce",e),a.styleSheet?a.styleSheet.cssText=r:a.appendChild(n.createTextNode(r)),o.appendChild(a)}}(l),o.__resizeLast__={},o.__resizeListeners__=[],(o.__resizeTriggers__=l.createElement("div")).className="resize-triggers";const c=l.createElement("div");c.className="expand-trigger",c.appendChild(l.createElement("div"));const d=l.createElement("div");d.className="contract-trigger",o.__resizeTriggers__.appendChild(c),o.__resizeTriggers__.appendChild(d),o.appendChild(o.__resizeTriggers__),a(o),o.addEventListener("scroll",s,!0),r&&(o.__resizeTriggers__.__animationListener__=function(e){e.animationName===n&&a(o)},o.__resizeTriggers__.addEventListener(r,o.__resizeTriggers__.__animationListener__))}o.__resizeListeners__.push(u)}},removeResizeListener:function(e,t){if(l)e.detachEvent("onresize",t);else if(e.__resizeListeners__.splice(e.__resizeListeners__.indexOf(t),1),!e.__resizeListeners__.length){e.removeEventListener("scroll",s,!0),e.__resizeTriggers__.__animationListener__&&(e.__resizeTriggers__.removeEventListener(r,e.__resizeTriggers__.__animationListener__),e.__resizeTriggers__.__animationListener__=null);try{e.__resizeTriggers__=!e.removeChild(e.__resizeTriggers__)}catch(e){}}}}}(e),this._detectElementResize.addResizeListener(this._parentNode,this._onResize)),this._onResize()))}componentWillUnmount(){this._parentNode&&(this._detectElementResize&&this._detectElementResize.removeResizeListener(this._parentNode,this._onResize),null!==this._timeoutId&&clearTimeout(this._timeoutId),this._resizeObserver&&(this._resizeObserver.observe(this._parentNode),this._resizeObserver.disconnect()))}render(){const{children:e,defaultHeight:t,defaultWidth:n,disableHeight:r=!1,disableWidth:i=!1,nonce:a,onResize:s,style:l={},tagName:u="div",...c}=this.props,{height:d,scaledHeight:p,scaledWidth:f,width:h}=this.state,m={overflow:"visible"},g={};let v=!1;return r||(0===d&&(v=!0),m.height=0,g.height=d,g.scaledHeight=p),i||(0===h&&(v=!0),m.width=0,g.width=h,g.scaledWidth=f),(0,o.createElement)(u,{ref:this._setRef,style:{...m,...l},...c},!v&&e(g))}}const Fk=gb("div",{target:"e2etv5a5",label:"Card"})("width:",(e=>e.width),";height:",(e=>e.height),";margin:",(e=>e.margin),";border:",Zn.textField,";border-color:",(e=>e.isSelected&&Kn.active),";border-radius:",Yn.borderRadius,";cursor:pointer;overflow:hidden;background-color:",(e=>e.isPrivate&&Kn.textFieldBorder),";&:focus{outline:none;}"),Bk=gb("div",{target:"e2etv5a4",label:"CardImageWrapper"})("height:",162,"px;",or.checkerboard,";",nr,";border-bottom:solid ",Yn.borderWidth," ",Kn.textFieldBorder,";position:relative;"),zk=gb("img",{target:"e2etv5a3",label:"CardImage"})("width:100%;height:",160,"px;object-fit:contain;border-radius:2px 2px 0 0;"),Uk=gb("div",{target:"e2etv5a2",label:"CardFileIcon"})({name:"viljxp",styles:"width:100%;height:160px;object-fit:cover;border-radius:2px 2px 0 0;padding:1em;font-size:3em"}),qk=gb("p",{target:"e2etv5a1",label:"CardText"})("color:",Kn.text,";padding:8px;margin-top:20px;overflow-wrap:break-word;line-height:1.3!important;"),$k=gb("p",{target:"e2etv5a0",label:"DraftText"})("color:",Kn.mediaDraftText,";background-color:",Kn.mediaDraftBackground,";position:absolute;padding:8px;border-radius:",Yn.borderRadius," 0 ",Yn.borderRadius," 0;");class Wk extends o.Component{render(){const{isSelected:e,displayURL:t,text:n,onClick:r,draftText:i,width:o,height:a,margin:s,isPrivate:l,type:u,isViewableImage:c,isDraft:d}=this.props,p=t.get("url");return xn(Fk,{isSelected:e,onClick:r,width:o,height:a,margin:s,tabIndex:"-1",isPrivate:l},xn(Bk,null,d?xn($k,{"data-testid":"draft-text"},i):null,p&&c?xn(zk,{loading:"lazy",src:p}):xn(Uk,{"data-testid":"card-file-icon"},u)),xn(qk,null,n))}componentDidMount(){const{displayURL:e,loadDisplayURL:t}=this.props;e.get("url")||t()}}Wk.propTypes={isSelected:ie().bool,displayURL:Q_().map.isRequired,text:ie().string.isRequired,onClick:ie().func.isRequired,draftText:ie().string.isRequired,width:ie().string.isRequired,height:ie().string.isRequired,margin:ie().string.isRequired,isPrivate:ie().bool,type:ie().string,isViewableImage:ie().bool.isRequired,loadDisplayURL:ie().func.isRequired,isDraft:ie().bool};const Hk=Wk;function Vk(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Gk(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Vk(Object(n),!0).forEach((function(t){Kk(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Vk(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Kk(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function Yk(e){const{rowIndex:t,columnIndex:n,style:r,data:{mediaItems:i,isSelectedFile:o,onAssetClick:a,cardDraftText:s,cardWidth:l,cardHeight:u,isPrivate:c,displayURLs:d,loadDisplayURL:p,columnCount:f,gutter:h}}=e,m=t*f+n;if(m>=i.length)return null;const g=i[m];return xn("div",{tabIndex:"0",style:Gk(Gk({},r),{},{left:r.left+h*n,top:r.top+h,width:r.width-h,height:r.height-h})},xn(Hk,{key:g.key,isSelected:o(g),text:g.name,onClick:()=>a(g),isDraft:g.draft,draftText:s,width:l,height:u,margin:"0px",isPrivate:c,displayURL:d.get(g.id,g.url?(0,kr.Map)({url:g.url}):(0,kr.Map)()),loadDisplayURL:()=>p(g),type:g.type,isViewableImage:g.isViewableImage}))}function Zk(e){const{mediaItems:t,setScrollContainerRef:n}=e;return xn(Qk,{ref:n},xn(jk,null,(({height:n,width:r})=>{const i=parseInt(e.cardWidth,10),o=parseInt(e.cardHeight,10),a=parseInt(e.cardMargin,10),s=i+a,l=o+a,u=Math.floor(r/s),c=Math.ceil(t.length/u);return xn(Tk,{columnCount:u,columnWidth:s,rowCount:c,rowHeight:l,width:r,height:n,itemData:Gk(Gk({},e),{},{gutter:a,columnCount:u})},Yk)})))}function Xk({setScrollContainerRef:e,mediaItems:t,isSelectedFile:n,onAssetClick:r,cardDraftText:i,cardWidth:o,cardHeight:a,cardMargin:s,isPrivate:l,displayURLs:u,loadDisplayURL:c,canLoadMore:d,onLoadMore:p,isPaginating:f,paginatingMessage:h}){return xn(Qk,{ref:e},xn(Jk,null,t.map((e=>xn(Hk,{key:e.key,isSelected:n(e),text:e.name,onClick:()=>r(e),isDraft:e.draft,draftText:i,width:o,height:a,margin:s,isPrivate:l,displayURL:u.get(e.id,e.url?(0,kr.Map)({url:e.url}):(0,kr.Map)()),loadDisplayURL:()=>c(e),type:e.type,isViewableImage:e.isViewableImage}))),d?xn(ck,{onEnter:p}):null),f?xn(eE,{isPrivate:l},h):null)}const Qk=gb("div",{target:"e1l5oka22",label:"CardGridContainer"})({name:"bv8zlo",styles:"overflow-y:auto;overflow-x:hidden"}),Jk=gb("div",{target:"e1l5oka21",label:"CardGrid"})({name:"15jhlc1",styles:"display:flex;flex-wrap:wrap;margin-left:-10px;margin-right:-10px"}),eE=gb("h1",{target:"e1l5oka20",label:"PaginatingMessage"})("color:",(e=>e.isPrivate&&Kn.textFieldBorder),";");function tE(e){const{canLoadMore:t,isPaginating:n}=e;return xn(t||n?Xk:Zk,e)}tE.propTypes={setScrollContainerRef:ie().func.isRequired,mediaItems:ie().arrayOf(ie().shape({displayURL:ie().oneOfType([ie().string,ie().object]),id:ie().string.isRequired,key:ie().string.isRequired,name:ie().string.isRequired,type:ie().string.isRequired,draft:ie().bool})).isRequired,isSelectedFile:ie().func.isRequired,onAssetClick:ie().func.isRequired,canLoadMore:ie().bool,onLoadMore:ie().func.isRequired,isPaginating:ie().bool,paginatingMessage:ie().string,cardDraftText:ie().string.isRequired,cardWidth:ie().string.isRequired,cardMargin:ie().string.isRequired,loadDisplayURL:ie().func.isRequired,isPrivate:ie().bool,displayURLs:ie().instanceOf(kr.Map).isRequired};const nE=tE,rE=gb("div",{target:"e156433y0",label:"EmptyMessageContainer"})("height:100%;width:100%;display:flex;justify-content:center;align-items:center;color:",(e=>e.isPrivate&&Kn.textFieldBorder),";");function iE({content:e,isPrivate:t}){return xn(rE,{isPrivate:t},xn("h1",null,e))}iE.propTypes={content:ie().string.isRequired,isPrivate:ie().bool};const oE=iE,aE="300px",sE=gb(Bb,{target:"e4d0svf0",label:"StyledModal"})("display:grid;grid-template-rows:120px auto;width:calc(",aE," + 20px);background-color:",(e=>e.isPrivate&&Kn.grayDark),";@media (min-width: 800px){width:calc(",aE," * 2 + 20px);}@media (min-width: 1120px){width:calc(",aE," * 3 + 20px);}@media (min-width: 1440px){width:calc(",aE," * 4 + 20px);}@media (min-width: 1760px){width:calc(",aE," * 5 + 20px);}@media (min-width: 2080px){width:calc(",aE," * 6 + 20px);}h1{color:",(e=>e.isPrivate&&Kn.textFieldBorder),";}button:disabled,label[disabled]{background-color:",(e=>e.isPrivate&&"rgba(217, 217, 217, 0.15)"),";}");function lE({isVisible:e,canInsert:t,files:n,dynamicSearch:r,dynamicSearchActive:i,forImage:o,isLoading:a,isPersisting:s,isDeleting:l,hasNextPage:u,isPaginating:c,privateUpload:d,query:p,selectedFile:f,handleFilter:h,handleQuery:m,toTableData:g,handleClose:v,handleSearchChange:y,handleSearchKeyDown:b,handlePersist:w,handleDelete:_,handleInsert:x,handleDownload:k,setScrollContainerRef:E,handleAssetClick:C,handleLoadMore:S,loadDisplayURL:A,displayURLs:T,t:O}){const P=o?h(n):n,D=!r&&p?m(p,P):P,R=g(D),I=n&&!!n.length,M=P&&!!P.length,L=D&&!!D.length,N=L,j=!N,F=a&&!N&&O("mediaLibrary.mediaLibraryModal.loading")||i&&O("mediaLibrary.mediaLibraryModal.noResults")||!I&&O("mediaLibrary.mediaLibraryModal.noAssetsFound")||!M&&O("mediaLibrary.mediaLibraryModal.noImagesFound")||!L&&O("mediaLibrary.mediaLibraryModal.noResults"),B=N&&!nl()(f);return xn(sE,{isOpen:e,onClose:v,isPrivate:d},xn(Vx,{t:O,onClose:v,privateUpload:d,forImage:o,onDownload:k,onUpload:w,query:p,onSearchChange:y,onSearchKeyDown:b,searchDisabled:!i&&!M,onDelete:_,canInsert:t,onInsert:x,hasSelection:B,isPersisting:s,isDeleting:l,selectedFile:f}),j?xn(oE,{content:F,isPrivate:d}):null,xn(nE,{setScrollContainerRef:E,mediaItems:R,isSelectedFile:e=>f.key===e.key,onAssetClick:C,canLoadMore:u,onLoadMore:S,isPaginating:c,paginatingMessage:O("mediaLibrary.mediaLibraryModal.loading"),cardDraftText:O("mediaLibrary.mediaLibraryCard.draft"),cardWidth:"280px",cardHeight:"240px",cardMargin:"10px",isPrivate:d,loadDisplayURL:A,displayURLs:T}))}const uE={displayURL:ie().oneOfType([ie().string,ie().object]).isRequired,id:ie().string.isRequired,key:ie().string.isRequired,name:ie().string.isRequired,queryOrder:ie().number,size:ie().number,path:ie().string.isRequired};lE.propTypes={isVisible:ie().bool,canInsert:ie().bool,files:ie().arrayOf(ie().shape(uE)).isRequired,dynamicSearch:ie().bool,dynamicSearchActive:ie().bool,forImage:ie().bool,isLoading:ie().bool,isPersisting:ie().bool,isDeleting:ie().bool,hasNextPage:ie().bool,isPaginating:ie().bool,privateUpload:ie().bool,query:ie().string,selectedFile:ie().oneOfType([ie().shape(uE),ie().shape({})]),handleFilter:ie().func.isRequired,handleQuery:ie().func.isRequired,toTableData:ie().func.isRequired,handleClose:ie().func.isRequired,handleSearchChange:ie().func.isRequired,handleSearchKeyDown:ie().func.isRequired,handlePersist:ie().func.isRequired,handleDelete:ie().func.isRequired,handleInsert:ie().func.isRequired,setScrollContainerRef:ie().func.isRequired,handleAssetClick:ie().func.isRequired,handleLoadMore:ie().func.isRequired,loadDisplayURL:ie().func.isRequired,t:ie().func.isRequired,displayURLs:ie().instanceOf(kr.Map).isRequired};const cE=(0,gr.Iu)()(lE);function dE(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function pE(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?dE(Object(n),!0).forEach((function(t){fE(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):dE(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function fE(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const hE=["jpg","jpeg","webp","gif","png","bmp","tiff","svg","avif"],mE=[...hE];class gE extends o.Component{constructor(...e){super(...e),fE(this,"state",{selectedFile:{},query:"",isPersisted:!1}),fE(this,"loadDisplayURL",(e=>{const{loadMediaDisplayURL:t}=this.props;t(e)})),fE(this,"filterImages",(e=>e.filter((e=>{const t=Ho(e.name).toLowerCase();return mE.includes(t)})))),fE(this,"toTableData",(e=>{const t=e&&e.map((({key:e,name:t,id:n,size:r,path:i,queryOrder:o,displayURL:a,draft:s})=>{const l=Ho(t).toLowerCase();return{key:e,id:n,name:t,path:i,type:l.toUpperCase(),size:r,queryOrder:o,displayURL:a,draft:s,isImage:mE.includes(l),isViewableImage:hE.includes(l)}})),{sortFields:n}=this.state,r=Ex()(n,"fieldName").concat("queryOrder"),i=Ex()(n,"direction").concat("asc");return Ll()(t,r,i)})),fE(this,"handleClose",(()=>{this.props.closeMediaLibrary()})),fE(this,"handleAssetClick",(e=>{const t=this.state.selectedFile.key===e.key?{}:e;this.setState({selectedFile:t})})),fE(this,"handlePersist",(async e=>{e.persist(),e.stopPropagation(),e.preventDefault();const{persistMedia:t,privateUpload:n,config:r,t:i,field:o}=this.props,{files:a}=e.dataTransfer||e.target,s=[...a][0],l=r.get("max_file_size");l&&s.size>l?window.alert(i("mediaLibrary.mediaLibrary.fileTooLarge",{size:Math.floor(l/1e3)})):(await t(s,{privateUpload:n,field:o}),this.setState({isPersisted:!0}),this.scrollToTop()),e.target.value=null})),fE(this,"handleInsert",(()=>{const{selectedFile:e}=this.state,{path:t}=e,{insertMedia:n,field:r}=this.props;n(t,r),this.handleClose()})),fE(this,"handleDelete",(()=>{const{selectedFile:e}=this.state,{files:t,deleteMedia:n,privateUpload:r,t:i}=this.props;window.confirm(i("mediaLibrary.mediaLibrary.onDelete"))&&n(t.find((t=>e.key===t.key)),{privateUpload:r}).then((()=>{this.setState({selectedFile:{}})}))})),fE(this,"handleDownload",(()=>{const{selectedFile:e}=this.state,{displayURLs:t}=this.props,n=t.getIn([e.id,"url"])||e.url;if(!n)return;const r=e.name,i=document.createElement("a");i.setAttribute("href",n),i.setAttribute("download",r),i.style.display="none",document.body.appendChild(i),i.click(),document.body.removeChild(i),this.setState({selectedFile:{}})})),fE(this,"handleLoadMore",(()=>{const{loadMedia:e,dynamicSearchQuery:t,page:n,privateUpload:r}=this.props;e({query:t,page:n+1,privateUpload:r})})),fE(this,"handleSearchKeyDown",(async e=>{const{dynamicSearch:t,loadMedia:n,privateUpload:r}=this.props;"Enter"===e.key&&t&&(await n({query:this.state.query,privateUpload:r}),this.scrollToTop())})),fE(this,"scrollToTop",(()=>{this.scrollContainerRef.scrollTop=0})),fE(this,"handleSearchChange",(e=>{this.setState({query:e.target.value})})),fE(this,"queryFilter",((e,t)=>{const n=e.replace(/ /g,"");return Bi().filter(n,t,{extract:e=>e.name}).map(((e,n)=>pE(pE({},t[e.index]),{},{queryIndex:n})))}))}componentDidMount(){this.props.loadMedia()}UNSAFE_componentWillReceiveProps(e){!this.props.isVisible&&e.isVisible&&this.setState({selectedFile:{},query:""}),this.state.isPersisted&&this.setState({selectedFile:e.files[0],isPersisted:!1})}componentDidUpdate(e){!e.isVisible&&this.props.isVisible&&e.privateUpload!==this.props.privateUpload&&this.props.loadMedia({privateUpload:this.props.privateUpload}),this.state.isPersisted&&this.setState({selectedFile:this.props.files[0],isPersisted:!1})}render(){const{isVisible:e,canInsert:t,files:n,dynamicSearch:r,dynamicSearchActive:i,forImage:o,isLoading:a,isPersisting:s,isDeleting:l,hasNextPage:u,isPaginating:c,privateUpload:d,displayURLs:p,t:f}=this.props;return xn(cE,{isVisible:e,canInsert:t,files:n,dynamicSearch:r,dynamicSearchActive:i,forImage:o,isLoading:a,isPersisting:s,isDeleting:l,hasNextPage:u,isPaginating:c,privateUpload:d,query:this.state.query,selectedFile:this.state.selectedFile,handleFilter:this.filterImages,handleQuery:this.queryFilter,toTableData:this.toTableData,handleClose:this.handleClose,handleSearchChange:this.handleSearchChange,handleSearchKeyDown:this.handleSearchKeyDown,handlePersist:this.handlePersist,handleDelete:this.handleDelete,handleInsert:this.handleInsert,handleDownload:this.handleDownload,setScrollContainerRef:e=>this.scrollContainerRef=e,handleAssetClick:this.handleAssetClick,handleLoadMore:this.handleLoadMore,displayURLs:p,loadDisplayURL:this.loadDisplayURL,t:f})}}fE(gE,"propTypes",{isVisible:ie().bool,loadMediaDisplayURL:ie().func,displayURLs:Q_().map,canInsert:ie().bool,files:ie().arrayOf(ie().shape(uE)).isRequired,dynamicSearch:ie().bool,dynamicSearchActive:ie().bool,forImage:ie().bool,isLoading:ie().bool,isPersisting:ie().bool,isDeleting:ie().bool,hasNextPage:ie().bool,isPaginating:ie().bool,privateUpload:ie().bool,config:Q_().map,loadMedia:ie().func.isRequired,dynamicSearchQuery:ie().string,page:ie().number,persistMedia:ie().func.isRequired,deleteMedia:ie().func.isRequired,insertMedia:ie().func.isRequired,closeMediaLibrary:ie().func.isRequired,t:ie().func.isRequired}),fE(gE,"defaultProps",{files:[]});const vE=G((function(e){const{mediaLibrary:t}=e,n=t.get("field");return pE({},{isVisible:t.get("isVisible"),canInsert:t.get("canInsert"),files:Vc(e,n),displayURLs:t.get("displayURLs"),dynamicSearch:t.get("dynamicSearch"),dynamicSearchActive:t.get("dynamicSearchActive"),dynamicSearchQuery:t.get("dynamicSearchQuery"),forImage:t.get("forImage"),isLoading:t.get("isLoading"),isPersisting:t.get("isPersisting"),isDeleting:t.get("isDeleting"),privateUpload:t.get("privateUpload"),config:t.get("config"),page:t.get("page"),hasNextPage:t.get("hasNextPage"),isPaginating:t.get("isPaginating"),field:n})}),{loadMedia:Oc,persistMedia:Dc,deleteMedia:Rc,insertMedia:Ac,loadMediaDisplayURL:Ic,closeMediaLibrary:function(){return(e,t)=>{const n=t().mediaLibrary.get("externalLibrary");n&&n.hide(),e({type:lc})}}})((0,gr.Iu)()(gE));o.Component,o.Component;var yE=function(e,t){return"function"==typeof e?e(t):e},bE=function(e,t){return"string"==typeof e?ye(e,null,null,t):e},wE=function(e){return e},_E=o.forwardRef;void 0===_E&&(_E=wE);var xE=_E((function(e,t){var n=e.innerRef,r=e.navigate,i=e.onClick,a=g(e,["innerRef","navigate","onClick"]),s=a.target,l=m({},a,{onClick:function(e){try{i&&i(e)}catch(t){throw e.preventDefault(),t}e.defaultPrevented||0!==e.button||s&&"_self"!==s||function(e){return!!(e.metaKey||e.altKey||e.ctrlKey||e.shiftKey)}(e)||(e.preventDefault(),r())}});return l.ref=wE!==_E&&t||n,o.createElement("a",l)})),kE=_E((function(e,t){var n=e.component,r=void 0===n?xE:n,i=e.replace,a=e.to,s=e.innerRef,l=g(e,["component","replace","to","innerRef"]);return o.createElement(Le.Consumer,null,(function(e){e||pe(!1);var n=e.history,u=bE(yE(a,e.location),e.location),c=u?n.createHref(u):"",d=m({},l,{href:c,navigate:function(){var t=yE(a,e.location),r=ve(e.location)===ve(bE(t));(i||r?n.replace:n.push)(t)}});return wE!==_E?d.ref=t||s:d.innerRef=s,o.createElement(r,d)}))})),EE=function(e){return e},CE=o.forwardRef;void 0===CE&&(CE=EE);var SE=CE((function(e,t){var n=e["aria-current"],r=void 0===n?"page":n,i=e.activeClassName,a=void 0===i?"active":i,s=e.activeStyle,l=e.className,u=e.exact,c=e.isActive,d=e.location,p=e.sensitive,f=e.strict,h=e.style,v=e.to,y=e.innerRef,b=g(e,["aria-current","activeClassName","activeStyle","className","exact","isActive","location","sensitive","strict","style","to","innerRef"]);return o.createElement(Le.Consumer,null,(function(e){e||pe(!1);var n=d||e.location,i=bE(yE(v,n),n),g=i.pathname,w=g&&g.replace(/([.+*?=^!:${}()[\]|/\\])/g,"\\$1"),_=w?He(n.pathname,{path:w,exact:u,sensitive:p,strict:f}):null,x=!!(c?c(_,n):_),k="function"==typeof l?l(x):l,E="function"==typeof h?h(x):h;x&&(k=function(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return t.filter((function(e){return e})).join(" ")}(k,a),E=m({},E,s));var C=m({"aria-current":x&&r||null,className:k,style:E,to:i},b);return EE!==CE?C.ref=t||y:C.innerRef=y,o.createElement(kE,C)}))}));function AE(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const TE=gb("div",{target:"e6w847r7",label:"SearchContainer"})("margin:0 12px;position:relative;",O_,"{position:absolute;top:0;left:6px;z-index:",hr.zIndex2,";height:100%;display:flex;align-items:center;pointer-events:none;}"),OE=gb("div",{target:"e6w847r6",label:"InputContainer"})({name:"1dbubah",styles:"display:flex;align-items:center;position:relative"}),PE=gb("input",{target:"e6w847r5",label:"SearchInput"})("background-color:#eff0f4;border-radius:",Yn.borderRadius,";font-size:14px;padding:10px 6px 10px 34px;width:100%;position:relative;z-index:",hr.zIndex1,";&:focus{outline:none;box-shadow:inset 0 0 0 2px ",Nn,";}"),DE=gb("div",{target:"e6w847r4",label:"SuggestionsContainer"})({name:"pw7jst",styles:"position:relative;width:100%"}),RE=gb("ul",{target:"e6w847r3",label:"Suggestions"})("position:absolute;top:6px;left:0;right:0;padding:10px 0;margin:0;list-style:none;background-color:#fff;border-radius:",Yn.borderRadius,";border:1px solid ",Kn.textFieldBorder,";z-index:",hr.zIndex1,";"),IE=gb("li",{target:"e6w847r2",label:"SuggestionHeader"})("padding:0 6px 6px 34px;font-size:12px;color:",Kn.text,";"),ME=gb("li",{target:"e6w847r1",label:"SuggestionItem"})((({isActive:e})=>`\n color: ${e?Kn.active:Ln};\n background-color: ${e?Kn.activeBackground:"inherit"};\n padding: 6px 6px 6px 34px;\n cursor: pointer;\n position: relative;\n\n &:hover {\n color: ${Kn.active};\n background-color: ${Kn.activeBackground};\n }\n`),""),LE=gb("div",{target:"e6w847r0",label:"SuggestionDivider"})({name:"1d3w5wq",styles:"width:100%"});class NE extends o.Component{constructor(...e){super(...e),AE(this,"state",{query:this.props.searchTerm,suggestionsVisible:!1,selectedCollectionIdx:this.getSelectedSelectionBasedOnProps()}),AE(this,"submitSearch",(()=>{const{onSubmit:e,collections:t}=this.props,{selectedCollectionIdx:n,query:r}=this.state;this.toggleSuggestions(!1),-1!==n?e(r,t.toIndexedSeq().getIn([n,"name"])):e(r)})),AE(this,"handleKeyDown",(e=>{const{suggestionsVisible:t}=this.state;"Enter"===e.key&&this.submitSearch(),t&&("Escape"===e.key&&this.toggleSuggestions(!1),"ArrowDown"===e.key?(this.selectNextSuggestion(),e.preventDefault()):"ArrowUp"===e.key&&(this.selectPreviousSuggestion(),e.preventDefault()))})),AE(this,"handleQueryChange",(e=>{this.setState({query:e}),this.toggleSuggestions(""!==e),""===e&&this.resetSelectedSuggestion()})),AE(this,"handleSuggestionClick",((e,t)=>{this.setState({selectedCollectionIdx:t},this.submitSearch),e.preventDefault()}))}componentDidUpdate(e){if(e.collection!==this.props.collection){const e=this.getSelectedSelectionBasedOnProps();this.setState({selectedCollectionIdx:e})}}getSelectedSelectionBasedOnProps(){const{collection:e,collections:t}=this.props;return e?t.keySeq().indexOf(e.get("name")):-1}toggleSuggestions(e){this.setState({suggestionsVisible:e})}selectNextSuggestion(){const{collections:e}=this.props,{selectedCollectionIdx:t}=this.state;this.setState({selectedCollectionIdx:Math.min(t+1,e.size-1)})}selectPreviousSuggestion(){const{selectedCollectionIdx:e}=this.state;this.setState({selectedCollectionIdx:Math.max(e-1,-1)})}resetSelectedSuggestion(){this.setState({selectedCollectionIdx:-1})}render(){const{collections:e,t}=this.props,{suggestionsVisible:n,selectedCollectionIdx:r,query:i}=this.state;return xn(TE,{onBlur:()=>this.toggleSuggestions(!1),onFocus:()=>this.toggleSuggestions(""!==i)},xn(OE,null,xn(O_,{type:"search"}),xn(PE,{onChange:e=>this.handleQueryChange(e.target.value),onKeyDown:this.handleKeyDown,onClick:()=>this.toggleSuggestions(!0),placeholder:t("collection.sidebar.searchAll"),value:i})),n&&xn(DE,null,xn(RE,null,xn(IE,null,t("collection.sidebar.searchIn")),xn(ME,{isActive:-1===r,onClick:e=>this.handleSuggestionClick(e,-1),onMouseDown:e=>e.preventDefault()},t("collection.sidebar.allCollections")),xn(LE,null),e.toIndexedSeq().map(((e,t)=>xn(ME,{key:t,isActive:t===r,onClick:e=>this.handleSuggestionClick(e,t),onMouseDown:e=>e.preventDefault()},e.get("label")))))))}}AE(NE,"propTypes",{collections:Q_().map.isRequired,collection:Q_().map,searchTerm:ie().string.isRequired,onSubmit:ie().func.isRequired,t:ie().func.isRequired});const jE=(0,gr.Iu)()(NE);function FE(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function BE(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?FE(Object(n),!0).forEach((function(t){zE(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):FE(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function zE(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const{addFileTemplateFields:UE}=e,qE=gb("div",{target:"eh84wlq5",label:"NodeTitleContainer"})({name:"1vcob1d",styles:"display:flex;justify-content:center;align-items:center"}),$E=gb("div",{target:"eh84wlq4",label:"NodeTitle"})({name:"qamjgr",styles:"margin-right:4px"}),WE=gb("div",{target:"eh84wlq3",label:"Caret"})({name:"1lo1a34",styles:"position:relative;top:2px"}),HE=gb(WE,{target:"eh84wlq2",label:"CaretDown"})(pr.caretDown,";color:currentColor;"),VE=gb(WE,{target:"eh84wlq1",label:"CaretRight"})(pr.caretRight,";color:currentColor;left:2px;"),GE=gb(SE,{target:"eh84wlq0",label:"TreeNavLink"})("display:flex;font-size:14px;font-weight:500;align-items:center;padding:8px;padding-left:",(e=>16*e.depth+18),"px;border-left:2px solid #fff;",O_,"{margin-right:4px;flex-shrink:0;}",(e=>En("&:hover,&:active,&.",e.activeClassName,"{color:",Kn.active,";background-color:",Kn.activeBackground,";border-left-color:#4863c6;};label:TreeNavLink;",";label:TreeNavLink;")),";");function KE(e){var t;const n=e.isRoot?e.title:(null===(t=e.children.find((e=>!e.isDir&&e.title)))||void 0===t?void 0:t.title)||e.title;return n}function YE(e){const{collection:t,treeData:n,depth:r=0,onToggle:i}=e,a=t.get("name");return Ai()(n,KE).map((e=>{if(0===e.children.length&&r>0)return null;let n=`/collections/${a}`;r>0&&(n=`${n}/filter${e.path}`);const s=KE(e),l=0===r||e.children.some((e=>e.isDir));return xn(o.Fragment,{key:e.path},xn(GE,{exact:!0,to:n,activeClassName:"sidebar-active",onClick:()=>i({node:e,expanded:!e.expanded}),depth:r,"data-testid":e.path},xn(O_,{type:"write"}),xn(qE,null,xn($E,null,s),l&&(e.expanded?xn(HE,null):xn(VE,null)))),e.expanded&&xn(YE,{collection:t,depth:r+1,treeData:e.children,onToggle:i}))}))}function ZE(e,t){return function e(n){for(const r of n)t(r),e(r.children)}(e)}function XE(e,t){const n=e.get("folder"),r=t.toJS().map((e=>BE(BE({},e),{},{path:e.path.slice(n.length)}))),i=r.reduce(((e,t)=>{let n=(0,sa.dirname)(t.path);for(;!e[n]&&n&&"/"!==n;){const t=n.split(sa.sep);e[n]=t.pop(),n=t.length&&t.join(sa.sep)}return e}),{}),o=[{title:(e=e.getIn(["nested","summary"])?e.set("summary",e.getIn(["nested","summary"])):e.delete("summary")).get("label"),path:"/",isDir:!0,isRoot:!0},...Object.entries(i).map((([e,t])=>({title:t,path:e,isDir:!0,isRoot:!1}))),...r.map(((n,r)=>{let i=t.get(r);i=i.set("data",UE(i.get("path"),i.get("data")));const o=Rf(e,i);return BE(BE({},n),{},{title:o,isDir:!1,isRoot:!1})}))],a=o.reduce(((e,t)=>{const n="/"===t.path?"":(0,sa.dirname)(t.path);return e[n]?e[n].push(t):e[n]=[t],e}),{}),s=a[""].reduce((function e(t,n){const r=n;let i=[];return a[r.path]&&(i=a[r.path].reduce(e,[])),t.push(BE(BE({},r),{},{children:i})),t}),[]);return s}YE.propTypes={collection:Q_().map.isRequired,depth:ie().number,treeData:ie().array.isRequired,onToggle:ie().func.isRequired};class QE extends o.Component{constructor(e){super(e),zE(this,"onToggle",(({node:e,expanded:t})=>{if(!this.state.selected||this.state.selected.path===e.path||t){const n=function(e,t,n){let r=!1;return function e(i){if(r)return i;for(let e=0;e<i.length;e++)if(i[e].path===t.path)return i[e]=n(t),r=!0,i;return i.forEach((t=>e(t.children))),i}([...e])}(this.state.treeData,e,(e=>BE(BE({},e),{},{expanded:t})));this.setState({treeData:n,selected:e,useFilter:!1})}else this.setState({selected:e,useFilter:!1})})),this.state={treeData:XE(this.props.collection,this.props.entries),selected:null,useFilter:!0}}componentDidUpdate(e){const{collection:t,entries:n,filterTerm:r}=this.props;if(t!==e.collection||n!==e.entries||r!==e.filterTerm){const e={};ZE(this.state.treeData,(t=>{t.expanded&&(e[t.path]=!0)}));const i=XE(t,n),o=`/${r}`;ZE(i,(t=>{(e[t.path]||this.state.useFilter&&o.startsWith(t.path))&&(t.expanded=!0)})),this.setState({treeData:i})}}render(){const{treeData:e}=this.state,{collection:t}=this.props;return xn(YE,{collection:t,treeData:e,onToggle:this.onToggle})}}zE(QE,"propTypes",{collection:Q_().map.isRequired,entries:Q_().list.isRequired,filterTerm:ie().string});const JE=G((function(e,t){const{collection:n}=t;return{entries:nf(e.entries,n)||(0,kr.List)()}}),null)(QE);function eC(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const tC={sidebarNavLinkActive:En("color:",Kn.active,";background-color:",Kn.activeBackground,";border-left-color:#4863c6;;label:sidebarNavLinkActive;",";label:sidebarNavLinkActive;")},nC=gb("aside",{target:"e1t18l343",label:"SidebarContainer"})(pr.card,";width:250px;padding:8px 0 12px;position:fixed;max-height:calc(100vh - 112px);display:flex;flex-direction:column;"),rC=gb("h2",{target:"e1t18l342",label:"SidebarHeading"})("font-size:22px;font-weight:600;line-height:37px;padding:0;margin:10px 20px;color:",Kn.textLead,";"),iC=gb("ul",{target:"e1t18l341",label:"SidebarNavList"})({name:"14vcqsr",styles:"margin:12px 0 0;list-style:none;overflow:auto"}),oC=gb(SE,{target:"e1t18l340",label:"SidebarNavLink"})("display:flex;font-size:14px;font-weight:500;align-items:center;padding:8px 18px;border-left:2px solid #fff;z-index:-1;",O_,"{margin-right:4px;flex-shrink:0;}",(e=>En("&:hover,&:active,&.",e.activeClassName,"{",tC.sidebarNavLinkActive,";};label:SidebarNavLink;",";label:SidebarNavLink;")),";");class aC extends o.Component{constructor(...e){super(...e),eC(this,"renderLink",((e,t)=>{const n=e.get("name");return e.has("nested")?xn("li",{key:n},xn(JE,{collection:e,filterTerm:t,"data-testid":n})):xn("li",{key:n},xn(oC,{to:`/collections/${n}`,activeClassName:"sidebar-active","data-testid":n},xn(O_,{type:"write"}),e.get("label")))}))}render(){const{collections:e,collection:t,isSearchEnabled:n,searchTerm:r,t:i,filterTerm:o}=this.props;return xn(nC,null,xn(rC,null,i("collection.sidebar.collections")),n&&xn(jE,{searchTerm:r,collections:e,collection:t,onSubmit:(e,t)=>function(e,t){t?ad.push(`/collections/${t}/search/${e}`):ad.push(`/search/${e}`)}(e,t)}),xn(iC,null,e.toList().filter((e=>!0!==e.get("hide"))).map((e=>this.renderLink(e,o)))))}}eC(aC,"propTypes",{collections:Q_().map.isRequired,collection:Q_().map,isSearchEnabled:ie().bool,searchTerm:ie().string,filterTerm:ie().string,t:ie().func.isRequired});const sC=(0,gr.Iu)()(aC),lC=gb("div",{target:"ei8nkzc4",label:"CollectionTopContainer"})(pr.cardTop,";margin-bottom:22px;"),uC=gb("div",{target:"ei8nkzc3",label:"CollectionTopRow"})({name:"bcffy2",styles:"display:flex;align-items:center;justify-content:space-between"}),cC=gb("h1",{target:"ei8nkzc2",label:"CollectionTopHeading"})(pr.cardTopHeading,";"),dC=gb(kE,{target:"ei8nkzc1",label:"CollectionTopNewButton"})(cr.button,";",tr,";",cr.default,";",cr.gray,";padding:0 30px;"),pC=gb("p",{target:"ei8nkzc0",label:"CollectionTopDescription"})(pr.cardTopDescription,";margin-bottom:0;");function fC({collection:e,newEntryUrl:t,t:n}){const{collectionLabel:r,collectionLabelSingular:i,collectionDescription:o}=function(e){return{collectionLabel:e.get("label"),collectionLabelSingular:e.get("label_singular"),collectionDescription:e.get("description")}}(e);return xn(lC,null,xn(uC,null,xn(cC,null,r),t?xn(dC,{to:t},n("collection.collectionTop.newButton",{collectionLabel:i||r})):null),o?xn(pC,null,o):null)}fC.propTypes={collection:Q_().map.isRequired,newEntryUrl:ie().string,t:ie().func.isRequired};const hC=(0,gr.Iu)()(fC);var mC=__webpack_require__(83465),gC=__webpack_require__.n(mC);function vC(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function yC(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?vC(Object(n),!0).forEach((function(t){bC(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):vC(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function bC(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const wC=gb("li",{target:"effeus48",label:"ListCard"})(pr.card,";width:",Yn.topCardWidth,";margin-left:12px;margin-bottom:10px;overflow:hidden;"),_C=gb(kE,{target:"effeus47",label:"ListCardLink"})("display:block;max-width:100%;padding:16px 20px;&:hover{background-color:",Kn.foreground,";}"),xC=gb("li",{target:"effeus46",label:"GridCard"})(pr.card,";flex:0 0 335px;height:240px;overflow:hidden;margin-left:12px;margin-bottom:16px;"),kC=gb(kE,{target:"effeus45",label:"GridCardLink"})("display:block;height:100%;outline-offset:-2px;&,&:hover{background-color:",Kn.foreground,";color:",Kn.text,";}"),EC=gb("h2",{target:"effeus44",label:"CollectionLabel"})("font-size:12px;color:",Kn.textLead,";text-transform:uppercase;"),CC=gb("h2",{target:"effeus43",label:"ListCardTitle"})({name:"1ykowef",styles:"margin-bottom:0"}),SC=gb("h2",{target:"effeus42",label:"CardHeading"})({name:"m483k0",styles:"margin:0 0 2px"}),AC=gb("div",{target:"effeus41",label:"CardBody"})("padding:16px 20px;height:90px;position:relative;margin-bottom:",(e=>e.hasImage&&0),";&:after{content:'';position:absolute;display:block;z-index:",hr.zIndex1,";bottom:0;left:-20%;height:140%;width:140%;box-shadow:inset 0 -15px 24px ",Rn,";}"),TC=gb("div",{target:"effeus40",label:"CardImage"})("background-image:url(",(e=>e.src),");background-position:center center;background-size:cover;background-repeat:no-repeat;height:150px;"),OC=G((function(e,t){var n;const{entry:r,inferredFields:i,collection:o}=t,a=r.get("data"),s=Rf(o,r);let l=a.get(i.imageField);l&&(l=encodeURI(l));const u=Sm(e.medias);return{summary:s,path:`/collections/${o.get("name")}/entries/${r.get("slug")}`,image:l,imageFolder:null===(n=o.get("fields"))||void 0===n?void 0:n.find((e=>e.get("name")===i.imageField&&"image"===e.get("widget"))),isLoadingAsset:u}}),(function(e){return{boundGetAsset:(t,n)=>id(e,t,n)}}),(function(e,t,n){return yC(yC(yC(yC({},e),t),n),{},{getAsset:t.boundGetAsset(n.collection,n.entry)})}))((function({path:e,summary:t,image:n,imageField:r,collectionLabel:i,viewStyle:o=Mp,getAsset:a}){return o===Mp?xn(wC,null,xn(_C,{to:e},i?xn(EC,null,i):null,xn(CC,null,t))):o===Lp?xn(xC,null,xn(kC,{to:e},xn(AC,{hasImage:n},i?xn(EC,null,i):null,xn(SC,null,t)),n?xn(TC,{src:a(n,r).toString()}):null)):void 0})),PC=OC;function DC(){return DC=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},DC.apply(this,arguments)}function RC(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const IC=gb("ul",{target:"etq0ss00",label:"CardsGrid"})({name:"1dbthoi",styles:"display:flex;flex-flow:row wrap;list-style-type:none;margin-left:-12px;margin-top:16px;margin-bottom:16px"});class MC extends o.Component{constructor(...e){super(...e),RC(this,"hasMore",(()=>{var e,t;return null===(e=this.props.cursor)||void 0===e||null===(t=e.actions)||void 0===t?void 0:t.has("append_next")})),RC(this,"handleLoadMore",(()=>{this.hasMore()&&this.props.handleCursorActions("append_next")})),RC(this,"inferFields",(e=>{const t=Df(e,"title"),n=Df(e,"description"),r=Df(e,"image"),i=_f(e),o=[t,n,r],a=i&&i.filter((e=>-1===o.indexOf(e.get("name"))));return{titleField:t,descriptionField:n,imageField:r,remainingFields:a}})),RC(this,"renderCardsForSingleCollection",(()=>{const{collections:e,entries:t,viewStyle:n}=this.props,r={collection:e,inferredFields:this.inferFields(e),viewStyle:n};return t.map(((e,t)=>xn(PC,DC({},r,{entry:e,key:t}))))})),RC(this,"renderCardsForMultipleCollections",(()=>{const{collections:e,entries:t}=this.props,n=1===e.size;return t.map(((t,r)=>{const i=t.get("collection"),o=e.find((e=>e.get("name")===i)),a=!n&&o.get("label"),s=this.inferFields(o);return xn(PC,DC({},{collection:o,entry:t,inferredFields:s,collectionLabel:a},{key:r}))}))}))}render(){const{collections:e,page:t}=this.props;return xn("div",null,xn(IC,null,kr.Map.isMap(e)?this.renderCardsForSingleCollection():this.renderCardsForMultipleCollections(),this.hasMore()&&xn(ck,{key:t,onEnter:this.handleLoadMore})))}}RC(MC,"propTypes",{collections:Q_().iterable.isRequired,entries:Q_().list,viewStyle:ie().string,cursor:ie().any.isRequired,handleCursorActions:ie().func.isRequired,page:ie().number});const LC=gb("div",{target:"e1d0i3na1",label:"PaginationMessage"})("width:",Yn.topCardWidth,";padding:16px;text-align:center;"),NC=gb(LC,{target:"e1d0i3na0",label:"NoEntriesMessage"})({name:"yz1nei",styles:"margin-top:16px"});function jC({collections:e,entries:t,isFetching:n,viewStyle:r,cursor:i,handleCursorActions:a,t:s,page:l}){var u;const c=[s("collection.entries.loadingEntries"),s("collection.entries.cachingEntries"),s("collection.entries.longerLoading")];return n&&void 0===l?xn(_x,{active:!0},c):t&&t.size>0||(null==i||null===(u=i.actions)||void 0===u?void 0:u.has("append_next"))?xn(o.Fragment,null,xn(MC,{collections:e,entries:t,viewStyle:r,cursor:i,handleCursorActions:a,page:l}),n&&void 0!==l&&t.size>0?xn(LC,null,s("collection.entries.loadingEntries")):null):xn(NC,null,s("collection.entries.noEntries"))}jC.propTypes={collections:Q_().iterable.isRequired,entries:Q_().list,page:ie().number,isFetching:ie().bool,viewStyle:ie().string,cursor:ie().any.isRequired,handleCursorActions:ie().func.isRequired,t:ie().func.isRequired};const FC=(0,gr.Iu)()(jC);function BC(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const zC=gb("h2",{target:"eucqz2q1",label:"GroupHeading"})("font-size:22px;font-weight:600;line-height:37px;padding-inline-start:20px;color:",Kn.textLead,";"),UC=gb("div",{target:"eucqz2q0",label:"GroupContainer"})("");function qC(e,t){return e.filter((e=>t.has(e.get("path"))))}class $C extends o.Component{constructor(...e){super(...e),BC(this,"handleCursorActions",((e,t)=>{const{collection:n,traverseCollectionCursor:r}=this.props;r(n,t)}))}componentDidMount(){const{collection:e,entriesLoaded:t,loadEntries:n}=this.props;e&&!t&&n(e)}componentDidUpdate(e){const{collection:t,entriesLoaded:n,loadEntries:r}=this.props;t===e.collection||n||r(t)}render(){const{collection:e,entries:t,groups:n,isFetching:r,viewStyle:i,cursor:o,page:a,t:s}=this.props,l=({entries:t})=>xn(FC,{collections:e,entries:t,isFetching:r,collectionName:e.get("label"),viewStyle:i,cursor:o,handleCursorActions:gC()(this.handleCursorActions,o),page:a});return n&&n.length>0?function(e,t,n,r){return e.map((e=>{const i=function(e,t){const{label:n,value:r}=e;return void 0===r?t("collection.groups.other"):"boolean"==typeof r?r?n:t("collection.groups.negateLabel",{label:n}):`${n} ${r}`.trim()}(e,r);return xn(UC,{key:e.id,id:e.id},xn(zC,null,i),xn(n,{entries:qC(t,e.paths)}))}))}(n,t,l,s):xn(l,{entries:t})}}BC($C,"propTypes",{collection:Q_().map.isRequired,page:ie().number,entries:Q_().list,groups:ie().array,isFetching:ie().bool.isRequired,viewStyle:ie().string,cursor:ie().object.isRequired,loadEntries:ie().func.isRequired,traverseCollectionCursor:ie().func.isRequired,entriesLoaded:ie().bool});const WC={loadEntries:Op,traverseCollectionCursor:function(e,t){return async(n,r)=>{const i=r(),o=e.get("name");if(i.entries.getIn(["pages",`${o}`,"isFetching"]))return;const a=am(i.config),{action:s,append:l}=Ap.has(t)?Ap.get(t).toJS():{action:t,append:!1},u=Hu(i.cursors,e.get("name"));if(u.meta.get("usingOldPaginationAPI",!1))return n(Op(e,u.data.get("nextPage")));try{var c;n(gp(e));const{entries:t,cursor:r}=await function(e,t,n){if(!t.actions.has(n))throw new Error(`The current cursor does not support the pagination action "${n}".`);return e.traverseCursor(t,n)}(a,u,s),i=null===(c=r.meta)||void 0===c?void 0:c.get("page");return n(vp(e,t,i,Tp(r),l))}catch(t){return console.error(t),n(rc({message:{details:t,key:"ui.toast.onFailToLoadEntries"},type:"error",dismissAfter:8e3})),Promise.reject(n(yp(e,t)))}}}},HC=G((function(e,t){const{collection:n,viewStyle:r,filterTerm:i}=t,o=e.entries.getIn(["pages",n.get("name"),"page"]);let a=nf(e.entries,n);const s=function(e,t){const n=t.get("name"),r=tf(e,n),i=function(e,t){const n=Xp(e,t),r=null==n?void 0:n.valueSeq().find((e=>!0===(null==e?void 0:e.get("active"))));return r}(e,n);if(void 0===i)return[];let o={};const a=Sl()(r.toArray(),(e=>{const t=function(e,t){const n=t.get("label"),r=t.get("field"),i=e.getIn(["data",...Fp(r)]);if(void 0===i)return{id:"missing_value",label:n,value:i};const o=String(i);if(t.has("pattern")){const e=t.get("pattern");let i="";try{const t=new RegExp(e),n=o.match(t);n&&(i=n[0])}catch(t){console.warn(`Invalid view group pattern '${e}' for field '${r}'`,t)}return{id:`${n}${i}`,label:n,value:i}}return{id:`${n}${i}`,label:n,value:"boolean"==typeof i?i:o}}(e,i);return o=jp(jp({},o),{},{[t.id]:t}),t.id})),s=Object.entries(a).map((([e,t])=>jp(jp({},o[e]),{},{paths:(0,kr.Set)(t.map((e=>e.get("path"))))})));return s}(e.entries,n);n.has("nested")&&(a=function(e,t,n){return n.filter((n=>{let r=n.get("path").slice(t.length+1);return!!r.startsWith(e)&&(e&&(r=r.slice(e.length+1)),!r.includes("/"))}))}(i||"",n.get("folder"),a));const l=function(e,t){return!!e.getIn(["pages",t])}(e.entries,n.get("name")),u=rf(e.entries,n.get("name")),c=Hu(e.cursors,n.get("name"));return{collection:n,page:o,entries:a,groups:s,entriesLoaded:l,isFetching:u,viewStyle:r,cursor:Mo.create(c).clearData()}}),WC)($C),VC=(0,gr.Iu)()(HC);function GC(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class KC extends o.Component{constructor(...e){super(...e),GC(this,"getCursor",(()=>{const{page:e}=this.props;return Mo.create({actions:isNaN(e)?[]:["append_next"]})})),GC(this,"handleCursorActions",(e=>{const{page:t,searchTerm:n,searchEntries:r,collectionNames:i}=this.props;"append_next"===e&&r(n,i,t+1)}))}componentDidMount(){const{searchTerm:e,searchEntries:t,collectionNames:n}=this.props;t(e,n)}componentDidUpdate(e){const{searchTerm:t,collectionNames:n}=this.props;if(e.searchTerm===t&&wu()(e.collectionNames,n))return;const{searchEntries:r}=e;r(t,n)}componentWillUnmount(){this.props.clearSearch()}render(){const{collections:e,entries:t,isFetching:n}=this.props;return xn(FC,{cursor:this.getCursor(),handleCursorActions:this.handleCursorActions,collections:e,entries:t,isFetching:n})}}GC(KC,"propTypes",{isFetching:ie().bool,searchEntries:ie().func.isRequired,clearSearch:ie().func.isRequired,searchTerm:ie().string.isRequired,collections:Q_().seq,collectionNames:ie().array,entries:Q_().list,page:ie().number});const YC={searchEntries:function(e,t,n=0){return async(r,i)=>{const o=i(),{search:a}=o,s=am(o.config),l=t||o.collections.keySeq().toArray(),u=l.filter((e=>Zm(o,e,"search"))),c=Zm(o,u[0],"search");if(a.isFetching&&a.term===e&&wu()(l,a.collections)&&(a.page===n||!c))return;r(function(e,t,n){return{type:Lu,payload:{searchTerm:e,searchCollections:t,page:n}}}(e,l,n));const d=c?Mu(o.integrations,s.getToken,c).search(u,e,n):s.search(o.collections.filter(((e,t)=>-1!==l.indexOf(t))).valueSeq().toArray(),e);try{const e=await d;return r(function(e,t){return{type:Nu,payload:{entries:e,page:t}}}(e.entries,e.pagination))}catch(e){return r(function(e){return{type:ju,payload:{error:e}}}(e))}}},clearSearch:$u},ZC=G((function(e,t){const{searchTerm:n}=t,r=t.collections.toIndexedSeq(),i=t.collections.keySeq().toArray(),o=e.search.isFetching,a=e.search.page,s=function(e,t){return(0,kr.List)(e.search.entryIds).filter((e=>-1!==t.indexOf(e.collection))).map((t=>Jp(e.entries,t.collection,t.slug)))}(e,i);return{isFetching:o,page:a,collections:r,collectionNames:i,entries:s,searchTerm:n}}),YC)(KC),XC=gb("div",{target:"evv1i7c1",label:"ViewControlsSection"})({name:"k6mob8",styles:"display:flex;align-items:center;justify-content:flex-end;max-width:500px"}),QC=gb("button",{target:"evv1i7c0",label:"ViewControlsButton"})(cr.button,";color:",(e=>e.isActive?Kn.active:"#b3b9c4"),";background-color:transparent;display:block;padding:0;margin:0 4px;&:last-child{margin-right:0;}",O_,"{display:block;}"),JC=function({viewStyle:e,onChangeViewStyle:t}){return xn(XC,null,xn(QC,{isActive:e===Mp,onClick:()=>t(Mp)},xn(O_,{type:"list"})),xn(QC,{isActive:e===Lp,onClick:()=>t(Lp)},xn(O_,{type:"grid"})))},eS=gb(I_,{target:"e1obtllk0",label:"Button"})(cr.button,";",cr.medium,";",cr.grayText,";font-size:14px;&:after{top:11px;}");function tS({active:e,title:t}){return xn(eS,{css:En("color:",e?Kn.active:void 0,";;label:ControlButton;",";label:css;")},t)}function nS(){return nS=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},nS.apply(this,arguments)}const rS={[Fl.Ascending]:"up",[Fl.Descending]:"down"},iS=(0,gr.Iu)()((function({t:e,fields:t,onSortClick:n,sort:r}){const i=null==r?void 0:r.valueSeq().toJS().some((e=>e.direction!==Fl.None));return xn(j_,{renderButton:()=>xn(tS,{active:i,title:e("collection.collectionTop.sortBy")}),closeOnSelection:!1,dropdownTopOverlap:"30px",dropdownWidth:"160px",dropdownPosition:"left"},t.map((e=>{const t=null==r?void 0:r.getIn([e.key,"direction"]),i=t&&t!==Fl.None,o=function(e){switch(e){case Fl.Ascending:return Fl.Descending;case Fl.Descending:return Fl.None;default:return Fl.Ascending}}(t);return xn(F_,nS({key:e.key,label:e.label,onClick:()=>n(e.key,o),isActive:i},i&&function(e){return{icon:"chevron",iconDirection:rS[e],iconSmall:!0}}(t)))})))})),oS=(0,gr.Iu)()((function({viewFilters:e,t,onFilterClick:n,filter:r}){const i=null==r?void 0:r.valueSeq().toJS().some((e=>!0===e.active));return xn(j_,{renderButton:()=>xn(tS,{active:i,title:t("collection.collectionTop.filterBy")}),closeOnSelection:!1,dropdownTopOverlap:"30px",dropdownPosition:"left"},e.map((e=>xn(U_,{key:e.id,label:e.label,id:e.id,checked:r.getIn([e.id,"active"],!1),onClick:()=>n(e)}))))})),aS=(0,gr.Iu)()((function({viewGroups:e,t,onGroupClick:n,group:r}){const i=null==r?void 0:r.valueSeq().toJS().some((e=>!0===e.active));return xn(j_,{renderButton:()=>xn(tS,{active:i,title:t("collection.collectionTop.groupBy")}),closeOnSelection:!1,dropdownTopOverlap:"30px",dropdownWidth:"160px",dropdownPosition:"left"},e.map((e=>xn(F_,{key:e.id,label:e.label,onClick:()=>n(e),isActive:r.getIn([e.id,"active"],!1)}))))})),sS=gb("div",{target:"emfmed70",label:"CollectionControlsContainer"})("display:flex;align-items:center;flex-direction:row-reverse;margin-top:22px;width:",Yn.topCardWidth,";max-width:100%;&>div{margin-left:6px;}"),lS=function({viewStyle:e,onChangeViewStyle:t,sortableFields:n,onSortClick:r,sort:i,viewFilters:o,viewGroups:a,onFilterClick:s,onGroupClick:l,t:u,filter:c,group:d}){return xn(sS,null,xn(JC,{viewStyle:e,onChangeViewStyle:t}),a.length>0&&xn(aS,{viewGroups:a,onGroupClick:l,t:u,group:d}),o.length>0&&xn(oS,{viewFilters:o,onFilterClick:s,t:u,filter:c}),n.length>0&&xn(iS,{fields:n,sort:i,onSortClick:r}))};function uS(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function cS(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?uS(Object(n),!0).forEach((function(t){dS(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):uS(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function dS(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const pS=gb("div",{target:"e1sogrfi3",label:"CollectionContainer"})("margin:",Yn.pageMargin,";"),fS=gb("main",{target:"e1sogrfi2",label:"CollectionMain"})({name:"tka7ew",styles:"padding-left:280px"}),hS=gb("div",{target:"e1sogrfi1",label:"SearchResultContainer"})(pr.cardTop,";margin-bottom:22px;"),mS=gb("h1",{target:"e1sogrfi0",label:"SearchResultHeading"})(pr.cardTopHeading,";");class gS extends o.Component{constructor(...e){super(...e),dS(this,"renderEntriesCollection",(()=>{const{collection:e,filterTerm:t,viewStyle:n}=this.props;return xn(VC,{collection:e,viewStyle:n,filterTerm:t})})),dS(this,"renderEntriesSearch",(()=>{const{searchTerm:e,collections:t,collection:n,isSingleSearchResult:r}=this.props;return xn(ZC,{collections:r?t.filter((e=>e===n)):t,searchTerm:e})}))}render(){const{collection:e,collections:t,collectionName:n,isSearchEnabled:r,isSearchResults:i,isSingleSearchResult:a,searchTerm:s,sortableFields:l,onSortClick:u,sort:c,viewFilters:d,viewGroups:p,filterTerm:f,t:h,onFilterClick:m,onGroupClick:g,filter:v,group:y,onChangeViewStyle:b,viewStyle:w}=this.props;let _=e.get("create")?Yl(n):"";_&&f&&(_=Yl(n),f&&(_=`${_}?path=${f}`));const x="collection.collectionTop.searchResults"+(a?"InCollection":"");return xn(pS,null,xn(sC,{collections:t,collection:(!i||a)&&e,isSearchEnabled:r,searchTerm:s,filterTerm:f}),xn(fS,null,i?xn(hS,null,xn(mS,null,h(x,{searchTerm:s,collection:e.get("label")}))):xn(o.Fragment,null,xn(hC,{collection:e,newEntryUrl:_}),xn(lS,{viewStyle:w,onChangeViewStyle:b,sortableFields:l,onSortClick:u,sort:c,viewFilters:d,viewGroups:p,t:h,onFilterClick:m,onGroupClick:g,filter:v,group:y})),i?this.renderEntriesSearch():this.renderEntriesCollection()))}}dS(gS,"propTypes",{searchTerm:ie().string,collectionName:ie().string,isSearchResults:ie().bool,isSingleSearchResult:ie().bool,collection:Q_().map.isRequired,collections:Q_().map.isRequired,sortableFields:ie().array,sort:Q_().orderedMap,onSortClick:ie().func.isRequired});const vS={sortByField:wp,filterByField:function(e,t){return async(n,r)=>{const i=r(),o=rf(i.entries,e.get("name"));if(n({type:Kd,payload:{collection:e.get("name"),filter:t}}),!o)try{const r=await bp(i,e);n({type:Yd,payload:{collection:e.get("name"),filter:t,entries:r}})}catch(r){n({type:Zd,payload:{collection:e.get("name"),filter:t,error:r}})}}},changeViewStyle:function(e){return{type:mp,payload:{style:e}}},groupByField:function(e,t){return async(n,r)=>{const i=r(),o=rf(i.entries,e.get("name"));if(n({type:Xd,payload:{collection:e.get("name"),group:t}}),!o)try{const r=await bp(i,e);n({type:Qd,payload:{collection:e.get("name"),group:t,entries:r}})}catch(r){n({type:Jd,payload:{collection:e.get("name"),group:t,error:r}})}}}},yS=G((function(e,t){const{collections:n}=e,r=e.config&&0!=e.config.search,{isSearchResults:i,match:o,t:a}=t,{name:s,searchTerm:l="",filterTerm:u=""}=o.params,c=s?n.get(s):n.first(),d=Yp(e.entries,c.get("name")),p=function(e,t){const n=e.get("sortable_fields").toArray().map((n=>{if(n===Pl)return{key:n,field:{name:n,label:t("collection.defaultFields.updatedOn.label")}};const r=Tf(e,n);return n!==Ol||r?{key:n,field:null==r?void 0:r.toJS()}:{key:n,field:{name:n,label:t("collection.defaultFields.author.label")}}})).filter((e=>!!e.field)).map((e=>mf(mf({},e.field),{},{key:e.key})));return n}(c,a),f=function(e){return e.get("view_filters").toJS()}(c),h=function(e){return e.get("view_groups").toJS()}(c),m=Zp(e.entries,c.get("name")),g=Xp(e.entries,c.get("name"));return{collection:c,collections:n,collectionName:s,isSearchEnabled:r,isSearchResults:i,searchTerm:l,filterTerm:u,sort:d,sortableFields:p,viewFilters:f,viewGroups:h,filter:m,group:g,viewStyle:e.entries.get("viewStyle")}}),vS,(function(e,t,n){return cS(cS(cS({},e),n),{},{onSortClick:(n,r)=>t.sortByField(e.collection,n,r),onFilterClick:n=>t.filterByField(e.collection,n),onGroupClick:n=>t.groupByField(e.collection,n),onChangeViewStyle:e=>t.changeViewStyle(e)})}))(gS),bS=(0,gr.Iu)()(yS),wS={text:{name:"1lc6g5t-text",styles:"font-size:13px;font-weight:normal;margin-top:4px;label:text;"},button:En(cr.button,";width:auto;flex:1 0 0;font-size:13px;padding:6px 0;;label:button;",";label:button;")},_S=gb(kE,{target:"ewffzyy8",label:"WorkflowLink"})({name:"am2158",styles:"display:block;padding:0 18px 18px;height:200px;overflow:hidden"}),xS=gb("div",{target:"ewffzyy7",label:"CardCollection"})("font-size:14px;color:",Kn.textLead,";text-transform:uppercase;margin-top:12px;text-overflow:ellipsis;overflow:hidden;white-space:nowrap;"),kS=gb("h2",{target:"ewffzyy6",label:"CardTitle"})("margin:28px 0 0;color:",Kn.textLead,";"),ES=gb("div",{target:"ewffzyy5",label:"CardDateContainer"})(wS.text,";"),CS=gb("p",{target:"ewffzyy4",label:"CardBody"})(wS.text,";color:",Kn.text,";margin:24px 0 0;overflow-wrap:break-word;word-break:break-word;hyphens:auto;"),SS=gb("div",{target:"ewffzyy3",label:"CardButtonContainer"})("background-color:",Kn.foreground,";position:absolute;bottom:0;width:100%;padding:12px 18px;display:flex;opacity:0;transition:opacity ",Xn,";cursor:pointer;"),AS=gb("button",{target:"ewffzyy2",label:"DeleteButton"})(wS.button,";background-color:",$n,";color:",qn,";margin-right:6px;"),TS=gb("button",{target:"ewffzyy1",label:"PublishButton"})(wS.button,";background-color:",Vn,";color:",Kn.textLight,";margin-left:6px;&[disabled]{",cr.disabled,";}"),OS=gb("div",{target:"ewffzyy0",label:"WorkflowCardContainer"})(pr.card,";margin-bottom:24px;position:relative;overflow:hidden;&:hover ",SS,"{opacity:1;}"),PS=(0,gr.Iu)()((({t:e,date:t,author:n})=>{const r=function(e,t){return e&&t?"lastChange":e?"lastChangeNoAuthor":t?"lastChangeNoDate":void 0}(t,n);if(r)return xn(ES,null,e(`workflow.workflowCard.${r}`,{date:t,author:n}))}));function DS({collectionLabel:e,title:t,authorLastChange:n,body:r,isModification:i,editLink:o,timestamp:a,onDelete:s,allowPublish:l,canPublish:u,onPublish:c,postAuthor:d,t:p}){return xn(OS,null,xn(_S,{to:o},xn(xS,null,e),d,xn(kS,null,t),(a||n)&&xn(PS,{date:a,author:n}),xn(CS,null,r)),xn(SS,null,xn(AS,{onClick:s},p(i?"workflow.workflowCard.deleteChanges":"workflow.workflowCard.deleteNewEntry")),l&&xn(TS,{disabled:!u,onClick:c},p(i?"workflow.workflowCard.publishChanges":"workflow.workflowCard.publishNewEntry"))))}DS.propTypes={collectionLabel:ie().string.isRequired,title:ie().string,authorLastChange:ie().string,body:ie().string,isModification:ie().bool,editLink:ie().string.isRequired,timestamp:ie().string.isRequired,onDelete:ie().func.isRequired,allowPublish:ie().bool.isRequired,canPublish:ie().bool.isRequired,onPublish:ie().func.isRequired,postAuthor:ie().string,t:ie().func.isRequired};const RS=(0,gr.Iu)()(DS);function IS(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const MS=gb("div",{target:"e190xgae3",label:"WorkflowListContainer"})({name:"16oyyfa",styles:"min-height:60%;display:grid;grid-template-columns:33.3% 33.3% 33.3%"}),LS=gb("div",{target:"e190xgae2",label:"WorkflowListContainerOpenAuthoring"})({name:"1e70u5x",styles:"min-height:60%;display:grid;grid-template-columns:50% 50% 0%"});var NS={name:"108sck7-columnPosition",styles:"margin-right:0;label:columnPosition;"},jS={name:"l4owvh-columnPosition",styles:"margin-left:0;label:columnPosition;"};const FS={columnPosition:e=>0===e&&jS||2===e&&NS||En("&:before,&:after{content:'';display:block;position:absolute;width:2px;height:80%;top:76px;background-color:",Kn.textFieldBorder,";}&:before{left:-23px;}&:after{right:-23px;};label:columnPosition;",";label:columnPosition;"),column:{name:"m4x6w5-column",styles:"margin:0 20px;transition:background-color 0.5s ease;border:2px dashed transparent;border-radius:4px;position:relative;height:100%;label:column;"},columnHovered:En("border-color:",Kn.active,";;label:columnHovered;",";label:columnHovered;"),hiddenColumn:{name:"1woovtn-hiddenColumn",styles:"display:none;label:hiddenColumn;"},hiddenRightBorder:{name:"hg8806-hiddenRightBorder",styles:"&:not(:first-child):not(:last-child){&:after{display:none;}};label:hiddenRightBorder;"}},BS=gb("h2",{target:"e190xgae1",label:"ColumnHeader"})("font-size:20px;font-weight:normal;padding:4px 14px;border-radius:",Yn.borderRadius,";margin-bottom:28px;",(e=>"draft"===e.name&&En("background-color:",Kn.statusDraftBackground,";color:",Kn.statusDraftText,";;label:ColumnHeader;",";label:ColumnHeader;"))," ",(e=>"pending_review"===e.name&&En("background-color:",Kn.statusReviewBackground,";color:",Kn.statusReviewText,";;label:ColumnHeader;",";label:ColumnHeader;"))," ",(e=>"pending_publish"===e.name&&En("background-color:",Kn.statusReadyBackground,";color:",Kn.statusReadyText,";;label:ColumnHeader;",";label:ColumnHeader;")),";"),zS=gb("p",{target:"e190xgae0",label:"ColumnCount"})("font-size:13px;font-weight:500;color:",Kn.text,";text-transform:uppercase;margin-bottom:6px;"),US="cms-workflow";class qS extends o.Component{constructor(...e){super(...e),IS(this,"handleChangeStatus",((e,t)=>{const n=t.slug,r=t.collection,i=t.ownStatus;this.props.handleChangeStatus(r,n,i,e)})),IS(this,"requestDelete",((e,t,n)=>{window.confirm(this.props.t("workflow.workflowList.onDeleteEntry"))&&this.props.handleDelete(e,t,n)})),IS(this,"requestPublish",((e,t,n)=>{n===ul.last()?window.confirm(this.props.t("workflow.workflowList.onPublishEntry"))&&this.props.handlePublish(e,t):window.alert(this.props.t("workflow.workflowList.onPublishingNotReadyEntry"))})),IS(this,"renderColumns",((e,t)=>{const{isOpenAuthoring:n,collections:r,t:i}=this.props;return e?t?xn("div",null,e.map((e=>{const t=fa()(e.get("updatedOn")).format(i("workflow.workflow.dateFormat")),n=e.get("slug"),o=e.get("collection"),a=`collections/${o}/entries/${n}?ref=workflow`,s=e.get("status"),l=r.find((e=>e.get("name")===o)),u=null==l?void 0:l.get("label"),c=e.get("isModification"),d=null==l?void 0:l.get("publish"),p=s===ul.last()&&!e.get("isPersisting",!1),f=e.get("author");return xn(lb,{namespace:US,key:`${o}-${n}`,slug:n,collection:o,ownStatus:s},(r=>r(xn("div",null,xn(RS,{collectionLabel:u||o,title:Rf(l,e),authorLastChange:e.getIn(["metaData","user"]),body:e.getIn(["data","body"]),isModification:c,editLink:a,timestamp:t,onDelete:this.requestDelete.bind(this,o,n,s),allowPublish:d,canPublish:p,onPublish:this.requestPublish.bind(this,o,n,s),postAuthor:f})))))}))):e.entrySeq().map((([e,t],r)=>xn(ub,{namespace:US,key:e,onDrop:this.handleChangeStatus.bind(this,e)},((i,{isHovered:o})=>i(xn("div",{style:{height:"100%"}},xn("div",{css:[FS.column,FS.columnPosition(r),o&&FS.columnHovered,n&&"pending_publish"===e&&FS.hiddenColumn,n&&"pending_review"===e&&FS.hiddenRightBorder,";label:WorkflowList;"]},xn(BS,{name:e},function(e,t){switch(e){case"draft":return t("workflow.workflowList.draftHeader");case"pending_review":return t("workflow.workflowList.inReviewHeader");case"pending_publish":return t("workflow.workflowList.readyHeader")}}(e,this.props.t)),xn(zS,null,this.props.t("workflow.workflowList.currentEntries",{smart_count:t.size})),this.renderColumns(t,e)))))))):null}))}render(){const e=this.renderColumns(this.props.entries),t=this.props.isOpenAuthoring?LS:MS;return xn(t,null,e)}}IS(qS,"propTypes",{entries:Q_().orderedMap,handleChangeStatus:ie().func.isRequired,handlePublish:ie().func.isRequired,handleDelete:ie().func.isRequired,t:ie().func.isRequired,isOpenAuthoring:ie().bool,collections:Q_().map.isRequired});const $S=(WS=(0,gr.Iu)()(qS),class extends o.Component{render(){return xn(nb,{backend:Mg},xn(WS,this.props))}});var WS;const HS=gb("div",{target:"e1t9l5ui4",label:"WorkflowContainer"})("padding:",Yn.pageMargin," 0;height:100vh;"),VS=gb("div",{target:"e1t9l5ui3",label:"WorkflowTop"})(pr.cardTop,";"),GS=gb("div",{target:"e1t9l5ui2",label:"WorkflowTopRow"})("display:flex;justify-content:space-between;span[role='button']{",tr,";}"),KS=gb("h1",{target:"e1t9l5ui1",label:"WorkflowTopHeading"})(pr.cardTopHeading,";"),YS=gb("p",{target:"e1t9l5ui0",label:"WorkflowTopDescription"})(pr.cardTopDescription,";");class ZS extends o.Component{componentDidMount(){const{loadUnpublishedEntries:e,isEditorialWorkflow:t,collections:n}=this.props;t&&e(n)}render(){const{isEditorialWorkflow:e,isOpenAuthoring:t,isFetching:n,unpublishedEntries:r,updateUnpublishedEntryStatus:i,publishUnpublishedEntry:o,deleteUnpublishedEntry:a,collections:s,t:l}=this.props;if(!e)return null;if(n)return xn(_x,{active:!0},l("workflow.workflow.loading"));const u=r.get("pending_review").size,c=r.get("pending_publish").size;return xn(HS,null,xn(VS,null,xn(GS,null,xn(KS,null,l("workflow.workflow.workflowHeading")),xn(j_,{dropdownWidth:"160px",dropdownPosition:"left",dropdownTopOverlap:"40px",renderButton:()=>xn(I_,null,l("workflow.workflow.newPost"))},s.filter((e=>e.get("create"))).toList().map((e=>xn(F_,{key:e.get("name"),label:e.get("label"),onClick:()=>xx(e.get("name"))}))))),xn(YS,null,l("workflow.workflow.description",{smart_count:u,readyCount:c}))),xn($S,{entries:r,handleChangeStatus:i,handlePublish:o,handleDelete:a,isOpenAuthoring:t,collections:s}))}}!function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(ZS,"propTypes",{collections:Q_().map.isRequired,isEditorialWorkflow:ie().bool.isRequired,isOpenAuthoring:ie().bool,isFetching:ie().bool,unpublishedEntries:Q_().map,loadUnpublishedEntries:ie().func.isRequired,updateUnpublishedEntryStatus:ie().func.isRequired,publishUnpublishedEntry:ie().func.isRequired,deleteUnpublishedEntry:ie().func.isRequired,t:ie().func.isRequired});const XS=G((function(e){const{collections:t,config:n,globalUI:r}=e,i=n.publish_mode===ll,o={collections:t,isEditorialWorkflow:i,isOpenAuthoring:r.useOpenAuthoring};return i&&(o.isFetching=e.editorialWorkflow.getIn(["pages","isFetching"],!1),o.unpublishedEntries=ul.reduce(((t,n)=>{const r=function(e,t){return function(e,t){return e?e.get("entities").filter((e=>e.get("status")===t)).valueSeq():null}(e.editorialWorkflow,t)}(e,n);return t.set(n,r)}),(0,kr.OrderedMap)())),o}),{loadUnpublishedEntries:Dd,updateUnpublishedEntryStatus:Rd,publishUnpublishedEntry:Md,deleteUnpublishedEntry:Id})((0,gr.Iu)()(ZS));var QS=__webpack_require__(54073),JS=__webpack_require__.n(QS),eA=__webpack_require__(25473),tA=__webpack_require__.n(eA),nA=__webpack_require__(46847);function rA(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function iA(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function oA(e,t,n){return t&&iA(e.prototype,t),n&&iA(e,n),e}function aA(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function sA(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function lA(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?sA(Object(n),!0).forEach((function(t){aA(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):sA(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function uA(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&dA(e,t)}function cA(e){return cA=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)},cA(e)}function dA(e,t){return dA=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e},dA(e,t)}function pA(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}function fA(e){return function(){var t,n=cA(e);if(function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}()){var r=cA(this).constructor;t=Reflect.construct(n,arguments,r)}else t=n.apply(this,arguments);return function(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?pA(e):t}(this,t)}}var hA=function(e){uA(n,e);var t=fA(n);function n(){return rA(this,n),t.apply(this,arguments)}return oA(n,[{key:"render",value:function(){var e=this.props,t=e.children,n=e.className,r=e.split,i=e.style,a=e.size,s=e.eleRef,l=["Pane",r,n],u={flex:1,position:"relative",outline:"none"};return void 0!==a&&("vertical"===r?u.width=a:(u.height=a,u.display="flex"),u.flex="none"),u=Object.assign({},u,i||{}),o.createElement("div",{ref:s,className:l.join(" "),style:u},t)}}]),n}(o.PureComponent);hA.propTypes={className:ie().string.isRequired,children:ie().node.isRequired,size:ie().oneOfType([ie().string,ie().number]),split:ie().oneOf(["vertical","horizontal"]),style:tA(),eleRef:ie().func},hA.defaultProps={};var mA="Resizer",gA=function(e){uA(n,e);var t=fA(n);function n(){return rA(this,n),t.apply(this,arguments)}return oA(n,[{key:"render",value:function(){var e=this.props,t=e.className,n=e.onClick,r=e.onDoubleClick,i=e.onMouseDown,a=e.onTouchEnd,s=e.onTouchStart,l=e.resizerClassName,u=e.split,c=e.style,d=[l,u,t];return o.createElement("span",{role:"presentation",className:d.join(" "),style:c,onMouseDown:function(e){return i(e)},onTouchStart:function(e){e.preventDefault(),s(e)},onTouchEnd:function(e){e.preventDefault(),a(e)},onClick:function(e){n&&(e.preventDefault(),n(e))},onDoubleClick:function(e){r&&(e.preventDefault(),r(e))}})}}]),n}(o.Component);function vA(e,t){if(e.selection)e.selection.empty();else try{t.getSelection().removeAllRanges()}catch(e){}}function yA(e,t,n,r){if("number"==typeof r){var i="number"==typeof t?t:0,o="number"==typeof n&&n>=0?n:1/0;return Math.max(i,Math.min(o,r))}return void 0!==e?e:t}gA.propTypes={className:ie().string.isRequired,onClick:ie().func,onDoubleClick:ie().func,onMouseDown:ie().func.isRequired,onTouchStart:ie().func.isRequired,onTouchEnd:ie().func.isRequired,split:ie().oneOf(["vertical","horizontal"]),style:tA(),resizerClassName:ie().string.isRequired},gA.defaultProps={resizerClassName:mA};var bA=function(e){uA(n,e);var t=fA(n);function n(e){var r;rA(this,n),(r=t.call(this,e)).onMouseDown=r.onMouseDown.bind(pA(r)),r.onTouchStart=r.onTouchStart.bind(pA(r)),r.onMouseMove=r.onMouseMove.bind(pA(r)),r.onTouchMove=r.onTouchMove.bind(pA(r)),r.onMouseUp=r.onMouseUp.bind(pA(r));var i=e.size,o=e.defaultSize,a=e.minSize,s=e.maxSize,l=e.primary,u=void 0!==i?i:yA(o,a,s,null);return r.state={active:!1,resized:!1,pane1Size:"first"===l?u:void 0,pane2Size:"second"===l?u:void 0,instanceProps:{size:i}},r}return oA(n,[{key:"componentDidMount",value:function(){document.addEventListener("mouseup",this.onMouseUp),document.addEventListener("mousemove",this.onMouseMove),document.addEventListener("touchmove",this.onTouchMove),this.setState(n.getSizeUpdate(this.props,this.state))}},{key:"componentWillUnmount",value:function(){document.removeEventListener("mouseup",this.onMouseUp),document.removeEventListener("mousemove",this.onMouseMove),document.removeEventListener("touchmove",this.onTouchMove)}},{key:"onMouseDown",value:function(e){var t=Object.assign({},e,{touches:[{clientX:e.clientX,clientY:e.clientY}]});this.onTouchStart(t)}},{key:"onTouchStart",value:function(e){var t=this.props,n=t.allowResize,r=t.onDragStarted,i=t.split;if(n){vA(document,window);var o="vertical"===i?e.touches[0].clientX:e.touches[0].clientY;"function"==typeof r&&r(),this.setState({active:!0,position:o})}}},{key:"onMouseMove",value:function(e){var t=Object.assign({},e,{touches:[{clientX:e.clientX,clientY:e.clientY}]});this.onTouchMove(t)}},{key:"onTouchMove",value:function(e){var t=this.props,n=t.allowResize,r=t.maxSize,i=t.minSize,o=t.onChange,a=t.split,s=t.step,l=this.state,u=l.active,c=l.position;if(n&&u){vA(document,window);var d="first"===this.props.primary,p=d?this.pane1:this.pane2,f=d?this.pane2:this.pane1;if(p){var h=p,m=f;if(h.getBoundingClientRect){var g=h.getBoundingClientRect().width,v=h.getBoundingClientRect().height,y="vertical"===a?g:v,b=c-("vertical"===a?e.touches[0].clientX:e.touches[0].clientY);if(s){if(Math.abs(b)<s)return;b=~~(b/s)*s}var w=d?b:-b;parseInt(window.getComputedStyle(h).order)>parseInt(window.getComputedStyle(m).order)&&(w=-w);var _=r;if(void 0!==r&&r<=0){var x=this.splitPane;_="vertical"===a?x.getBoundingClientRect().width+r:x.getBoundingClientRect().height+r}var k=y-w,E=c-b;k<i?k=i:void 0!==r&&k>_?k=_:this.setState({position:E,resized:!0}),o&&o(k),this.setState(aA({draggedSize:k},d?"pane1Size":"pane2Size",k))}}}}},{key:"onMouseUp",value:function(){var e=this.props,t=e.allowResize,n=e.onDragFinished,r=this.state,i=r.active,o=r.draggedSize;t&&i&&("function"==typeof n&&n(o),this.setState({active:!1}))}},{key:"render",value:function(){var e=this,t=this.props,n=t.allowResize,r=t.children,i=t.className,a=t.onResizerClick,s=t.onResizerDoubleClick,l=t.paneClassName,u=t.pane1ClassName,c=t.pane2ClassName,d=t.paneStyle,p=t.pane1Style,f=t.pane2Style,h=t.resizerClassName,m=t.resizerStyle,g=t.split,v=t.style,y=this.state,b=y.pane1Size,w=y.pane2Size,_=n?"":"disabled",x=h?"".concat(h," ").concat(mA):h,k=function(e){return o.Children.toArray(e).filter((function(e){return e}))}(r),E=lA({display:"flex",flex:1,height:"100%",position:"absolute",outline:"none",overflow:"hidden",MozUserSelect:"text",WebkitUserSelect:"text",msUserSelect:"text",userSelect:"text"},v);"vertical"===g?Object.assign(E,{flexDirection:"row",left:0,right:0}):Object.assign(E,{bottom:0,flexDirection:"column",minHeight:"100%",top:0,width:"100%"});var C=["SplitPane",i,g,_],S=lA({},d,{},p),A=lA({},d,{},f),T=["Pane1",l,u].join(" "),O=["Pane2",l,c].join(" ");return o.createElement("div",{className:C.join(" "),ref:function(t){e.splitPane=t},style:E},o.createElement(hA,{className:T,key:"pane1",eleRef:function(t){e.pane1=t},size:b,split:g,style:S},k[0]),o.createElement(gA,{className:_,onClick:a,onDoubleClick:s,onMouseDown:this.onMouseDown,onTouchStart:this.onTouchStart,onTouchEnd:this.onMouseUp,key:"resizer",resizerClassName:x,split:g,style:m||{}}),o.createElement(hA,{className:O,key:"pane2",eleRef:function(t){e.pane2=t},size:w,split:g,style:A},k[1]))}}],[{key:"getDerivedStateFromProps",value:function(e,t){return n.getSizeUpdate(e,t)}},{key:"getSizeUpdate",value:function(e,t){var n={};if(t.instanceProps.size===e.size&&void 0!==e.size)return{};var r=void 0!==e.size?e.size:yA(e.defaultSize,e.minSize,e.maxSize,t.draggedSize);void 0!==e.size&&(n.draggedSize=r);var i="first"===e.primary;return n[i?"pane1Size":"pane2Size"]=r,n[i?"pane2Size":"pane1Size"]=void 0,n.instanceProps={size:e.size},n}}]),n}(o.Component);bA.propTypes={allowResize:ie().bool,children:ie().arrayOf(ie().node).isRequired,className:ie().string,primary:ie().oneOf(["first","second"]),minSize:ie().oneOfType([ie().string,ie().number]),maxSize:ie().oneOfType([ie().string,ie().number]),defaultSize:ie().oneOfType([ie().string,ie().number]),size:ie().oneOfType([ie().string,ie().number]),split:ie().oneOf(["vertical","horizontal"]),onDragStarted:ie().func,onDragFinished:ie().func,onChange:ie().func,onResizerClick:ie().func,onResizerDoubleClick:ie().func,style:tA(),resizerStyle:tA(),paneClassName:ie().string,pane1ClassName:ie().string,pane2ClassName:ie().string,paneStyle:tA(),pane1Style:tA(),pane2Style:tA(),resizerClassName:ie().string,step:ie().number},bA.defaultProps={allowResize:!0,minSize:50,primary:"first",split:"vertical",paneClassName:"",pane1ClassName:"",pane2ClassName:""},(0,nA.polyfill)(bA);const wA=bA,_A={small:"28px",large:"40px"},xA=gb("button",{target:"em2wuvj0",label:"ButtonRound"})(cr.button,";",er,";background-color:",Rn,";color:",(e=>Kn[e.isActive?"active":"inactive"]),";border-radius:32px;display:flex;justify-content:center;align-items:center;width:",(e=>_A[e.size]),";height:",(e=>_A[e.size]),";padding:0;"),kA=function({size:e,isActive:t,type:n,onClick:r,className:i,title:o}){return xn(xA,{size:e,isActive:t,className:i,onClick:r,title:o},xn(O_,{type:n,size:e}))};var EA=__webpack_require__(93232),CA=__webpack_require__(74930),SA=__webpack_require__.n(CA);const AA={default:{background:Kn.textFieldBorder,text:Kn.controlLabel},active:{background:Kn.active,text:Kn.textLight},error:{background:Kn.errorText,text:Rn}};function TA({isActive:e,hasErrors:t}){return t?AA.error:e?AA.active:AA.default}const OA=gb("label",{target:"e1xtv0oy0",label:"FieldLabel"})(rr.fieldLabel,";color:",(e=>TA(e).text),";background-color:",(e=>TA(e).background),";display:inline-block;border:0;border-radius:3px 3px 0 0;padding:3px 6px 2px;margin:0;transition:all ",Xn,";position:relative;&:before,&:after{content:'';display:block;position:absolute;top:0;right:-4px;height:100%;width:4px;background-color:inherit;}&:after{border-bottom-left-radius:3px;background-color:#fff;}");var PA=__webpack_require__(88100),DA=__webpack_require__.n(PA),RA=__webpack_require__(40940),IA=__webpack_require__.n(RA);function MA(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function LA(){return{error:!1}}function NA(e){return null==e||Object.prototype.hasOwnProperty.call(e,"length")&&0===e.length||e.constructor===Object&&0===Object.keys(e).length||kr.List.isList(e)&&0===e.size}class jA extends o.Component{constructor(...e){super(...e),MA(this,"processInnerControlRef",(e=>{if(!e)return;this.innerWrappedControl=e.getWrappedInstance?e.getWrappedInstance():e,this.wrappedControlValid=this.innerWrappedControl.isValid||LA;const{shouldComponentUpdate:t}=this.innerWrappedControl;this.wrappedControlShouldComponentUpdate=t&&t.bind(this.innerWrappedControl),this.props.controlRef&&this.props.controlRef(this)})),MA(this,"getValidateValue",(()=>{var e,t;let n=(null===(e=this.innerWrappedControl)||void 0===e||null===(t=e.getValidateValue)||void 0===t?void 0:t.call(e))||this.props.value;return kr.List.isList(n)&&(n=n.join(",")),n})),MA(this,"validate",((e=!1)=>{const t=this.getValidateValue(),n=this.props.field,r=[],i=[this.validatePresence,this.validatePattern];if(n.get("meta")&&i.push(this.props.validateMetaField),i.forEach((e=>{const i=e(n,t,this.props.t);i.error&&r.push(i.error)})),e)e.error&&r.push(e.error);else{const e=this.validateWrappedControl(n);e.error&&r.push(e.error)}this.props.onValidate(r)})),MA(this,"validatePresence",((e,t)=>{const{t:n,parentIds:r}=this.props;return e.get("required",!0)&&NA(t)?{error:{type:Yu.PRESENCE,parentIds:r,message:n("editor.editorControlPane.widget.required",{fieldLabel:e.get("label",e.get("name"))})}}:{error:!1}})),MA(this,"validatePattern",((e,t)=>{const{t:n,parentIds:r}=this.props,i=e.get("pattern",!1);return NA(t)?{error:!1}:i&&!RegExp(i.first()).test(t)?{error:{type:Yu.PATTERN,parentIds:r,message:n("editor.editorControlPane.widget.regexPattern",{fieldLabel:e.get("label",e.get("name")),pattern:i.last()})}}:{error:!1}})),MA(this,"validateWrappedControl",(e=>{const{t,parentIds:n}=this.props;if("function"!=typeof this.wrappedControlValid)throw new Error(gs`
this.wrappedControlValid is not a function. Are you sure widget
"${e.get("widget")}" is registered?
`);const r=this.wrappedControlValid();return"boolean"==typeof r?{error:!r}:Object.prototype.hasOwnProperty.call(r,"error")?r:r instanceof Promise?(r.then((()=>{this.validate({error:!1})}),(t=>{const n={type:Yu.CUSTOM,message:`${e.get("label",e.get("name"))} - ${t}.`};this.validate({error:n})})),{error:{type:Yu.CUSTOM,parentIds:n,message:t("editor.editorControlPane.widget.processing",{fieldLabel:e.get("label",e.get("name"))})}}):{error:!1}})),MA(this,"getObjectValue",(()=>this.props.value||(0,kr.Map)())),MA(this,"onChangeObject",((e,t,n)=>{const r=this.getObjectValue().set(e.get("name"),t);return this.props.onChange(r,n&&{[this.props.field.get("name")]:n})})),MA(this,"setInactiveStyle",(()=>{this.props.setInactiveStyle(),this.props.field.has("pattern")&&!NA(this.getValidateValue())&&this.validate()}))}shouldComponentUpdate(e){return(!this.props.isLoadingAsset||!e.isLoadingAsset)&&(this.wrappedControlShouldComponentUpdate?this.wrappedControlShouldComponentUpdate(e):this.props.value!==e.value||this.props.classNameWrapper!==e.classNameWrapper||this.props.hasActiveStyle!==e.hasActiveStyle)}focus(e){var t;if(null!==(t=this.innerWrappedControl)&&void 0!==t&&t.focus)this.innerWrappedControl.focus(e);else{const e=document.getElementById(this.props.uniqueFieldId);null==e||e.focus()}const n=document.querySelector(`label[for="${this.props.uniqueFieldId}"]`);n&&n.scrollIntoView({behavior:"smooth",block:"nearest"})}render(){const{controlComponent:e,entry:t,collection:n,config:r,field:i,value:a,mediaPaths:s,metadata:l,onChange:u,onValidateObject:c,onOpenMediaLibrary:d,onRemoveMediaControl:p,onPersistMedia:f,onClearMediaControl:h,onAddAsset:m,onRemoveInsertedMedia:g,getAsset:v,classNameWrapper:y,classNameWidget:b,classNameWidgetActive:w,classNameLabel:_,classNameLabelActive:x,setActiveStyle:k,hasActiveStyle:E,editorControl:C,uniqueFieldId:S,resolveWidget:A,widget:T,getEditorComponents:O,query:P,queryHits:D,clearSearch:R,clearFieldErrors:I,isFetching:M,loadEntry:L,fieldsErrors:N,controlRef:j,isEditorComponent:F,isNewEditorComponent:B,parentIds:z,t:U,isDisabled:q,isFieldDuplicate:$,isFieldHidden:W,locale:H,isParentListCollapsed:V}=this.props;return o.createElement(e,{entry:t,collection:n,config:r,field:i,value:a,mediaPaths:s,metadata:l,onChange:u,onChangeObject:this.onChangeObject,onValidateObject:c,onOpenMediaLibrary:d,onClearMediaControl:h,onRemoveMediaControl:p,onPersistMedia:f,onAddAsset:m,onRemoveInsertedMedia:g,getAsset:v,forID:S,ref:this.processInnerControlRef,validate:this.validate,classNameWrapper:y,classNameWidget:b,classNameWidgetActive:w,classNameLabel:_,classNameLabelActive:x,setActiveStyle:k,setInactiveStyle:()=>this.setInactiveStyle(),hasActiveStyle:E,editorControl:C,resolveWidget:A,widget:T,getEditorComponents:O,getRemarkPlugins:Bs,query:P,queryHits:D,clearSearch:R,clearFieldErrors:I,isFetching:M,loadEntry:L,isEditorComponent:F,isNewEditorComponent:B,fieldsErrors:N,controlRef:j,parentIds:z,t:U,isDisabled:q,isFieldDuplicate:$,isFieldHidden:W,locale:H,isParentListCollapsed:V})}}MA(jA,"propTypes",{controlComponent:ie().func.isRequired,field:Q_().map.isRequired,hasActiveStyle:ie().bool,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,classNameWrapper:ie().string.isRequired,classNameWidget:ie().string.isRequired,classNameWidgetActive:ie().string.isRequired,classNameLabel:ie().string.isRequired,classNameLabelActive:ie().string.isRequired,value:ie().oneOfType([ie().node,ie().object,ie().string,ie().bool]),mediaPaths:Q_().map.isRequired,metadata:Q_().map,fieldsErrors:Q_().map,onChange:ie().func.isRequired,onValidate:ie().func,controlRef:ie().func,onOpenMediaLibrary:ie().func.isRequired,onClearMediaControl:ie().func.isRequired,onRemoveMediaControl:ie().func.isRequired,onPersistMedia:ie().func.isRequired,onAddAsset:ie().func.isRequired,onRemoveInsertedMedia:ie().func.isRequired,getAsset:ie().func.isRequired,resolveWidget:ie().func.isRequired,widget:ie().object.isRequired,getEditorComponents:ie().func.isRequired,isFetching:ie().bool,query:ie().func.isRequired,clearSearch:ie().func.isRequired,clearFieldErrors:ie().func.isRequired,queryHits:ie().oneOfType([ie().array,ie().object]),editorControl:ie().elementType.isRequired,uniqueFieldId:ie().string.isRequired,loadEntry:ie().func.isRequired,t:ie().func.isRequired,onValidateObject:ie().func,isEditorComponent:ie().bool,isNewEditorComponent:ie().bool,entry:Q_().map.isRequired,isDisabled:ie().bool,isFieldDuplicate:ie().func,isFieldHidden:ie().func,locale:ie().string,isParentListCollapsed:ie().bool});const FA=["node"];function BA(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function zA(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?BA(Object(n),!0).forEach((function(t){qA(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):BA(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function UA(){return UA=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},UA.apply(this,arguments)}function qA(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const $A={widget:`\n display: block;\n width: 100%;\n padding: ${Yn.inputPadding};\n margin: 0;\n border: ${Zn.textField};\n border-radius: ${Yn.borderRadius};\n border-top-left-radius: 0;\n outline: 0;\n box-shadow: none;\n background-color: ${Kn.inputBackground};\n color: #444a57;\n transition: border-color ${Xn};\n position: relative;\n font-size: 15px;\n line-height: 1.5;\n\n select& {\n text-indent: 14px;\n height: 58px;\n }\n `,widgetActive:`\n border-color: ${Kn.active};\n `,widgetError:`\n border-color: ${Kn.errorText};\n `,disabled:"\n pointer-events: none;\n opacity: 0.5;\n ",hidden:"\n visibility: hidden;\n "},WA=gb("div",{target:"ec1nk3l3",label:"ControlContainer"})({name:"gpgisz",styles:"margin-top:16px;&:first-of-type{margin-top:36px;}"}),HA=gb("div",{target:"ec1nk3l2",label:"ControlTopbar"})({name:"hvtmtt",styles:"display:flex;justify-content:space-between;gap:20px;align-items:end"}),VA=gb("ul",{target:"ec1nk3l1",label:"ControlErrorsList"})("list-style-type:none;font-size:12px;color:",Kn.errorText,";text-align:right;text-transform:uppercase;font-weight:600;margin:0;padding:2px 0 3px;"),GA=gb("p",{target:"ec1nk3l0",label:"ControlHint"})("margin-bottom:0;padding:6px 0 0;font-size:12px;color:",(e=>e.error?Kn.errorText:e.active?Kn.active:Kn.controlLabel),";transition:color ",Xn,";");function KA({field:e,isActive:t,hasErrors:n,uniqueFieldId:r,isFieldOptional:i,t:a}){const s=`${e.get("label",e.get("name"))}`;return xn(OA,{isActive:t,hasErrors:n,htmlFor:r},i?xn(o.Fragment,null,s,xn("span",null,` (${a("editor.editorControl.field.optional")})`)):s)}class YA extends o.Component{constructor(...e){super(...e),qA(this,"state",{activeLabel:!1}),qA(this,"uniqueFieldId",SA()(`${this.props.field.get("name")}-field-`)),qA(this,"isAncestorOfFieldError",(()=>{const{fieldsErrors:e}=this.props;return!!(e&&e.size>0)&&Object.values(e.toJS()).some((e=>e.some((e=>e.parentIds&&e.parentIds.includes(this.uniqueFieldId)))))}))}render(){const{value:e,entry:t,collection:n,config:r,field:i,fieldsMetaData:o,fieldsErrors:a,mediaPaths:s,boundGetAsset:l,onChange:u,openMediaLibrary:c,clearMediaControl:d,removeMediaControl:p,addAsset:f,removeInsertedMedia:h,persistMedia:m,onValidate:g,controlRef:v,query:y,queryHits:b,isFetching:w,clearSearch:_,clearFieldErrors:x,loadEntry:k,className:E,isSelected:C,isEditorComponent:S,isNewEditorComponent:A,parentIds:T,t:O,validateMetaField:P,isLoadingAsset:D,isDisabled:R,isHidden:I,isFieldDuplicate:M,isFieldHidden:L,locale:N,isParentListCollapsed:j}=this.props,F=js(i.get("widget")),B=i.get("name"),z=i.get("hint"),U=!1===i.get("required"),q=g,$=o&&o.get(B),W=a&&a.get(this.uniqueFieldId),H=this.isAncestorOfFieldError(),V=!!W||H;return xn(Tn,null,(({css:o,cx:B})=>xn(WA,{className:E,css:o`
${I&&$A.hidden};
`},xn(HA,null,F.globalStyles&&xn(kn,{styles:En(F.globalStyles,";;label:EditorControl;",";label:styles;")}),xn(KA,{field:i,isActive:C||this.state.styleActive,hasErrors:V,uniqueFieldId:this.uniqueFieldId,isFieldOptional:U,t:O}),W&&xn(VA,null,W.map((e=>e.message&&"string"==typeof e.message&&xn("li",{key:e.message.trim().replace(/[^a-z0-9]+/gi,"-")},e.message))))),xn(jA,{classNameWrapper:B(o`
${$A.widget};
`,{[o`
${$A.widgetActive};
`]:C||this.state.styleActive},{[o`
${$A.widgetError};
`]:V},{[o`
${$A.disabled}
`]:R}),classNameWidget:o`
${$A.widget};
`,classNameWidgetActive:o`
${$A.widgetActive};
`,classNameLabel:o`
${$A.label};
`,classNameLabelActive:o`
${$A.labelActive};
`,controlComponent:F.control,entry:t,collection:n,config:r,field:i,uniqueFieldId:this.uniqueFieldId,value:e,mediaPaths:s,metadata:$,onChange:(e,t)=>{u(i,e,t),x(this.uniqueFieldId)},onValidate:g&&gC()(g,this.uniqueFieldId),onOpenMediaLibrary:c,onClearMediaControl:d,onRemoveMediaControl:p,onRemoveInsertedMedia:h,onPersistMedia:m,onAddAsset:f,getAsset:l,hasActiveStyle:C||this.state.styleActive,setActiveStyle:()=>this.setState({styleActive:!0}),setInactiveStyle:()=>this.setState({styleActive:!1}),resolveWidget:js,widget:F,getEditorComponents:Fs,controlRef:v,editorControl:ZA,query:y,loadEntry:k,queryHits:b[this.uniqueFieldId]||[],clearSearch:_,clearFieldErrors:x,isFetching:w,fieldsErrors:a,onValidateObject:q,isEditorComponent:S,isNewEditorComponent:A,parentIds:T,t:O,validateMetaField:P,isDisabled:R,isFieldDuplicate:M,isFieldHidden:L,isLoadingAsset:D,locale:N,isParentListCollapsed:j}),z&&xn(GA,{active:C||this.state.styleActive,error:V},xn(DA(),{remarkPlugins:[IA()],allowedElements:["a","strong","em","del"],unwrapDisallowed:!0,components:{a:e=>{let{node:t}=e,n=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,FA);return xn("a",UA({},n,{target:"_blank",rel:"noopener noreferrer",style:{color:"inherit"}}))}}},z)))))}}qA(YA,"propTypes",{value:ie().oneOfType([ie().node,ie().object,ie().string,ie().bool]),field:Q_().map.isRequired,fieldsMetaData:Q_().map,fieldsErrors:Q_().map,mediaPaths:Q_().map.isRequired,boundGetAsset:ie().func.isRequired,onChange:ie().func.isRequired,openMediaLibrary:ie().func.isRequired,addAsset:ie().func.isRequired,removeInsertedMedia:ie().func.isRequired,persistMedia:ie().func.isRequired,onValidate:ie().func,controlRef:ie().func,query:ie().func.isRequired,queryHits:ie().object,isFetching:ie().bool,clearSearch:ie().func.isRequired,clearFieldErrors:ie().func.isRequired,loadEntry:ie().func.isRequired,t:ie().func.isRequired,isEditorComponent:ie().bool,isNewEditorComponent:ie().bool,parentIds:ie().arrayOf(ie().string),entry:Q_().map.isRequired,collection:Q_().map.isRequired,isDisabled:ie().bool,isHidden:ie().bool,isFieldDuplicate:ie().func,isFieldHidden:ie().func,locale:ie().string,isParentListCollapsed:ie().bool}),qA(YA,"defaultProps",{parentIds:[]});const ZA=G((function(e){const{collections:t,entryDraft:n}=e,r=n.get("entry"),i=t.get(n.getIn(["entry","collection"])),o=Sm(e.medias);return{mediaPaths:e.mediaLibrary.get("controlMedia"),isFetching:e.search.isFetching,queryHits:e.search.queryHits,config:e.config,entry:r,collection:i,isLoadingAsset:o,loadEntry:async function(n,r){const i=t.get(n);if(i)return await Sp(e,i,r);throw new Error(`Can't find collection '${n}'`)},validateMetaField:(t,n,r)=>function(e,t,n,r,i){if(n.get("meta")&&"path"===n.get("name")){var o;if(!r)return Ip(r,"invalidPath",i);const n=r.split("/").map(gu(e.config.slug)).join("/");if(r!==n)return Ip(r,"invalidPath",i);const a=Nd(t,(0,kr.fromJS)({entry:{meta:{path:r}}})),s=a?function(e,t,n){const r=ef(e,t),i=r&&r.map((n=>Jp(e,t,n)));return i&&i.find((e=>(null==e?void 0:e.get("path"))===n))}(e.entries,t.get("name"),a):void 0,l=null==s?void 0:s.get("path"),u=null===(o=e.entryDraft)||void 0===o?void 0:o.getIn(["entry","path"]);if(l&&l!==u)return Ip(r,"pathExists",i)}return{error:!1}}(e,i,t,n,r)}}),(function(e){return zA(zA({},(0,vr.DE)({openMediaLibrary:Sc,clearMediaControl:Ec,removeMediaControl:Cc,removeInsertedMedia:Tc,persistMedia:Dc,addAsset:td,query:Wu,clearSearch:$u,clearFieldErrors:kp},e)),{},{boundGetAsset:(t,n)=>id(e,t,n)})}),(function(e,t,n){return zA(zA(zA(zA({},e),t),n),{},{boundGetAsset:t.boundGetAsset(e.collection,e.entry)})}))((0,gr.Iu)()(YA)),XA=ZA;function QA(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const JA=gb("div",{target:"e11hw0lx4",label:"ControlPaneContainer"})({name:"1n0x6o4",styles:"max-width:800px;margin:0 auto;padding-bottom:16px;font-size:16px"}),eT=gb(I_,{target:"e11hw0lx3",label:"LocaleButton"})(cr.button,";",cr.medium,";color:",Kn.controlLabel,";background:",Kn.textFieldBorder,";height:100%;&:after{top:11px;}"),tT=gb("div",{target:"e11hw0lx2",label:"LocaleButtonWrapper"})({name:"zjik7",styles:"display:flex"}),nT=gb("div",{target:"e11hw0lx1",label:"LocaleRowWrapper"})({name:"zjik7",styles:"display:flex"}),rT=gb(j_,{target:"e11hw0lx0",label:"StyledDropdown"})({name:"1hfmrg2",styles:"width:max-content;margin-top:20px;margin-bottom:20px;margin-right:20px"});function iT({locales:e,dropdownText:t,onLocaleChange:n}){return xn(rT,{renderButton:()=>xn(tT,null,xn(eT,null,t))},e.map((e=>xn(F_,{css:En(rr.fieldLabel,";;label:LocaleDropdown;",";label:css;"),key:e,label:e,onClick:()=>n(e)}))))}function oT({field:e,entry:t,isTranslatable:n,locale:r}){if(e.get("meta"))return t.getIn(["meta",e.get("name")]);if(n){const n=Hf(r);return t.getIn([...n,e.get("name")])}return t.getIn(["data",e.get("name")])}class aT extends o.Component{constructor(...e){super(...e),QA(this,"state",{selectedLocale:this.props.locale}),QA(this,"childRefs",{}),QA(this,"controlRef",((e,t)=>{if(!t)return;const n=e.get("name");this.childRefs[n]=t})),QA(this,"getControlRef",(e=>t=>{this.controlRef(e,t)})),QA(this,"handleLocaleChange",(e=>{this.setState({selectedLocale:e}),this.props.onLocaleChange(e)})),QA(this,"copyFromOtherLocale",(({targetLocale:e,t})=>n=>{if(!window.confirm(t("editor.editorControlPane.i18n.copyFromLocaleConfirm",{locale:n.toUpperCase()})))return;const{entry:r,collection:i}=this.props,{locales:o,defaultLocale:a}=Uf(i),s=this.state.selectedLocale,l=o&&{currentLocale:s,locales:o,defaultLocale:a};this.props.fields.forEach((t=>{if(qf(t,e,n)){const e=oT({field:t,entry:r,locale:n,isTranslatable:n!==a});e&&this.props.onChange(t,e,void 0,l)}}))})),QA(this,"validate",(async()=>{this.props.fields.forEach((e=>{var t,n;if("hidden"===e.get("widget"))return;const r=this.childRefs[e.get("name")],i=null!==(t=null==r||null===(n=r.innerWrappedControl)||void 0===n?void 0:n.validate)&&void 0!==t?t:null==r?void 0:r.validate;i&&i()}))})),QA(this,"switchToDefaultLocale",(()=>{if(zf(this.props.collection)){const{defaultLocale:e}=Uf(this.props.collection);return new Promise((t=>this.setState({selectedLocale:e},t)))}return Promise.resolve()}))}focus(e){const[t,...n]=e.split("."),r=this.childRefs[t];null!=r&&r.focus&&r.focus(n.join("."))}render(){const{collection:e,entry:t,fields:n,fieldsMetaData:r,fieldsErrors:i,onChange:o,onValidate:a,t:s}=this.props;if(!e||!n)return null;if(0===t.size||!0===t.get("partial"))return null;const{locales:l,defaultLocale:u}=Uf(e),c=this.state.selectedLocale,d=l&&{currentLocale:c,locales:l,defaultLocale:u};return xn(JA,null,l&&xn(nT,null,xn(iT,{locales:l,dropdownText:s("editor.editorControlPane.i18n.writingInLocale",{locale:c.toUpperCase()}),onLocaleChange:this.handleLocaleChange}),xn(iT,{locales:l.filter((e=>e!==c)),dropdownText:s("editor.editorControlPane.i18n.copyFromLocale"),onLocaleChange:this.copyFromOtherLocale({targetLocale:c,t:s})})),n.filter((e=>"hidden"!==e.get("widget"))).map(((n,s)=>{const l=qf(n,c,u),p=$f(n,c,u),f=Wf(n,c,u);return xn(XA,{key:d?`${c}_${s}`:s,field:n,value:oT({field:n,entry:t,locale:c,isTranslatable:l}),fieldsMetaData:r,fieldsErrors:i,onChange:(e,t,n)=>{o(e,t,n,d)},onValidate:a,controlRef:this.getControlRef(n),entry:t,collection:e,isDisabled:p,isHidden:f,isFieldDuplicate:e=>$f(e,c,u),isFieldHidden:e=>Wf(e,c,u),locale:c})})))}}aT.propTypes={collection:Q_().map.isRequired,entry:Q_().map.isRequired,fields:Q_().list.isRequired,fieldsMetaData:Q_().map.isRequired,fieldsErrors:Q_().map.isRequired,onChange:ie().func.isRequired,onValidate:ie().func.isRequired,locale:ie().string};var sT=__webpack_require__(12693),lT={0:8203,1:8204,2:8205,3:8290,4:8291,5:8288,6:65279,7:8289,8:119155,9:119156,a:119157,b:119158,c:119159,d:119160,e:119161,f:119162},uT={0:8203,1:8204,2:8205,3:65279},cT=new Array(4).fill(String.fromCodePoint(uT[0])).join(""),dT=String.fromCharCode(0);var pT=Object.fromEntries(Object.entries(uT).map((e=>e.reverse()))),fT=Object.fromEntries(Object.entries(lT).map((e=>e.reverse()))),hT=`${Object.values(lT).map((e=>`\\u{${e.toString(16)}}`)).join("")}`,mT=new RegExp(`[${hT}]{4,}`,"gu");function gT(e){return kr.Map.isMap(e)}function vT(e){var t,n;return e?"types"in e?null!==(t=e.types)&&void 0!==t?t:[]:"fields"in e?null!==(n=e.fields)&&void 0!==n?n:[]:"field"in e?e.field?[e.field]:[]:[e]:[]}function yT(e,t){const n=t.toJS(),r=new Map;return function e(t,n,i=""){if(r.get(i)===t)return t;const o={fields:n,path:i,visit:e};let a;return a=function(e){return kr.List.isList(e)}(t)?function(e,t){let n=e;for(let e=0;e<n.size;e++){const r=n.get(e);if(gT(r)){const i=r.get("type");if("string"==typeof i){const o=t.fields.find((e=>e.name===i)),a=t.visit(r,vT(o),`${t.path}.${e}`);n=n.set(e,a)}else{const i=t.visit(r,t.fields,`${t.path}.${e}`);n=n.set(e,i)}}else{const i=t.fields[0],o=t.visit(r,i?[i]:[],`${t.path}.${e}`);o!==r&&(n=n.set(e,o))}}return n}(t,o):gT(t)?function(e,t){let n=e;for(const[e,r]of n.entrySeq().toArray()){const i=t.fields.find((t=>t.name===e));if(i){const o=vT(i),a=t.visit(r,o,t.path?`${t.path}.${e}`:e);a!==r&&(n=n.set(e,a))}}return n}(t,o):"string"==typeof t?function(e,{fields:t,path:n}){var r;const i=function(e){let t=JSON.stringify(e);return`${cT}${Array.from(t).map((e=>{let n=e.charCodeAt(0);if(n>255)throw new Error(`Only ASCII edit info can be encoded. Error attempting to encode ${t} on character ${e} (${n})`);return Array.from(n.toString(4).padStart(4,"0")).map((e=>String.fromCodePoint(uT[e]))).join("")})).join("")}`}({decap:n});return"markdown"===(null===(r=t[0])||void 0===r?void 0:r.widget)&&e.includes("\n\n")?e.split(/(\n\n+)/).map((e=>e.trim()?e+i:e)).join(""):e+i}(t,o):t,r.set(i,a),a}(e,n)}class bT extends o.Component{constructor(...e){super(...e),function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(this,"handleClick",(e=>{var t;const{previewProps:n,onFieldClick:r}=this.props;if(null==n||null===(t=n.collection)||void 0===t?void 0:t.getIn(["editor","visualEditing"],!1))try{const t=function(e){let t=e.match(mT);if(t)return function(e,t=!1){let n=Array.from(e);if(n.length%2!=0)throw new Error("Encoded data has invalid length");if(n.length%4||!e.startsWith(cT))return function(e,t){var n;let r=[];for(let t=.5*e.length;t--;){let n=`${fT[e[2*t].codePointAt(0)]}${fT[e[2*t+1].codePointAt(0)]}`;r.unshift(String.fromCharCode(parseInt(n,16)))}let i=[],o=[r.join("")],a=10;for(;o.length;){let e=o.shift();try{if(i.push(JSON.parse(e)),t)return i}catch(t){if(!a--)throw t;let r=+(null==(n=t.message.match(/\sposition\s(\d+)$/))?void 0:n[1]);if(!r)throw t;o.unshift(e.substring(0,r),e.substring(r))}}return i}(n,t);let r=[];for(let e=.25*n.length;e--;){let t=n.slice(4*e,4*e+4).map((e=>pT[e.codePointAt(0)])).join("");r.unshift(String.fromCharCode(parseInt(t,4)))}if(t){r.shift();let e=r.indexOf(dT);return-1===e&&(e=r.length),[JSON.parse(r.slice(0,e).join(""))]}return r.join("").split(dT).filter(Boolean).map((e=>JSON.parse(e)))}(t[0],!0)[0]}(e.target.textContent);null!=t&&t.decap&&r&&r(t.decap)}catch(e){console.log("Visual editing error:",e)}}))}renderPreview(){const{previewComponent:e,previewProps:t}=this.props;return xn("div",{onClick:this.handleClick},(0,Oe.isElement)(e)?o.cloneElement(e,t):o.createElement(e,t))}render(){var e;const{previewProps:t}=this.props,n=!(null==t||null===(e=t.collection)||void 0===e?void 0:e.getIn(["editor","visualEditing"],!1));return xn(sT.Kr,null,(e=>{const t=this.renderPreview();return n?xn(EA.ScrollSyncPane,{attachTo:e.document.scrollingElement},t):t}))}}bT.propTypes={previewComponent:ie().func.isRequired,previewProps:ie().object,onFieldClick:ie().func};const wT=bT,_T=["previewComponent"];class xT extends o.Component{shouldComponentUpdate(e){return["object","list"].includes(e.field.get("widget"))||this.props.value!==e.value||this.props.fieldsMetaData!==e.fieldsMetaData||this.props.getAsset!==e.getAsset}render(){const e=this.props,{previewComponent:t}=e,n=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,_T);return o.createElement(t,n)}}xT.propTypes={previewComponent:ie().func.isRequired,field:Q_().map.isRequired,value:ie().oneOfType([ie().node,ie().object,ie().string,ie().bool])};const kT=xT;function ET(e){return"hidden"!==e.get("widget")}const CT=gb("div",{target:"e1iji6y40",label:"PreviewContainer"})({name:"9bq7s9",styles:"font-family:Roboto,'Helvetica Neue',HelveticaNeue,Helvetica,Arial,sans-serif"});class ST extends o.Component{render(){const{collection:e,fields:t,widgetFor:n}=this.props;return e&&t?xn(CT,null,t.filter(ET).map((e=>xn("div",{key:e.get("name")},n(e.get("name")))))):null}}function AT(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function TT(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?AT(Object(n),!0).forEach((function(t){OT(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):AT(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function OT(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}ST.propTypes={collection:Q_().map.isRequired,entry:Q_().map.isRequired,fields:Q_().list.isRequired,getAsset:ie().func.isRequired,widgetFor:ie().func.isRequired};const PT=gb(sT.ZP,{target:"enus48h0",label:"PreviewPaneFrame"})("width:100%;height:100%;border:none;background:#fff;border-radius:",Yn.borderRadius,";");class DT extends o.Component{constructor(...e){super(...e),OT(this,"getWidget",((e,t,n,r,i=null)=>{const{getAsset:o,entry:a}=r,s=js(e.get("widget")),l=i?e.get("name")+"_"+i:e.get("name"),u=t&&!s.allowMapValue&&kr.Map.isMap(t);return s.preview?xn(kT,{previewComponent:s.preview,key:l,field:e,getAsset:o,value:u?t.get(e.get("name")):t,entry:a,fieldsMetaData:n,resolveWidget:js,getRemarkPlugins:Bs}):null})),OT(this,"inferredFields",{}),OT(this,"widgetFor",((e,t=this.props.fields,n=this.props.entry.get("data"),r=this.props.fieldsMetaData)=>{let i=t&&t.find((t=>t.get("name")===e)),o=kr.Map.isMap(n)&&n.get(i.get("name"));i.get("meta")&&(o=this.props.entry.getIn(["meta",i.get("name")]));const a=i.get("fields"),s=i.get("field"),l=r&&r.get(i.get("name"),(0,kr.Map)());a&&(i=i.set("fields",this.getNestedWidgets(a,o,l))),s&&(i=i.set("field",this.getSingleNested(s,o,l)));const u=Object.entries(this.inferredFields).filter((([e])=>Tf(this.props.collection,e)===i)).map((([,e])=>e))[0];return u?o=u.defaultPreview(o):o&&-1!==["string","text","number"].indexOf(i.get("widget"))&&o.toString().length<50&&(o=xn("div",null,xn("strong",null,i.get("label",i.get("name")),":")," ",o)),o?this.getWidget(i,o,l,this.props):null})),OT(this,"getNestedWidgets",((e,t,n)=>kr.List.isList(t)?t.map((t=>this.widgetsForNestedFields(e,t,n))):this.widgetsForNestedFields(e,t,n))),OT(this,"getSingleNested",((e,t,n)=>kr.List.isList(t)?t.map(((t,r)=>this.getWidget(e,t,n.get(e.get("name")),this.props,r))):this.getWidget(e,t,n.get(e.get("name")),this.props))),OT(this,"widgetsForNestedFields",((e,t,n)=>e.map((r=>this.widgetFor(r.get("name"),e,t,n))))),OT(this,"widgetsFor",(e=>{const{fields:t,entry:n,fieldsMetaData:r}=this.props,i=t.find((t=>t.get("name")===e)),o=i&&i.get("fields"),a=i&&i.get("types"),s=n.getIn(["data",i.get("name")]),l=r.get(i.get("name"),(0,kr.Map)());return kr.List.isList(s)&&a?s.map((e=>{const t=a.find((t=>t.get("name")===e.get("type"))),n=t&&t.get("fields"),r=n&&(0,kr.Map)(n.map(((t,n)=>[t.get("name"),xn("div",{key:n},this.getWidget(t,e,l.get(t.get("name")),this.props))])));return(0,kr.Map)({data:e,widgets:r})})):kr.List.isList(s)?s.map((e=>{const t=o&&(0,kr.Map)(o.map(((t,n)=>[t.get("name"),xn("div",{key:n},this.getWidget(t,e,l.get(t.get("name")),this.props))])));return(0,kr.Map)({data:e,widgets:t})})):(0,kr.Map)({data:s,widgets:o&&(0,kr.Map)(o.map((e=>[e.get("name"),this.getWidget(e,s,l.get(e.get("name")),this.props)])))})})),OT(this,"getCollection",(async(e,t)=>{const{state:n}=this.props,r=n.collections.get(e);if(void 0===t)return(await bp(n,r)).map((e=>(0,kr.Map)().set("data",e.data)));const i=await Sp(n,r,t);return(0,kr.Map)().set("data",i.data)}))}inferFields(){const e=Df(this.props.collection,"title"),t=Df(this.props.collection,"shortTitle"),n=Df(this.props.collection,"author");this.inferredFields={},e&&(this.inferredFields[e]=Il.title),t&&(this.inferredFields[t]=Il.shortTitle),n&&(this.inferredFields[n]=Il.author)}render(){const{entry:e,collection:t,config:n}=this.props;if(!e||!e.get("data"))return null;const r=Is(function(e,t){return yf[e.get("type")].templateName(e,t)}(t,e.get("slug")))||ST;this.inferFields();const i=t.getIn(["editor","visualEditing"],!1)?e.set("data",yT(e.get("data"),this.props.fields)):e,o=TT(TT({},this.props),{},{entry:i,widgetFor:(e,t,n=i.get("data"),r)=>this.widgetFor(e,t,n,r),widgetsFor:this.widgetsFor,getCollection:this.getCollection}),a=Rs().map(((e,t)=>e.raw?xn("style",{key:t},e.value):xn("link",{key:t,href:e.value,type:"text/css",rel:"stylesheet"})));return t||xn(PT,{id:"preview-pane",head:a}),xn(Db,{config:n},xn(PT,{id:"preview-pane",head:a,initialContent:'\n<!DOCTYPE html>\n<html>\n <head><base target="_blank"/></head>\n <body><div></div></body>\n</html>\n'},xn(sT.Kr,null,(({document:e,window:t})=>xn(wT,{previewComponent:r,previewProps:TT(TT({},o),{},{document:e,window:t}),onFieldClick:this.props.onFieldClick})))))}}DT.propTypes={collection:Q_().map.isRequired,fields:Q_().list.isRequired,entry:Q_().map.isRequired,fieldsMetaData:Q_().map.isRequired,getAsset:ie().func.isRequired,onFieldClick:ie().func};const RT=G((function(e){return{isLoadingAsset:Sm(e.medias),config:e.config,state:e}}),(function(e){return{boundGetAsset:(t,n)=>id(e,t,n)}}),(function(e,t,n){return TT(TT(TT(TT({},e),t),n),{},{getAsset:t.boundGetAsset(n.collection,n.entry)})}))(DT);function IT(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const MT={noOverflow:{name:"1ctb18k-noOverflow",styles:"overflow:hidden;white-space:nowrap;text-overflow:ellipsis;label:noOverflow;"},buttonMargin:{name:"bx8mhy-buttonMargin",styles:"margin:0 10px;label:buttonMargin;"},toolbarSection:En("height:100%;display:flex;align-items:center;border:0 solid ",Kn.textFieldBorder,";;label:toolbarSection;",";label:toolbarSection;"),publishedButton:En("background-color:",Gn,";color:","#117888",";;label:publishedButton;",";label:publishedButton;")},LT=gb("div",{target:"e1d2l9mo26",label:"TooltipText"})({name:"1ykbu60",styles:"visibility:hidden;width:321px;background-color:#555;color:#fff;text-align:unset;border-radius:6px;padding:5px;position:absolute;z-index:1;top:145%;left:50%;margin-left:-320px;opacity:0;transition:opacity 0.3s"}),NT=gb("div",{target:"e1d2l9mo25",label:"Tooltip"})("position:relative;display:inline-block;&:hover+",LT,"{visibility:visible;opacity:0.9;}"),jT=gb("div",{target:"e1d2l9mo24",label:"TooltipContainer"})({name:"bjn8wh",styles:"position:relative"}),FT=gb(I_,{target:"e1d2l9mo23",label:"DropdownButton"})(MT.noOverflow,"@media (max-width: 1200px){padding-left:10px;}"),BT=gb("div",{target:"e1d2l9mo22",label:"ToolbarContainer"})("box-shadow:0 2px 6px 0 rgba(68, 74, 87, 0.05),0 1px 3px 0 rgba(68, 74, 87, 0.1),0 2px 54px rgba(0, 0, 0, 0.1);position:absolute;top:0;left:0;width:100%;min-width:800px;z-index:",hr.zIndex300,";background-color:#fff;height:66px;display:flex;justify-content:space-between;"),zT=gb("div",{target:"e1d2l9mo21",label:"ToolbarSectionMain"})(MT.toolbarSection,";flex:10;display:flex;justify-content:space-between;padding:0 10px;"),UT=gb("div",{target:"e1d2l9mo20",label:"ToolbarSubSectionFirst"})({name:"s5xdrg",styles:"display:flex;align-items:center"}),qT=gb(UT,{target:"e1d2l9mo19",label:"ToolbarSubSectionLast"})({name:"1f60if8",styles:"justify-content:flex-end"}),$T=gb(kE,{target:"e1d2l9mo18",label:"ToolbarSectionBackLink"})(MT.toolbarSection,";border-right-width:1px;font-weight:normal;padding:0 20px;&:hover,&:focus{background-color:#f1f2f4;}"),WT=gb("div",{target:"e1d2l9mo17",label:"ToolbarSectionMeta"})(MT.toolbarSection,";border-left-width:1px;padding:0 7px;"),HT=gb(j_,{target:"e1d2l9mo16",label:"ToolbarDropdown"})(MT.buttonMargin,";",O_,"{color:",Vn,";}"),VT=gb("div",{target:"e1d2l9mo15",label:"BackArrow"})("color:",Kn.textLead,";font-size:21px;font-weight:600;margin-right:16px;"),GT=gb("div",{target:"e1d2l9mo14",label:"BackCollection"})("color:",Kn.textLead,";font-size:14px;"),KT=gb("div",{target:"e1d2l9mo13",label:"BackStatus"})({name:"j9a02z",styles:"margin-top:6px"}),YT=gb(KT,{target:"e1d2l9mo12",label:"BackStatusUnchanged"})(pr.textBadgeSuccess,";"),ZT=gb(KT,{target:"e1d2l9mo11",label:"BackStatusChanged"})(pr.textBadgeDanger,";"),XT=gb("button",{target:"e1d2l9mo10",label:"ToolbarButton"})(cr.button,";",cr.default,";",MT.buttonMargin,";",MT.noOverflow,";display:block;@media (max-width: 1200px){padding:0 10px;}"),QT=gb(XT,{target:"e1d2l9mo9",label:"DeleteButton"})(cr.lightRed,";"),JT=gb(XT,{target:"e1d2l9mo8",label:"SaveButton"})(cr.lightBlue,";&[disabled]{",cr.disabled,";}"),eO=gb(FT,{target:"e1d2l9mo7",label:"PublishedToolbarButton"})(MT.publishedButton,";"),tO=gb(XT,{target:"e1d2l9mo6",label:"PublishedButton"})(MT.publishedButton,";"),nO=gb(FT,{target:"e1d2l9mo5",label:"PublishButton"})("background-color:",Vn,";"),rO=gb(FT,{target:"e1d2l9mo4",label:"StatusButton"})("background-color:",Gn,";color:",Vn,";"),iO=gb("div",{target:"e1d2l9mo3",label:"PreviewButtonContainer"})("margin-right:12px;color:",Nn,";display:flex;align-items:center;a,",O_,"{color:",Nn,";}",O_,"{position:relative;top:1px;}"),oO=gb("button",{target:"e1d2l9mo2",label:"RefreshPreviewButton"})("background:none;border:0;cursor:pointer;color:",Nn,";span{margin-right:6px;}"),aO=oO.withComponent("a",{target:"e1d2l9mo27",label:"PreviewLink"}),sO=gb(F_,{target:"e1d2l9mo1",label:"PublishDropDownItem"})({name:"svxjwj",styles:"min-width:initial"}),lO=gb(F_,{target:"e1d2l9mo0",label:"StatusDropdownItem"})(O_,"{color:",Kn.infoText,";}");class uO extends o.Component{constructor(...e){super(...e),IT(this,"renderSimpleControls",(()=>{const{collection:e,hasChanged:t,isNewEntry:n,showDelete:r,onDelete:i,t:a}=this.props,s=e.get("create");return xn(o.Fragment,null,n||t?this.renderNewEntrySimplePublishControls({canCreate:s}):this.renderExistingEntrySimplePublishControls({canCreate:s}),xn("div",null,r?xn(QT,{onClick:i},a("editor.editorToolbar.deleteEntry")):null))})),IT(this,"renderDeployPreviewControls",(e=>{const{deployPreview:t={},loadDeployPreview:n,t:r}=this.props,{url:i,status:o,isFetching:a}=t;if(o)return xn(iO,null,"SUCCESS"!==o||a?xn(oO,{onClick:n},xn("span",null,r("editor.editorToolbar.deployPreviewPendingButtonLabel")),xn(O_,{type:"refresh",size:"xsmall"})):xn(aO,{rel:"noopener noreferrer",target:"_blank",href:i},xn("span",null,e),xn(O_,{type:"new-tab",size:"xsmall"})))})),IT(this,"renderStatusInfoTooltip",(()=>{const{t:e,currentStatus:t}=this.props,n={[ul.get("DRAFT")]:"statusInfoTooltipDraft",[ul.get("PENDING_REVIEW")]:"statusInfoTooltipInReview"},r=Object.keys(n).find((e=>e===t));return xn(jT,null,xn(NT,null,xn(O_,{type:"info-circle",size:"small",className:"tooltip"})),r&&xn(LT,null,e(`editor.editorToolbar.${n[r]}`)))})),IT(this,"renderWorkflowStatusControls",(()=>{const{isUpdatingStatus:e,onChangeStatus:t,currentStatus:n,t:r,useOpenAuthoring:i}=this.props,a={[ul.get("DRAFT")]:r("editor.editorToolbar.draft"),[ul.get("PENDING_REVIEW")]:r("editor.editorToolbar.inReview"),[ul.get("PENDING_PUBLISH")]:r("editor.editorToolbar.ready")},s=e?r("editor.editorToolbar.updating"):r("editor.editorToolbar.status",{status:a[n]});return xn(o.Fragment,null,xn(HT,{dropdownTopOverlap:"40px",dropdownWidth:"120px",renderButton:()=>xn(rO,null,s)},xn(lO,{label:r("editor.editorToolbar.draft"),onClick:()=>t("DRAFT"),icon:n===ul.get("DRAFT")?"check":null}),xn(lO,{label:r("editor.editorToolbar.inReview"),onClick:()=>t("PENDING_REVIEW"),icon:n===ul.get("PENDING_REVIEW")?"check":null}),i?"":xn(lO,{label:r("editor.editorToolbar.ready"),onClick:()=>t("PENDING_PUBLISH"),icon:n===ul.get("PENDING_PUBLISH")?"check":null})),i&&this.renderStatusInfoTooltip())})),IT(this,"renderNewEntryWorkflowPublishControls",(({canCreate:e,canPublish:t})=>{const{isPublishing:n,onPublish:r,onPublishAndNew:i,onPublishAndDuplicate:a,t:s}=this.props;return t?xn(HT,{dropdownTopOverlap:"40px",dropdownWidth:"200px",renderButton:()=>xn(nO,null,s(n?"editor.editorToolbar.publishing":"editor.editorToolbar.publish"))},xn(sO,{label:s("editor.editorToolbar.publishNow"),icon:"arrow",iconDirection:"right",onClick:r}),e?xn(o.Fragment,null,xn(sO,{label:s("editor.editorToolbar.publishAndCreateNew"),icon:"add",onClick:i}),xn(sO,{label:s("editor.editorToolbar.publishAndDuplicate"),icon:"add",onClick:a})):null):""})),IT(this,"renderExistingEntryWorkflowPublishControls",(({canCreate:e,canPublish:t,canDelete:n})=>{const{unPublish:r,onDuplicate:i,isPersisting:o,t:a}=this.props;return t||e?xn(HT,{dropdownTopOverlap:"40px",dropdownWidth:"150px",key:"td-publish-create",renderButton:()=>xn(eO,null,a(o?"editor.editorToolbar.unpublishing":"editor.editorToolbar.published"))},n&&t&&xn(F_,{label:a("editor.editorToolbar.unpublish"),icon:"arrow",iconDirection:"right",onClick:r}),e&&xn(F_,{label:a("editor.editorToolbar.duplicate"),icon:"add",onClick:i})):""})),IT(this,"renderExistingEntrySimplePublishControls",(({canCreate:e})=>{const{onDuplicate:t,t:n}=this.props;return e?xn(HT,{dropdownTopOverlap:"40px",dropdownWidth:"150px",renderButton:()=>xn(eO,null,n("editor.editorToolbar.published"))},xn(F_,{label:n("editor.editorToolbar.duplicate"),icon:"add",onClick:t})):xn(tO,null,n("editor.editorToolbar.published"))})),IT(this,"renderNewEntrySimplePublishControls",(({canCreate:e})=>{const{onPersist:t,onPersistAndNew:n,onPersistAndDuplicate:r,isPersisting:i,t:a}=this.props;return xn("div",null,xn(HT,{dropdownTopOverlap:"40px",dropdownWidth:"150px",renderButton:()=>xn(nO,null,a(i?"editor.editorToolbar.publishing":"editor.editorToolbar.publish"))},xn(F_,{label:a("editor.editorToolbar.publishNow"),icon:"arrow",iconDirection:"right",onClick:t}),e?xn(o.Fragment,null,xn(F_,{label:a("editor.editorToolbar.publishAndCreateNew"),icon:"add",onClick:n}),xn(F_,{label:a("editor.editorToolbar.publishAndDuplicate"),icon:"add",onClick:r})):null))})),IT(this,"renderSimpleDeployPreviewControls",(()=>{const{hasChanged:e,isNewEntry:t,t:n}=this.props;if(!t&&!e)return this.renderDeployPreviewControls(n("editor.editorToolbar.deployButtonLabel"))})),IT(this,"renderWorkflowControls",(()=>{const{onPersist:e,onDelete:t,onDeleteUnpublishedChanges:n,showDelete:r,hasChanged:i,hasUnpublishedChanges:a,useOpenAuthoring:s,isPersisting:l,isDeleting:u,isNewEntry:c,isModification:d,currentStatus:p,collection:f,t:h}=this.props,m=f.get("create"),g=f.get("publish")&&!s,v=f.get("delete",!0),y=a&&d&&h("editor.editorToolbar.deleteUnpublishedChanges")||a&&(c||!d)&&h("editor.editorToolbar.deleteUnpublishedEntry")||!a&&!d&&h("editor.editorToolbar.deletePublishedEntry");return[xn(JT,{disabled:!i,key:"save-button",onClick:()=>i&&e()},h(l?"editor.editorToolbar.saving":"editor.editorToolbar.save")),p?[xn(o.Fragment,{key:"workflow-status-controls"},this.renderWorkflowStatusControls(),!i&&this.renderNewEntryWorkflowPublishControls({canCreate:m,canPublish:g}))]:!c&&xn(o.Fragment,{key:"existing-entry-workflow-publish-controls"},this.renderExistingEntryWorkflowPublishControls({canCreate:m,canPublish:g,canDelete:v})),r&&!s||a||d?xn(QT,{key:"delete-button",onClick:a?n:t},u?h("editor.editorToolbar.deleting"):y):null]})),IT(this,"renderWorkflowDeployPreviewControls",(()=>{const{currentStatus:e,isNewEntry:t,t:n}=this.props;return e?this.renderDeployPreviewControls(n("editor.editorToolbar.deployPreviewButtonLabel")):t?void 0:this.renderDeployPreviewControls(n("editor.editorToolbar.deployButtonLabel"))}))}componentDidMount(){const{isNewEntry:e,loadDeployPreview:t}=this.props;e||t({maxAttempts:3})}componentDidUpdate(e){const{isNewEntry:t,isPersisting:n,loadDeployPreview:r}=this.props;t||!e.isPersisting||n||r({maxAttempts:3})}render(){const{user:e,hasChanged:t,displayUrl:n,collection:r,hasWorkflow:i,onLogoutClick:o,t:a,editorBackLink:s}=this.props;return xn(BT,null,xn($T,{to:s},xn(VT,null,"←"),xn("div",null,xn(GT,null,a("editor.editorToolbar.backCollection",{collectionLabel:r.get("label")})),t?xn(ZT,null,a("editor.editorToolbar.unsavedChanges")):xn(YT,null,a("editor.editorToolbar.changesSaved")))),xn(zT,null,xn(UT,null,i?this.renderWorkflowControls():this.renderSimpleControls()),xn(qT,null,i?this.renderWorkflowDeployPreviewControls():this.renderSimpleDeployPreviewControls())),xn(WT,null,xn(Z_,{displayUrl:n,imageUrl:null==e?void 0:e.avatar_url,onLogoutClick:o})))}}IT(uO,"propTypes",{isPersisting:ie().bool,isPublishing:ie().bool,isUpdatingStatus:ie().bool,isDeleting:ie().bool,onPersist:ie().func.isRequired,onPersistAndNew:ie().func.isRequired,onPersistAndDuplicate:ie().func.isRequired,showDelete:ie().bool.isRequired,onDelete:ie().func.isRequired,onDeleteUnpublishedChanges:ie().func.isRequired,onChangeStatus:ie().func.isRequired,onPublish:ie().func.isRequired,unPublish:ie().func.isRequired,onDuplicate:ie().func.isRequired,onPublishAndNew:ie().func.isRequired,onPublishAndDuplicate:ie().func.isRequired,user:ie().object,hasChanged:ie().bool,displayUrl:ie().string,collection:Q_().map.isRequired,hasWorkflow:ie().bool,useOpenAuthoring:ie().bool,hasUnpublishedChanges:ie().bool,isNewEntry:ie().bool,isModification:ie().bool,currentStatus:ie().string,onLogoutClick:ie().func.isRequired,deployPreview:ie().object,loadDeployPreview:ie().func.isRequired,t:ie().func.isRequired,editorBackLink:ie().string.isRequired});const cO=(0,gr.Iu)()(uO);function dO(){return dO=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},dO.apply(this,arguments)}function pO(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const fO="cms.preview-visible",hO="cms.scroll-sync-enabled",mO="cms.split-pane-position",gO="cms.i18n-visible",vO={splitPane:En(pr.card,";border-radius:0;height:100%;;label:splitPane;",";label:splitPane;"),pane:{name:"1yvxf4n-pane",styles:"height:100%;overflow-y:auto;label:pane;"}},yO=gb(kA,{target:"e1nx103k7",label:"EditorToggle"})({name:"cn3xcj",styles:"margin-bottom:12px"});function bO(){return xn(kn,{styles:En(".Resizer.vertical{width:2px;cursor:col-resize;position:relative;background:none;&:before{content:'';width:2px;height:100%;position:relative;background-color:",Kn.textFieldBorder,";display:block;z-index:10;transition:background-color ",Xn,";}&:hover,&:active{&:before{width:4px;left:-1px;background-color:",Nn,";}}};label:ReactSplitPaneGlobalStyles;",";label:styles;")})}const wO=gb(wA,{target:"e1nx103k6",label:"StyledSplitPane"})(vO.splitPane,";.Pane{height:100%;}"),_O=gb("div",{target:"e1nx103k5",label:"NoPreviewContainer"})(vO.splitPane,";"),xO=gb("div",{target:"e1nx103k4",label:"EditorContainer"})("width:100%;min-width:800px;height:100%;position:absolute;top:0;left:0;overflow:hidden;padding-top:66px;background-color:",Kn.background,";"),kO=gb("div",{target:"e1nx103k3",label:"Editor"})({name:"yd3x82",styles:"height:100%;margin:0 auto;position:relative"}),EO=gb("div",{target:"e1nx103k2",label:"PreviewPaneContainer"})("height:100%;pointer-events:",(e=>e.blockEntry?"none":"auto"),";overflow-y:",(e=>e.overFlow?"auto":"hidden"),";"),CO=gb(EO,{target:"e1nx103k1",label:"ControlPaneContainer"})({name:"b2tic3",styles:"padding:0 16px;position:relative;overflow-x:hidden"}),SO=gb("div",{target:"e1nx103k0",label:"ViewControls"})("position:absolute;top:10px;right:10px;z-index:",hr.zIndex299,";");function AO({i18nVisible:e,previewVisible:t,editor:n,editorWithEditor:r,editorWithPreview:i}){return e?r:t?i:xn(_O,null,n)}class TO extends o.Component{constructor(...e){super(...e),pO(this,"state",{showEventBlocker:!1,previewVisible:"false"!==localStorage.getItem(fO),scrollSyncEnabled:"false"!==localStorage.getItem(hO),i18nVisible:"false"!==localStorage.getItem(gO)}),pO(this,"handleFieldClick",(e=>{var t;null===(t=this.controlPaneRef)||void 0===t||t.focus(e)})),pO(this,"handleSplitPaneDragStart",(()=>{this.setState({showEventBlocker:!0})})),pO(this,"handleSplitPaneDragFinished",(()=>{this.setState({showEventBlocker:!1})})),pO(this,"handleOnPersist",(async(e={})=>{const{createNew:t=!1,duplicate:n=!1}=e;await this.controlPaneRef.switchToDefaultLocale(),this.controlPaneRef.validate(),this.props.onPersist({createNew:t,duplicate:n})})),pO(this,"handleOnPublish",(async(e={})=>{const{createNew:t=!1,duplicate:n=!1}=e;await this.controlPaneRef.switchToDefaultLocale(),this.controlPaneRef.validate(),this.props.onPublish({createNew:t,duplicate:n})})),pO(this,"handleTogglePreview",(()=>{const e=!this.state.previewVisible;this.setState({previewVisible:e}),localStorage.setItem(fO,e)})),pO(this,"handleToggleScrollSync",(()=>{const e=!this.state.scrollSyncEnabled;this.setState({scrollSyncEnabled:e}),localStorage.setItem(hO,e)})),pO(this,"handleToggleI18n",(()=>{const e=!this.state.i18nVisible;this.setState({i18nVisible:e}),localStorage.setItem(gO,e)})),pO(this,"handleLeftPanelLocaleChange",(e=>{this.setState({leftPanelLocale:e})}))}render(){const{collection:e,entry:t,fields:n,fieldsMetaData:r,fieldsErrors:i,onChange:o,showDelete:a,onDelete:s,onDeleteUnpublishedChanges:l,onChangeStatus:u,onPublish:c,unPublish:d,onDuplicate:p,onValidate:f,user:h,hasChanged:m,displayUrl:g,hasWorkflow:v,useOpenAuthoring:y,hasUnpublishedChanges:b,isNewEntry:w,isModification:_,currentStatus:x,onLogoutClick:k,loadDeployPreview:E,deployPreview:C,draftKey:S,editorBackLink:A,t:T}=this.props,{scrollSyncEnabled:O,showEventBlocker:P}=this.state,D=function(e,t){if(e.get("type")===Al){const n=wf(e,t.get("slug")),r=null==n?void 0:n.getIn(["editor","preview"]);if(null!=r)return r}return e.getIn(["editor","preview"],!0)}(e,t),{locales:R,defaultLocale:I}=Uf(this.props.collection),M=zf(e)&&R.length>1,L={collection:e,entry:t,fields:n,fieldsMetaData:r,fieldsErrors:i,onChange:o,onValidate:f},N=this.state.leftPanelLocale||(null==R?void 0:R[0]),j=xn(CO,{overFlow:!0,blockEntry:P},xn(aT,dO({},L,{ref:e=>this.controlPaneRef=e,locale:N,t:T,onLocaleChange:this.handleLeftPanelLocaleChange}))),F=xn(CO,{overFlow:!this.state.scrollSyncEnabled,blockEntry:P},xn(aT,dO({},L,{locale:null==R?void 0:R[1],t:T}))),B=M?function(e,t,n){return t===n?e:e.set("data",e.getIn([jf,t,"data"]))}(t,N,I):t,z=xn(EA.ScrollSync,{enabled:this.state.scrollSyncEnabled},xn("div",null,xn(bO,null),xn(wO,{maxSize:-100,minSize:400,defaultSize:parseInt(localStorage.getItem(mO),10)||"50%",onChange:e=>localStorage.setItem(mO,e),onDragStarted:this.handleSplitPaneDragStart,onDragFinished:this.handleSplitPaneDragFinished},xn(EA.ScrollSyncPane,null,j),xn(EO,{blockEntry:P},xn(RT,{collection:e,entry:B,fields:n,fieldsMetaData:r,locale:N,onFieldClick:this.handleFieldClick}))))),U=xn(EA.ScrollSync,{enabled:this.state.scrollSyncEnabled},xn("div",null,xn(wO,{maxSize:-100,defaultSize:parseInt(localStorage.getItem(mO),10)||"50%",onChange:e=>localStorage.setItem(mO,e),onDragStarted:this.handleSplitPaneDragStart,onDragFinished:this.handleSplitPaneDragFinished},xn(EA.ScrollSyncPane,null,j),xn(EA.ScrollSyncPane,null,F)))),q=M&&this.state.i18nVisible,$=D&&this.state.previewVisible,W=q||$;return xn(xO,null,xn(cO,{isPersisting:t.get("isPersisting"),isPublishing:t.get("isPublishing"),isUpdatingStatus:t.get("isUpdatingStatus"),isDeleting:t.get("isDeleting"),onPersist:this.handleOnPersist,onPersistAndNew:()=>this.handleOnPersist({createNew:!0}),onPersistAndDuplicate:()=>this.handleOnPersist({createNew:!0,duplicate:!0}),onDelete:s,onDeleteUnpublishedChanges:l,onChangeStatus:u,showDelete:a,onPublish:c,unPublish:d,onDuplicate:p,onPublishAndNew:()=>this.handleOnPublish({createNew:!0}),onPublishAndDuplicate:()=>this.handleOnPublish({createNew:!0,duplicate:!0}),user:h,hasChanged:m,displayUrl:g,collection:e,hasWorkflow:v,useOpenAuthoring:y,hasUnpublishedChanges:b,isNewEntry:w,isModification:_,currentStatus:x,onLogoutClick:k,loadDeployPreview:E,deployPreview:C,editorBackLink:A}),xn(kO,{key:S},xn(SO,null,M&&xn(yO,{isActive:q,onClick:this.handleToggleI18n,size:"large",type:"page",title:T("editor.editorInterface.toggleI18n"),marginTop:"70px"}),D&&xn(yO,{isActive:$,onClick:this.handleTogglePreview,size:"large",type:"eye",title:T("editor.editorInterface.togglePreview")}),W&&!e.getIn(["editor","visualEditing"])&&xn(yO,{isActive:O,onClick:this.handleToggleScrollSync,size:"large",type:"scroll",title:T("editor.editorInterface.toggleScrollSync")})),xn(AO,{i18nVisible:q,previewVisible:$,editor:j,editorWithEditor:U,editorWithPreview:z})))}}TO.propTypes={collection:Q_().map.isRequired,entry:Q_().map.isRequired,fields:Q_().list.isRequired,fieldsMetaData:Q_().map.isRequired,fieldsErrors:Q_().map.isRequired,onChange:ie().func.isRequired,onValidate:ie().func.isRequired,onPersist:ie().func.isRequired,showDelete:ie().bool.isRequired,onDelete:ie().func.isRequired,onDeleteUnpublishedChanges:ie().func.isRequired,onPublish:ie().func.isRequired,unPublish:ie().func.isRequired,onDuplicate:ie().func.isRequired,onChangeStatus:ie().func.isRequired,user:ie().object,hasChanged:ie().bool,displayUrl:ie().string,hasWorkflow:ie().bool,useOpenAuthoring:ie().bool,hasUnpublishedChanges:ie().bool,isNewEntry:ie().bool,isModification:ie().bool,currentStatus:ie().string,onLogoutClick:ie().func.isRequired,deployPreview:ie().object,loadDeployPreview:ie().func.isRequired,draftKey:ie().string.isRequired,t:ie().func.isRequired};const OO=TO;function PO(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function DO(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?PO(Object(n),!0).forEach((function(t){RO(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):PO(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function RO(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function IO(e,t){const{collections:n}=e,r=e.config.publish_mode===ll,i=n.get(t.match.params.name),o={isEditorialWorkflow:r,showDelete:!t.newEntry&&Sf(i)};if(r){const n=t.match.params[0],r=Ym(e,i.get("name"),n);r&&(o.unpublishedEntry=!0,o.entry=r)}return o}function MO(e,t,n){const{isEditorialWorkflow:r,unpublishedEntry:i}=e,{dispatch:o}=t,a={};return r&&(a.loadEntry=(e,t)=>o(Pd(e,t)),a.persistEntry=e=>o(function(e,t){return async(n,r)=>{const i=r(),o=i.entryDraft,a=o.get("fieldsErrors"),s=function(e,t){return function(e,t){return e.get("entities")?e.get("entities").filter(((e,n)=>wm()(n,`${t}.`))).map((e=>e.get("slug"))).valueSeq():null}(e.editorialWorkflow,t)}(i,e.get("name")),l=Gm(i,e.get("name")).concat(s);if(!Ci()(i.editorialWorkflow.toJS(),"pages.ids",!1)&&n(Dd(i.collections)),!a.isEmpty())return a.some((e=>e.some((e=>e.type&&e.type===Yu.PRESENCE))))&&n(rc({message:{key:"ui.toast.missingRequiredField"},type:"error",dismissAfter:8e3})),Promise.reject();const u=am(i.config),c=o.get("entry"),d=Dp({entry:c}),p=Rp(e,c),f=o.set("entry",p);n(Ad(e,c.get("slug")));const h=t?u.persistUnpublishedEntry:u.persistEntry;try{const t=await h.call(u,{config:i.config,collection:e,entryDraft:f,assetProxies:d,usedSlugs:l});n(rc({message:{key:"ui.toast.entrySaved"},type:"success",dismissAfter:4e3})),n(Td(e,p)),c.get("slug")!==t&&(await n(Pd(e,t)),ud(e.get("name"),t))}catch(t){return n(rc({message:{key:"ui.toast.onFailToPersist",details:t},type:"error",dismissAfter:8e3})),Promise.reject(n(Od(t,e,c.get("slug"))))}}}(e,i))),DO(DO(DO({},n),e),a)}function LO(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class NO extends o.Component{constructor(...e){super(...e),LO(this,"createBackup",JS()((function(e,t){this.props.persistLocalBackup(e,t)}),2e3)),LO(this,"handleChangeDraftField",((e,t,n,r)=>{const i=[this.props.unPublishedEntry,this.props.publishedEntry].filter(Boolean);this.props.changeDraftField({field:e,value:t,metadata:n,entries:i,i18n:r})})),LO(this,"handleChangeStatus",(e=>{const{entryDraft:t,updateUnpublishedEntryStatus:n,collection:r,slug:i,currentStatus:o,t:a}=this.props;if(t.get("hasChanged"))return void window.alert(a("editor.editor.onUpdatingWithUnsavedChanges"));const s=ul.get(e);n(r.get("name"),i,o,s)})),LO(this,"handlePersistEntry",(async(e={})=>{const{createNew:t=!1,duplicate:n=!1}=e,{persistEntry:r,collection:i,currentStatus:o,hasWorkflow:a,loadEntry:s,slug:l,createDraftDuplicateFromEntry:u,entryDraft:c}=this.props;await r(i),this.deleteBackup(),t?(ld(i.get("name")),n&&u(c.get("entry"))):l&&a&&!o&&s(i,l)})),LO(this,"handlePublishEntry",(async(e={})=>{const{createNew:t=!1,duplicate:n=!1}=e,{publishUnpublishedEntry:r,createDraftDuplicateFromEntry:i,entryDraft:o,collection:a,slug:s,currentStatus:l,t:u}=this.props;l===ul.last()?o.get("hasChanged")?window.alert(u("editor.editor.onPublishingWithUnsavedChanges")):window.confirm(u("editor.editor.onPublishing"))&&(await r(a.get("name"),s),this.deleteBackup(),t&&ld(a.get("name")),n&&i(o.get("entry"))):window.alert(u("editor.editor.onPublishingNotReady"))})),LO(this,"handleUnpublishEntry",(async()=>{const{unpublishPublishedEntry:e,collection:t,slug:n,t:r}=this.props;if(window.confirm(r("editor.editor.onUnpublishing")))return await e(t,n),sd(t.get("name"))})),LO(this,"handleDuplicateEntry",(()=>{const{createDraftDuplicateFromEntry:e,collection:t,entryDraft:n}=this.props;ld(t.get("name")),e(n.get("entry"))})),LO(this,"handleDeleteEntry",(()=>{const{entryDraft:e,newEntry:t,collection:n,deleteEntry:r,slug:i,t:o}=this.props;if(e.get("hasChanged")){if(!window.confirm(o("editor.editor.onDeleteWithUnsavedChanges")))return}else if(!window.confirm(o("editor.editor.onDeletePublishedEntry")))return;if(t)return sd(n.get("name"));setTimeout((async()=>(await r(n,i),this.deleteBackup(),sd(n.get("name")))),0)})),LO(this,"handleDeleteUnpublishedChanges",(async()=>{const{entryDraft:e,collection:t,slug:n,deleteUnpublishedEntry:r,loadEntry:i,isModification:o,t:a}=this.props;e.get("hasChanged")&&!window.confirm(a("editor.editor.onDeleteUnpublishedChangesWithUnsavedChanges"))||window.confirm(a("editor.editor.onDeleteUnpublishedChanges"))&&(await r(t.get("name"),n),this.deleteBackup(),o?i(t,n):sd(t.get("name")))}))}componentDidMount(){const{newEntry:e,collection:t,slug:n,loadEntry:r,createEmptyDraft:i,loadEntries:o,retrieveLocalBackup:a,collectionEntriesLoaded:s,t:l}=this.props;a(t,n),e?i(t,this.props.location.search):r(t,n);const u=l("editor.editor.onLeavePage");this.exitBlocker=e=>{if(this.props.entryDraft.get("hasChanged"))return e.returnValue=u,u},window.addEventListener("beforeunload",this.exitBlocker);const c=ad.block(((e,n)=>{const r=this.props.entryDraft.getIn(["entry","isPersisting"]),i=this.props.entryDraft.getIn(["entry","newRecord"]),o=`/collections/${t.get("name")}/new`;if(!r||!i||this.props.location.pathname!==o||"PUSH"!==n)return this.props.hasChanged?u:void 0}));this.unlisten=ad.listen(((e,n)=>{const r=`/collections/${t.get("name")}/new`,i=`/collections/${t.get("name")}/entries/`,{pathname:o}=e;o.startsWith(r)||o.startsWith(i)&&"PUSH"===n||(this.deleteBackup(),c(),this.unlisten())})),s||o(t)}componentDidUpdate(e){if(!e.localBackup&&this.props.localBackup&&(window.confirm(this.props.t("editor.editor.confirmLoadBackup"))?this.props.loadLocalBackup():this.deleteBackup()),this.props.hasChanged&&this.createBackup(this.props.entryDraft.get("entry"),this.props.collection),e.entry===this.props.entry)return;const{newEntry:t,collection:n}=this.props;t&&e.createEmptyDraft(n,this.props.location.search)}componentWillUnmount(){this.createBackup.flush(),this.props.discardDraft(),window.removeEventListener("beforeunload",this.exitBlocker)}deleteBackup(){const{deleteLocalBackup:e,collection:t,slug:n,newEntry:r}=this.props;this.createBackup.cancel(),e(t,!r&&n)}render(){const{entry:e,entryDraft:t,fields:n,collection:r,changeDraftFieldValidation:i,user:o,hasChanged:a,displayUrl:s,hasWorkflow:l,useOpenAuthoring:u,unpublishedEntry:c,newEntry:d,isModification:p,currentStatus:f,logoutUser:h,deployPreview:m,loadDeployPreview:g,draftKey:v,slug:y,t:b,editorBackLink:w}=this.props,_=!d&&!c;return e&&e.get("error")?xn("div",null,xn("h3",null,e.get("error"))):null==t||void 0===t.get("entry")||e&&e.get("isFetching")?xn(_x,{active:!0},b("editor.editor.loadingEntry")):xn(OO,{draftKey:v,entry:t.get("entry"),collection:r,fields:n,fieldsMetaData:t.get("fieldsMetaData"),fieldsErrors:t.get("fieldsErrors"),onChange:this.handleChangeDraftField,onValidate:i,onPersist:this.handlePersistEntry,onDelete:this.handleDeleteEntry,onDeleteUnpublishedChanges:this.handleDeleteUnpublishedChanges,onChangeStatus:this.handleChangeStatus,onPublish:this.handlePublishEntry,unPublish:this.handleUnpublishEntry,onDuplicate:this.handleDuplicateEntry,showDelete:this.props.showDelete,user:o,hasChanged:a,displayUrl:s,hasWorkflow:l,useOpenAuthoring:u,hasUnpublishedChanges:c,isNewEntry:d,isModification:p,currentStatus:f,onLogoutClick:h,deployPreview:m,loadDeployPreview:t=>g(r,y,e,_,t),editorBackLink:w,t:b})}}LO(NO,"propTypes",{changeDraftField:ie().func.isRequired,changeDraftFieldValidation:ie().func.isRequired,collection:Q_().map.isRequired,createDraftDuplicateFromEntry:ie().func.isRequired,createEmptyDraft:ie().func.isRequired,discardDraft:ie().func.isRequired,entry:Q_().map,entryDraft:Q_().map.isRequired,loadEntry:ie().func.isRequired,persistEntry:ie().func.isRequired,deleteEntry:ie().func.isRequired,showDelete:ie().bool.isRequired,fields:Q_().list.isRequired,slug:ie().string,newEntry:ie().bool.isRequired,displayUrl:ie().string,hasWorkflow:ie().bool,useOpenAuthoring:ie().bool,unpublishedEntry:ie().bool,isModification:ie().bool,collectionEntriesLoaded:ie().bool,updateUnpublishedEntryStatus:ie().func.isRequired,publishUnpublishedEntry:ie().func.isRequired,deleteUnpublishedEntry:ie().func.isRequired,logoutUser:ie().func.isRequired,loadEntries:ie().func.isRequired,deployPreview:ie().object,loadDeployPreview:ie().func.isRequired,currentStatus:ie().string,user:ie().object,location:ie().shape({pathname:ie().string,search:ie().string}),hasChanged:ie().bool,t:ie().func.isRequired,retrieveLocalBackup:ie().func.isRequired,localBackup:Q_().map,loadLocalBackup:ie().func,persistLocalBackup:ie().func.isRequired,deleteLocalBackup:ie().func});const jO={changeDraftField:function({field:e,value:t,metadata:n,entries:r,i18n:i}){return{type:rp,payload:{field:e,value:t,metadata:n,entries:r,i18n:i}}},changeDraftFieldValidation:function(e,t){return{type:ip,payload:{uniquefieldId:e,errors:t}}},loadEntry:Cp,loadEntries:Op,loadDeployPreview:function(e,t,n,r,i){return async(o,a)=>{const s=a(),l=am(s.config),u=e.get("name"),c=Km(s,u,t);if(!c||!c.isFetching){o(function(e,t){return{type:Tm,payload:{collection:e,slug:t}}}(u,t));try{const a=r?l.getDeploy(e,t,n):await l.getDeployPreview(e,t,n,i);return o(a?function(e,t,n){const{url:r,status:i}=n;return{type:Om,payload:{collection:e,slug:t,url:r,status:i}}}(u,t,a):Dm(u,t))}catch(e){console.error(e),o(rc({message:{details:e.message,key:"ui.toast.onFailToLoadDeployPreview"},type:"error",dismissAfter:8e3})),o(Dm(u,t))}}}},loadLocalBackup:function(){return{type:sp}},retrieveLocalBackup:function(e,t){return async(n,r)=>{const i=r(),o=am(i.config),{entry:a}=await o.getLocalDraftBackup(e,t);if(a){const t=a.mediaFiles||[],i=await Promise.all(t.map((t=>t.file||t.url?Fa({path:t.path,file:t.file,url:t.url,field:t.field}):od({collection:e,entry:(0,kr.fromJS)(a),path:t.path,field:t.field})(n,r))));return n(ed(i)),n(function(e){return{type:ap,payload:{entry:e}}}(a))}}},persistLocalBackup:function(e,t){return(n,r)=>{const i=r();return am(i.config).persistLocalDraftBackup(e,t)}},deleteLocalBackup:function(e,t){return(n,r)=>{const i=r();return am(i.config).deleteLocalDraftBackup(e,t)}},createDraftDuplicateFromEntry:function(e){return t=>{t(Qu({predicate:({type:e})=>e===tp,run:()=>t(function(e){return{type:lp,payload:ku(e.get("collection"),"","",{data:e.get("data"),mediaFiles:e.get("mediaFiles").toJS()})}}(e))}))}},createEmptyDraft:function(e,t){return async(n,r)=>{new URLSearchParams(t).forEach(((t,n)=>{e=function(e,n,r){const i=Tf(e,n);if(!i)return e;let o=!1;return e=e.set("fields",Of(e.get("fields",(0,kr.List)()),(function(e){const n=e;return n===i?(o=!0,(e=>{return e.set("default",!!["true","True","TRUE"].includes(n=t)||!["false","False","FALSE"].includes(n)&&function(e){return e.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/>/g,"&gt;").replace(/"/g,"&quot;").replace(/'/g,"&#039;")}(n));var n})(n)):n}),(()=>o))),e}(e,n)}));const i=e.get("fields",(0,kr.List)()),o=function(e){return e.filter((e=>!e.get("meta"))).toList()}(i),a=Pp(o),s=function(e){return e.filter((e=>!0===e.get("meta"))).toList()}(i),l=Pp(s),u=r(),c=am(u.config);e.has("media_folder")||await qc(n,r());const d=function(e,t){if(!zf(e))return{};return function(e,t){const{locales:n,defaultLocale:r}=Uf(e);return Object.fromEntries(n.filter((e=>e!==r)).map((e=>[e,{data:t}])))}(e,Pp(t,(function(e){return e.get(jf)!==Bf.DUPLICATE&&e.get(jf)!==Bf.TRANSLATE})))}(e,o);let p=ku(e.get("name"),"","",{data:a,i18n:d,mediaFiles:[],meta:l});p=await c.processEntry(u,e,p),n({type:tp,payload:p})}},discardDraft:function(){return{type:np}},persistEntry:function(e){return async(t,n)=>{const r=n(),i=r.entryDraft,o=i.get("fieldsErrors"),a=Gm(r,e.get("name"));if(!o.isEmpty())return o.some((e=>e.some((e=>e.type&&e.type===Yu.PRESENCE))))&&t(rc({message:{key:"ui.toast.missingRequiredField"},type:"error",dismissAfter:8e3})),Promise.reject();const s=am(r.config),l=i.get("entry"),u=Dp({entry:l}),c=Rp(e,l),d=i.set("entry",c);return t(function(e,t){return{type:up,payload:{collectionName:e.get("name"),entrySlug:t.get("slug")}}}(e,c)),s.persistEntry({config:r.config,collection:e,entryDraft:d,assetProxies:u,usedSlugs:a}).then((async n=>{t(rc({message:{key:"ui.toast.entrySaved"},type:"success",dismissAfter:4e3})),u.length>0&&await t(Oc()),t(function(e,t,n){return{type:cp,payload:{collectionName:e.get("name"),entrySlug:t.get("slug"),slug:n}}}(e,c,n)),e.has("nested")&&await t(Op(e)),l.get("slug")!==n&&(await t(Cp(e,n)),ud(e.get("name"),n))})).catch((n=>(console.error(n),t(rc({message:{details:n,key:"ui.toast.onFailToPersist"},type:"error",dismissAfter:8e3})),Promise.reject(t(function(e,t,n){return{type:dp,error:"Failed to persist entry",payload:{collectionName:e.get("name"),entrySlug:t.get("slug"),error:n.toString()}}}(e,c,n))))))}},deleteEntry:function(e,t){return(n,r)=>{const i=r(),o=am(i.config);return n(function(e,t){return{type:"ENTRY_DELETE_REQUEST",payload:{collectionName:e.get("name"),entrySlug:t}}}(e,t)),o.deleteEntry(i,e,t).then((()=>n(_p(e,t)))).catch((r=>(n(rc({message:{details:r,key:"ui.toast.onFailToDelete"},type:"error",dismissAfter:8e3})),console.error(r),Promise.reject(n(function(e,t,n){return{type:"ENTRY_DELETE_FAILURE",payload:{collectionName:e.get("name"),entrySlug:t,error:n.toString()}}}(e,t,r))))))}},updateUnpublishedEntryStatus:Rd,publishUnpublishedEntry:Md,unpublishPublishedEntry:function(e,t){return(n,r)=>{const i=r(),o=am(i.config),a=Vm(i,e.get("name"),t),s=(0,kr.Map)().set("entry",a);return n(Ad(e,t)),o.deleteEntry(i,e,t).then((()=>o.persistEntry({config:i.config,collection:e,entryDraft:s,assetProxies:[],usedSlugs:(0,kr.List)(),status:ul.get("PENDING_PUBLISH")}))).then((()=>{n(Td(e,a)),n(_p(e,t)),n(Pd(e,t)),n(rc({message:{key:"ui.toast.entryUnpublished"},type:"success",dismissAfter:4e3}))})).catch((t=>{n(rc({message:{key:"ui.toast.onFailToUnpublishEntry",details:t},type:"error",dismissAfter:8e3})),n(Od(t,e,a.get("slug")))}))}},deleteUnpublishedEntry:Id,logoutUser:vm},FO=G((function(e,t){const{collections:n,entryDraft:r,auth:i,config:o,entries:a,globalUI:s}=e,l=t.match.params[0],u=n.get(t.match.params.name),c=u.get("name"),d=!0===t.newRecord,p=_f(u,l),f=d?null:Vm(e,c,l),h=i.user,m=r.get("hasChanged"),g=o.display_url,v=o.publish_mode===ll,y=s.useOpenAuthoring,b=r.getIn(["entry","isModification"]),w=!!a.getIn(["pages",c]),_=Ym(e,c,l),x=Vm(e,c,l),k=_&&_.get("status"),E=Km(e,c,l),C=r.get("localBackup"),S=r.get("key");let A=`/collections/${c}`;if("workflow"===new URLSearchParams(t.location.search).get("ref")&&(A="/workflow"),u.has("nested")&&l){const e=l.split("/");e.length>2&&(A=`${A}/filter/${e.slice(0,-2).join("/")}`)}return{collection:u,collections:n,newEntry:d,entryDraft:r,fields:p,slug:l,entry:f,user:h,hasChanged:m,displayUrl:g,hasWorkflow:v,useOpenAuthoring:y,isModification:b,collectionEntriesLoaded:w,currentStatus:k,deployPreview:E,localBackup:C,draftKey:S,publishedEntry:x,unPublishedEntry:_,editorBackLink:A}}),jO)(function(e){return G(IO,null,MO)(class extends o.Component{render(){return xn(e,this.props)}})}((0,gr.Iu)()(NO))),BO=gb("div",{target:"ew40z3q0",label:"NotFoundContainer"})("margin:",Yn.pageMargin,";");function zO({t:e}){return xn(BO,null,xn("h2",null,e("app.notFoundPage.header")))}zO.propTypes={t:ie().func.isRequired};const UO=(0,gr.Iu)()(zO);function qO(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function $O(){return $O=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},$O.apply(this,arguments)}const WO={buttonActive:En("color:",Kn.active,";;label:buttonActive;",";label:buttonActive;")};function HO(e){return xn("header",$O({css:En(Jn,";position:sticky;width:100%;top:0;background-color:",Kn.foreground,";z-index:",hr.zIndex300,";height:",Yn.topBarHeight,";;label:AppHeader;",";label:css;")},e))}const VO=gb("div",{target:"e1rbzf6g4",label:"AppHeaderContent"})({name:"jxf21e",styles:"display:flex;justify-content:space-between;min-width:800px;max-width:1440px;padding:0 12px;margin:0 auto"}),GO=gb("button",{target:"e1rbzf6g3",label:"AppHeaderButton"})(cr.button,";background:none;color:#7b8290;font-family:inherit;font-size:16px;font-weight:500;display:inline-flex;padding:16px 20px;align-items:center;",O_,"{margin-right:4px;color:#b3b9c4;}&:hover,&:active,&:focus-visible{",WO.buttonActive,";",O_,"{",WO.buttonActive,";}}",(e=>En("&.",e.activeClassName,"{",WO.buttonActive,";",O_,"{",WO.buttonActive,";}};label:AppHeaderButton;",";label:AppHeaderButton;")),";"),KO=GO.withComponent(SE,{target:"e1rbzf6g5",label:"AppHeaderNavLink"}),YO=gb("div",{target:"e1rbzf6g2",label:"AppHeaderActions"})({name:"8irbms",styles:"display:inline-flex;align-items:center"}),ZO=gb(I_,{target:"e1rbzf6g1",label:"AppHeaderQuickNewButton"})(cr.button,";",cr.medium,";",cr.gray,";margin-right:8px;&:after{top:11px;}"),XO=gb("ul",{target:"e1rbzf6g0",label:"AppHeaderNavList"})({name:"1vufzxm",styles:"display:flex;margin:0;list-style:none"});class QO extends o.Component{constructor(...e){super(...e),qO(this,"intervalId",void 0),qO(this,"handleCreatePostClick",(e=>{const{onCreateEntryClick:t}=this.props;t&&t(e)}))}componentDidMount(){this.intervalId=setInterval((()=>{this.props.checkBackendStatus()}),3e5)}componentWillUnmount(){clearInterval(this.intervalId)}render(){const{user:e,collections:t,onLogoutClick:n,openMediaLibrary:r,hasWorkflow:i,displayUrl:o,isTestRepo:a,t:s,showMediaButton:l}=this.props,u=t.filter((e=>e.get("create"))).toList();return xn(HO,null,xn(VO,null,xn("nav",null,xn(XO,null,xn("li",null,xn(KO,{to:"/",activeClassName:"header-link-active",isActive:(e,t)=>t.pathname.startsWith("/collections/")},xn(O_,{type:"page"}),s("app.header.content"))),i&&xn("li",null,xn(KO,{to:"/workflow",activeClassName:"header-link-active"},xn(O_,{type:"workflow"}),s("app.header.workflow"))),l&&xn("li",null,xn(GO,{onClick:r},xn(O_,{type:"media-alt"}),s("app.header.media"))))),xn(YO,null,u.size>0&&xn(j_,{renderButton:()=>xn(ZO,null," ",s("app.header.quickAdd")),dropdownTopOverlap:"30px",dropdownWidth:"160px",dropdownPosition:"left"},u.map((e=>xn(F_,{key:e.get("name"),label:e.get("label_singular")||e.get("label"),onClick:()=>this.handleCreatePostClick(e.get("name"))})))),xn(Z_,{displayUrl:o,isTestRepo:a,imageUrl:null==e?void 0:e.avatar_url,onLogoutClick:n}))))}}qO(QO,"propTypes",{user:ie().object.isRequired,collections:Q_().map.isRequired,onCreateEntryClick:ie().func.isRequired,onLogoutClick:ie().func.isRequired,openMediaLibrary:ie().func.isRequired,hasWorkflow:ie().bool.isRequired,displayUrl:ie().string,isTestRepo:ie().bool,t:ie().func.isRequired,checkBackendStatus:ie().func.isRequired});const JO={checkBackendStatus:function(){return async(e,t)=>{try{const n=t();if(n.status.isFetching)return;e({type:jm});const r=am(n.config),i=await r.status(),o="ui.toast.onBackendDown",a=n.notifications.notifications.filter((e=>{var t;return"string"!=typeof e.message&&(null===(t=e.message)||void 0===t?void 0:t.key)===o}));if(!1===i.api.status)return 0===a.length&&e(rc({message:{details:i.api.statusPage,key:"ui.toast.onBackendDown"},type:"error"})),e(zm(i));if(!0===i.api.status&&a.length>0&&a.forEach((t=>{e(ic(t.id))})),!1===i.auth.status){const t="ui.toast.onLoggedOut",r=n.notifications.notifications.find((e=>{var n;return"string"!=typeof e.message&&(null===(n=e.message)||void 0===n?void 0:n.key)===t}));r||e(rc({message:{key:"ui.toast.onLoggedOut"},type:"error"}))}e(zm(i))}catch(t){e(function(e){return{type:Bm,payload:{error:e}}}(t))}}}},eP=G(null,JO)((0,gr.Iu)()(QO)),tP=["collections","render"];function nP(){return nP=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},nP.apply(this,arguments)}ex().config({barColors:{0:Kn.active,"1.0":Kn.active},shadowBlur:0,barThickness:2});const rP=gb("div",{target:"eh2m33j2",label:"AppMainContainer"})({name:"y0stlg",styles:"min-width:800px;max-width:1440px;margin:0 auto"}),iP=gb("div",{target:"eh2m33j1",label:"ErrorContainer"})({name:"3zy121",styles:"margin:20px"}),oP=gb("pre",{target:"eh2m33j0",label:"ErrorCodeBlock"})({name:"1dps4tx",styles:"margin-left:20px;font-size:15px;line-height:1.5"});function aP(e){const t=e.filter((e=>!0!==e.get("hide"))).first();if(t)return`/collections/${t.get("name")}`;throw new Error("Could not find a non hidden collection")}function sP(e){let{collections:t,render:n}=e,r=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,tP);const i=aP(t);return xn(Ve,nP({},r,{render:e=>t.get(e.match.params.name)?n(e):xn(qe,{to:i})}))}class lP extends o.Component{configError(e){const t=this.props.t;return xn(iP,null,xn("h1",null,t("app.app.errorHeader")),xn("div",null,xn("strong",null,t("app.app.configErrors"),":"),xn(oP,null,e.error),xn("span",null,t("app.app.checkConfigYml"))))}handleLogin(e){this.props.loginUser(e)}authenticating(){const{auth:e,t}=this.props,n=am(this.props.config);return null==n?xn("div",null,xn("h1",null,t("app.app.waitingBackend"))):xn("div",null,xn(xw,null),o.createElement(n.authComponent(),{onLogin:this.handleLogin.bind(this),error:e.error,inProgress:e.isFetching,siteId:this.props.config.backend.site_domain,base_url:this.props.config.backend.base_url,authEndpoint:this.props.config.backend.auth_endpoint,config:this.props.config,clearHash:()=>ad.replace("/"),t}))}handleLinkClick(e,t,...n){e.preventDefault(),t(...n)}render(){const{user:e,config:t,collections:n,logoutUser:r,isFetching:i,publishMode:a,useMediaLibrary:s,openMediaLibrary:l,t:u,showMediaButton:c}=this.props;if(null===t)return null;if(t.error)return this.configError(t);if(t.isFetching)return xn(_x,{active:!0},u("app.app.loadingConfig"));if(null==e)return this.authenticating(u);const d=aP(n),p=a===ll;return xn(o.Fragment,null,xn(xw,null),xn(eP,{user:e,collections:n,onCreateEntryClick:xx,onLogoutClick:r,openMediaLibrary:l,hasWorkflow:p,displayUrl:t.display_url,isTestRepo:"test-repo"===t.backend.name,showMediaButton:c}),xn(rP,null,i&&xn(ex(),null),xn(Ge,null,xn(qe,{exact:!0,from:"/",to:d}),xn(qe,{exact:!0,from:"/search/",to:d}),xn(sP,{exact:!0,collections:n,path:"/collections/:name/search/",render:({match:e})=>xn(qe,{to:`/collections/${e.params.name}`})}),xn(qe,{from:"/error=access_denied&error_description=Signups+not+allowed+for+this+instance",to:d}),p?xn(Ve,{path:"/workflow",component:XS}):null,xn(sP,{exact:!0,collections:n,path:"/collections/:name",render:e=>xn(bS,e)}),xn(sP,{path:"/collections/:name/new",collections:n,render:e=>xn(FO,nP({},e,{newRecord:!0}))}),xn(sP,{path:"/collections/:name/entries/*",collections:n,render:e=>xn(FO,e)}),xn(sP,{path:"/collections/:name/search/:searchTerm",collections:n,render:e=>xn(bS,nP({},e,{isSearchResults:!0,isSingleSearchResult:!0}))}),xn(sP,{collections:n,path:"/collections/:name/filter/:filterTerm*",render:e=>xn(bS,e)}),xn(Ve,{path:"/search/:searchTerm",render:e=>xn(bS,nP({},e,{isSearchResults:!0}))}),xn(sP,{path:"/edit/:name/:entryName",collections:n,render:({match:e})=>{const{name:t,entryName:n}=e.params;return xn(qe,{to:`/collections/${t}/entries/${n}`})}}),xn(Ve,{component:UO})),s?xn(vE,null):null))}}!function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(lP,"propTypes",{auth:ie().object.isRequired,config:ie().object.isRequired,collections:Q_().map.isRequired,loginUser:ie().func.isRequired,logoutUser:ie().func.isRequired,user:ie().object,isFetching:ie().bool.isRequired,publishMode:ie().oneOf([sl,ll]),siteId:ie().string,useMediaLibrary:ie().bool,openMediaLibrary:ie().func.isRequired,showMediaButton:ie().bool,t:ie().func.isRequired});const uP={openMediaLibrary:Sc,loginUser:function(e){return(t,n)=>{const r=n(),i=am(r.config);return t(fm()),i.authenticate(e).then((e=>{e.useOpenAuthoring&&t(gm()),t(hm(e))})).catch((e=>{console.error(e),t(rc({message:{details:e.message,key:"ui.toast.onFailToAuth"},type:"error",dismissAfter:8e3})),t(mm(e))}))}},logoutUser:vm},cP=G((function(e){const{auth:t,config:n,collections:r,globalUI:i,mediaLibrary:o}=e,a=t.user,s=i.isFetching,l=n.publish_mode,u=!o.get("externalLibrary");return{auth:t,config:n,collections:r,user:a,isFetching:s,publishMode:l,showMediaButton:o.get("showMediaButton"),useMediaLibrary:u}}),uP)((0,gr.Iu)()(lP));function dP({field:e,t}){return xn("div",null,t("editor.editorWidgets.unknownControl.noControl",{widget:e.get("widget")}))}dP.propTypes={field:Q_().map,t:ie().func.isRequired};const pP=(0,gr.Iu)()(dP);function fP({field:e,t}){return xn("div",{className:"nc-widgetPreview"},t("editor.editorWidgets.unknownPreview.noPreview",{widget:e.get("widget")}))}function hP(e){return Qm.dispatch(Ac(e,void 0))}fP.propTypes={field:Q_().map,t:ie().func.isRequired},Ms("unknown",pP,(0,gr.Iu)()(fP));const mP=jl()((async function(e,t){const n=qs(e);if(n){const e=await n.init({options:t,handleInsert:hP});Qm.dispatch(function(e){const t={show:e.show||(()=>{}),hide:e.hide||(()=>{}),onClearControl:e.onClearControl||(()=>{}),onRemoveControl:e.onRemoveControl||(()=>{}),enableStandalone:e.enableStandalone||(()=>{})};return{type:uc,payload:t}}(e))}else{const t=new Error(`Missing external media library '${e}'. Please use 'registerMediaLibrary' to register it.`);Qm.dispatch(Nh(t))}}));Qm.subscribe((()=>{const e=Qm.getState();if(e){var t;const n=null===(t=e.config.media_library)||void 0===t?void 0:t.name;if(n&&!e.mediaLibrary.get("externalLibrary")){const t=e.config.media_library;mP(n,t)}}})),__webpack_require__(30762);const gP="nc-root",vP=G((function(e){return{locale:zh(e.config),config:e.config}}))((function({locale:e,config:t}){return xn(gr.oc,{locale:e,messages:tg(e)},xn(Db,{showBackup:!0,config:t},xn(Ne,{history:ad},xn(Ve,{component:cP}))))}));function yP(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function bP(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?yP(Object(n),!0).forEach((function(t){wP(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):yP(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function wP(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const _P=bP(bP({},Ds),{},{init:function(e={}){const{config:t}=e;console.log("decap-cms-core 3.6.0"),Qm.dispatch(jh(t,(function(){Qm.dispatch(((e,t)=>{const n=t(),r=am(n.config);return e(fm()),Promise.resolve(r.currentUser()).then((t=>{t?(t.useOpenAuthoring&&e(gm()),e(hm(t))):e({type:cm})})).catch((t=>{e(mm(t)),e(vm())}))}))}))),(0,s.s)(function(){const e=document.getElementById(gP);if(e)return e;const t=document.createElement("div");return t.id=gP,document.body.appendChild(t),t}()).render(xn((function(){return xn(o.Fragment,null,xn(mr,null),xn(h,{store:Qm},xn(vP,null)))}),null))}}),xP="cms",kP="Automatically generated by Decap CMS",EP="Automatically generated. Merged on Decap CMS.",CP="decap-cms/";function SP(e){return e||CP}function AP(e,t){return e.startsWith(SP(t))}function TP(e,t){return e.slice(SP(t).length)}function OP(e,t){return`${SP(t)}${e}`}function PP(e,t){return`${e}/${t}`}function DP(e){const t=e.indexOf("/");return{collection:e.slice(0,t),slug:e.slice(t+1)}}function RP(e){return e.slice(`${xP}/`.length)}function IP(e){return`${xP}/${e}`}const MP=["token","backend"];function LP(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function NP(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?LP(Object(n),!0).forEach((function(t){jP(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):LP(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function jP(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class FP extends(function(e){function t(){var t=Reflect.construct(e,Array.from(arguments));return Object.setPrototypeOf(t,Object.getPrototypeOf(this)),t}return t.prototype=Object.create(e.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),Object.setPrototypeOf?Object.setPrototypeOf(t,e):t.__proto__=e,t}(Error)){constructor(e,t){super(e),jP(this,"resetSeconds",void 0),this.resetSeconds=t<0?1:t>3600?3600:t}}async function BP(e,t,n=1){e.rateLimiter&&await e.rateLimiter.acquire();try{const n=await e.buildRequest(t),r=e.requestFunction||mo.performRequest,i=await r(n);if(429===i.status){const e=await i.text().catch((()=>"Too many requests"));throw new Error(e)}if(403===i.status){const e=await i.json().catch((()=>({message:""})));if(e.message.match("API rate limit exceeded")){const t=new Date,n=i.headers.has("X-RateLimit-Reset")?parseInt(i.headers.get("X-RateLimit-Reset")):t.getTime()/1e3+60;throw new FP(e.message,n)}i.json=()=>Promise.resolve(e)}return i}catch(r){if(n>5||"Can't refresh access token when using implicit auth"===r.message)throw r;if(!e.rateLimiter){const t=r.resetSeconds||n*n;console.log(`Pausing requests for ${t} ${1===n?"second":"seconds"} due to fetch failures:`,r.message),e.rateLimiter=So(),e.rateLimiter.acquire(),setTimeout((()=>{var t;null===(t=e.rateLimiter)||void 0===t||t.release(),e.rateLimiter=void 0,console.log("Done pausing requests")}),1e3*t)}return BP(e,t,n+1)}}const zP={github:"https://api.github.com",gitlab:"https://gitlab.com/api/v4",bitbucket:"https://api.bitbucket.org/2.0"},UP={buildRequest:e=>e};async function qP(e,t,n=(e=>function(e){const t=e.headers.get("Content-Type");return t&&t.match(/json/)?async function(e){const t=await e.json();return e.ok?t:Promise.reject(t)}(e):e.text().then((t=>e.ok?t:Promise.reject(t)))}(e))){var r;const{token:i,backend:o}=t,a=NP({cache:"no-cache"},function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(t,MP)),s=await async function(e){const{token:t,headers:n}=e,r=NP({"Content-Type":"application/json; charset=utf-8"},n);return t&&(r.Authorization=`Bearer ${t}`),Promise.resolve(r)}({headers:a.headers||{},token:i}),l=function(e,t){if(t){const n=[];for(const e in t)n.push(`${e}=${encodeURIComponent(t[e])}`);n.length&&(e+=`?${n.join("&")}`)}return e}(`${null!==(r=t.apiRoot)&&void 0!==r?r:zP[o]}${e}`,a.params);let u=500;try{const e=mo.fromFetchArguments(l,NP(NP({},a),{},{headers:s})),t=await BP(UP,e);return u=t.status,await n(t)}catch(e){return function(e,t,n){throw new vo(e.message,t,n)}(e,u,o)}}async function $P(e,t,n,r){const i=e?r?`gh.${e}`:`gh.${e}.blob`:null,o=i?await n.getItem(i):null;if(o)return o;const a=await t();return i&&await n.setItem(i,a),a}async function WP(e,t,n){const r=e?function(e){return`gh.${e}.meta`}(e):null,i=r&&await n.getItem(r);if(i)return i;const o=await t();return r&&await n.setItem(r,o),o}const HP=["deploy"];let VP=function(e){return e.Other="other",e.Success="success",e}({});function GP(e,t){return e.find((({context:e})=>function(e,t){return t?e===t:HP.some((t=>e.includes(t)))}(e,t)))}async function KP(e,t,n){const r=function(e){const t=e.split("/");t.pop();return t.reduce(((e,n,r)=>[...e,t.slice(0,r+1).join("/")]),[])}(e),i=await Promise.all(r.map((e=>t(e).then((e=>e.name)).catch((()=>""))))),o=i.filter(Boolean)[0];if(o)throw new vo(`Failed creating branch '${e}' since there is already a branch named '${o}'. Please delete the '${o}' branch and try again`,500,n)}function YP(){const e=xl();return window.sessionStorage.setItem("decap-cms-auth",JSON.stringify({nonce:e})),e}function ZP(e){const t=window.sessionStorage.getItem("decap-cms-auth"),n=t&&JSON.parse(t).nonce;return window.localStorage.removeItem("decap-cms-auth"),e===n}function XP(){return"https:"!==document.location.protocol&&"localhost"!==document.location.hostname&&"127.0.0.1"!==document.location.hostname}const QP=["access_token"];function JP(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function eD(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class tD{constructor(e={}){const t=da()(e.base_url,"/"),n=Oi()(e.auth_endpoint,"/");this.auth_url=`${t}/${n}`,this.appID=e.app_id,this.clearHash=e.clearHash}authenticate(e,t){if(XP())return t(new Error("Cannot authenticate over insecure protocol!"));const n=new URL(this.auth_url);n.searchParams.set("client_id",this.appID),n.searchParams.set("redirect_uri",document.location.origin+document.location.pathname),n.searchParams.set("response_type","token"),n.searchParams.set("scope",e.scope),null!=e.prompt&&null!=e.prompt&&n.searchParams.set("prompt",e.prompt),null!=e.resource&&null!=e.resource&&n.searchParams.set("resource",e.resource);const r=JSON.stringify({auth_type:"implicit",nonce:YP()});n.searchParams.set("state",r),document.location.assign(n.href)}completeAuth(e){const t=new URLSearchParams(document.location.hash.replace(/^#?\/?/,""));if(!t.has("access_token")&&!t.has("error"))return;this.clearHash();const n=(0,kr.Map)(t.entries()),{nonce:r}=JSON.parse(n.get("state"));if(!ZP(r))return e(new Error("Invalid nonce"));if(n.has("error"))return e(new Error(`${n.get("error")}: ${n.get("error_description")}`));if(n.has("access_token")){const t=n.toJS(),{access_token:r}=t;e(null,function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?JP(Object(n),!0).forEach((function(t){eD(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):JP(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({token:r},function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(t,QP)))}}}const nD=gb("a",{target:"e1sptrq41",label:"GoBackButtonStyle"})({name:"1d6fxhx",styles:"display:flex;align-items:center;margin-top:50px;padding:10px;font-size:14px"}),rD=gb("p",{target:"e1sptrq40",label:"ButtonText"})("color:",Mn,";margin:0 10px;");class iD extends o.Component{render(){const{href:e,t}=this.props;return xn(nD,{href:e},xn(O_,{type:"arrow",size:"small"}),xn(rD,null,t("ui.default.goBackToSite")))}}!function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(iD,"propTypes",{href:ie().string.isRequired,t:ie().func.isRequired});const oD=gb("section",{target:"exus10f5",label:"StyledAuthenticationPage"})({name:"14ts7qn",styles:"display:flex;flex-flow:column nowrap;align-items:center;justify-content:center;gap:50px;height:100vh"}),aD=gb("span",{target:"exus10f4",label:"CustomIconWrapper"})({name:"1hhl19u",styles:"width:300px;height:auto"}),sD=gb(O_,{target:"exus10f3",label:"DecapLogoIcon"})({name:"pit38s",styles:"height:auto"}),lD=gb(O_,{target:"exus10f2",label:"NetlifyCreditIcon"})({name:"iy5sn8",styles:"color:#c4c6d2;position:absolute;bottom:10px"});function uD({url:e}){return xn(aD,null,xn("img",{src:e,alt:"Logo"}))}function cD(e){return e?xn(uD,{url:e}):xn(sD,{size:"300px",type:"decap-cms"})}const dD=gb("button",{target:"exus10f1",label:"LoginButton"})(cr.button,";",tr,";",cr.default,";",cr.gray,";&[disabled]{",cr.disabled,";}padding:0 12px;margin-top:0;display:flex;align-items:center;position:relative;"),pD=gb("button",{target:"exus10f0",label:"TextButton"})(cr.button,";",cr.default,";",cr.grayText,";margin-top:0;display:flex;align-items:center;position:relative;");function fD({onLogin:e,loginDisabled:t,loginErrorMessage:n,renderButtonContent:r,renderPageContent:i,logoUrl:o,siteUrl:a,t:s}){return xn(oD,null,cD(o),n?xn("p",null,n):null,i?i({LoginButton:dD,TextButton:pD,showAbortButton:!a}):null,r?xn(dD,{disabled:t,onClick:e},r()):null,a&&xn(iD,{href:a,t:s}),o?xn(lD,{size:"100px",type:"decap-cms"}):null)}function hD(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}fD.propTypes={onLogin:ie().func,logoUrl:ie().string,siteUrl:ie().string,loginDisabled:ie().bool,loginErrorMessage:ie().node,renderButtonContent:ie().func,renderPageContent:ie().func,t:ie().func.isRequired};const mD=gb(O_,{target:"e1n0346u0",label:"LoginButtonIcon"})({name:"1gnqu05",styles:"margin-right:18px"});class gD extends o.Component{constructor(...e){super(...e),hD(this,"state",{}),hD(this,"handleLogin",(e=>{e.preventDefault(),this.auth.authenticate({scope:"vso.code_full,user.read",resource:"499b84ac-1321-427f-aa17-267ca6975798",prompt:"select_account"},((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))}))}componentDidMount(){this.auth=new tD({base_url:`https://login.microsoftonline.com/${this.props.config.backend.tenant_id}`,auth_endpoint:"oauth2/authorize",app_id:this.props.config.backend.app_id,clearHash:this.props.clearHash}),this.auth.completeAuth(((e,t)=>{e?alert(e):this.props.onLogin(t)}))}render(){const{inProgress:e,config:t,t:n}=this.props;return xn(fD,{onLogin:this.handleLogin,loginDisabled:e,loginErrorMessage:this.state.loginError,logoUrl:t.logo_url,renderButtonContent:()=>xn(o.Fragment,null,xn(mD,{type:"azure"}),n(e?"auth.loggingIn":"auth.loginWithAzure")),t:n})}}hD(gD,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool,base_url:ie().string,siteId:ie().string,authEndpoint:ie().string,config:ie().object.isRequired,clearHash:ie().func,t:ie().func.isRequired});var vD=__webpack_require__(36346),yD=__webpack_require__.n(vD),bD=__webpack_require__(48834).Buffer;const wD="3.7.5",_D=wD,xD="function"==typeof atob,kD="function"==typeof btoa,ED="function"==typeof bD,CD="function"==typeof TextDecoder?new TextDecoder:void 0,SD="function"==typeof TextEncoder?new TextEncoder:void 0,AD=Array.prototype.slice.call("ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/="),TD=(e=>{let t={};return e.forEach(((e,n)=>t[e]=n)),t})(AD),OD=/^(?:[A-Za-z\d+\/]{4})*?(?:[A-Za-z\d+\/]{2}(?:==)?|[A-Za-z\d+\/]{3}=?)?$/,PD=String.fromCharCode.bind(String),DD="function"==typeof Uint8Array.from?Uint8Array.from.bind(Uint8Array):e=>new Uint8Array(Array.prototype.slice.call(e,0)),RD=e=>e.replace(/=/g,"").replace(/[+\/]/g,(e=>"+"==e?"-":"_")),ID=e=>e.replace(/[^A-Za-z0-9\+\/]/g,""),MD=e=>{let t,n,r,i,o="";const a=e.length%3;for(let a=0;a<e.length;){if((n=e.charCodeAt(a++))>255||(r=e.charCodeAt(a++))>255||(i=e.charCodeAt(a++))>255)throw new TypeError("invalid character found");t=n<<16|r<<8|i,o+=AD[t>>18&63]+AD[t>>12&63]+AD[t>>6&63]+AD[63&t]}return a?o.slice(0,a-3)+"===".substring(a):o},LD=kD?e=>btoa(e):ED?e=>bD.from(e,"binary").toString("base64"):MD,ND=ED?e=>bD.from(e).toString("base64"):e=>{let t=[];for(let n=0,r=e.length;n<r;n+=4096)t.push(PD.apply(null,e.subarray(n,n+4096)));return LD(t.join(""))},jD=(e,t=!1)=>t?RD(ND(e)):ND(e),FD=e=>{if(e.length<2)return(t=e.charCodeAt(0))<128?e:t<2048?PD(192|t>>>6)+PD(128|63&t):PD(224|t>>>12&15)+PD(128|t>>>6&63)+PD(128|63&t);var t=65536+1024*(e.charCodeAt(0)-55296)+(e.charCodeAt(1)-56320);return PD(240|t>>>18&7)+PD(128|t>>>12&63)+PD(128|t>>>6&63)+PD(128|63&t)},BD=/[\uD800-\uDBFF][\uDC00-\uDFFFF]|[^\x00-\x7F]/g,zD=e=>e.replace(BD,FD),UD=ED?e=>bD.from(e,"utf8").toString("base64"):SD?e=>ND(SD.encode(e)):e=>LD(zD(e)),qD=(e,t=!1)=>t?RD(UD(e)):UD(e),$D=e=>qD(e,!0),WD=/[\xC0-\xDF][\x80-\xBF]|[\xE0-\xEF][\x80-\xBF]{2}|[\xF0-\xF7][\x80-\xBF]{3}/g,HD=e=>{switch(e.length){case 4:var t=((7&e.charCodeAt(0))<<18|(63&e.charCodeAt(1))<<12|(63&e.charCodeAt(2))<<6|63&e.charCodeAt(3))-65536;return PD(55296+(t>>>10))+PD(56320+(1023&t));case 3:return PD((15&e.charCodeAt(0))<<12|(63&e.charCodeAt(1))<<6|63&e.charCodeAt(2));default:return PD((31&e.charCodeAt(0))<<6|63&e.charCodeAt(1))}},VD=e=>e.replace(WD,HD),GD=e=>{if(e=e.replace(/\s+/g,""),!OD.test(e))throw new TypeError("malformed base64.");e+="==".slice(2-(3&e.length));let t,n,r,i="";for(let o=0;o<e.length;)t=TD[e.charAt(o++)]<<18|TD[e.charAt(o++)]<<12|(n=TD[e.charAt(o++)])<<6|(r=TD[e.charAt(o++)]),i+=64===n?PD(t>>16&255):64===r?PD(t>>16&255,t>>8&255):PD(t>>16&255,t>>8&255,255&t);return i},KD=xD?e=>atob(ID(e)):ED?e=>bD.from(e,"base64").toString("binary"):GD,YD=ED?e=>DD(bD.from(e,"base64")):e=>DD(KD(e).split("").map((e=>e.charCodeAt(0)))),ZD=e=>YD(QD(e)),XD=ED?e=>bD.from(e,"base64").toString("utf8"):CD?e=>CD.decode(YD(e)):e=>VD(KD(e)),QD=e=>ID(e.replace(/[-_]/g,(e=>"-"==e?"+":"/"))),JD=e=>XD(QD(e)),eR=e=>({value:e,enumerable:!1,writable:!0,configurable:!0}),tR=function(){const e=(e,t)=>Object.defineProperty(String.prototype,e,eR(t));e("fromBase64",(function(){return JD(this)})),e("toBase64",(function(e){return qD(this,e)})),e("toBase64URI",(function(){return qD(this,!0)})),e("toBase64URL",(function(){return qD(this,!0)})),e("toUint8Array",(function(){return ZD(this)}))},nR=function(){const e=(e,t)=>Object.defineProperty(Uint8Array.prototype,e,eR(t));e("toBase64",(function(e){return jD(this,e)})),e("toBase64URI",(function(){return jD(this,!0)})),e("toBase64URL",(function(){return jD(this,!0)}))},rR={version:wD,VERSION:_D,atob:KD,atobPolyfill:GD,btoa:LD,btoaPolyfill:MD,fromBase64:JD,toBase64:qD,encode:qD,encodeURI:$D,encodeURL:$D,utob:zD,btou:VD,decode:JD,isValid:e=>{if("string"!=typeof e)return!1;const t=e.replace(/\s+/g,"").replace(/={0,2}$/,"");return!/[^\s0-9a-zA-Z\+/]/.test(t)||!/[^\s0-9a-zA-Z\-_]/.test(t)},fromUint8Array:jD,toUint8Array:ZD,extendString:tR,extendUint8Array:nR,extendBuiltins:()=>{tR(),nR()}};function iR(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function oR(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?iR(Object(n),!0).forEach((function(t){aR(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):iR(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function aR(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const sR="Azure DevOps",lR="api-version";var uR=function(e){return e.ERROR="error",e.FAILED="failed",e.NOT_APPLICABLE="notApplicable",e.NOT_SET="notSet",e.PENDING="pending",e.SUCCEEDED="succeeded",e}(uR||{}),cR=function(e){return e.ADD="add",e.DELETE="delete",e.RENAME="rename",e.EDIT="edit",e}(cR||{}),dR=function(e){return e.BASE64="base64encoded",e}(dR||{}),pR=function(e){return e.ACTIVE="active",e.COMPLETED="completed",e.ABANDONED="abandoned",e}(pR||{}),fR=function(e){return e.CONFLICTS="conflicts",e.FAILURE="failure",e.QUEUED="queued",e.REJECTED="rejectedByPolicy",e.SUCCEEDED="succeeded",e}(fR||{}),hR=function(e){return e.BLOB="blob",e.TREE="tree",e}(hR||{});function mR(e){return new Promise((t=>setTimeout(t,e)))}function gR(e){switch(e.action){case cR.ADD:return{changeType:cR.ADD,item:{path:e.path},newContent:{content:e.base64Content,contentType:dR.BASE64}};case cR.EDIT:return{changeType:cR.EDIT,item:{path:e.path},newContent:{content:e.base64Content,contentType:dR.BASE64}};case cR.DELETE:return{changeType:cR.DELETE,item:{path:e.path}};case cR.RENAME:return{changeType:cR.RENAME,item:{path:e.path},sourceServerItem:e.oldPath};default:return{}}}class vR{constructor(e,t){aR(this,"apiVersion",void 0),aR(this,"token",void 0),aR(this,"branch",void 0),aR(this,"mergeStrategy",void 0),aR(this,"endpointUrl",void 0),aR(this,"initialWorkflowStatus",void 0),aR(this,"cmsLabelPrefix",void 0),aR(this,"withHeaders",(e=>mo.withHeaders({Authorization:`Bearer ${this.token}`,"Content-Type":"application/json; charset=utf-8"},e))),aR(this,"withAzureFeatures",(e=>e.hasIn(["params",lR])?e:mo.withParams({[lR]:`${this.apiVersion}`},e))),aR(this,"buildRequest",(e=>{const t=this.withHeaders(e),n=this.withAzureFeatures(t);return n.has("cache")?n:mo.withNoCache(n)})),aR(this,"request",(e=>{try{return BP(this,e)}catch(e){throw new vo(e.message,null,sR)}})),aR(this,"responseToJSON",_o({format:"json",apiName:sR})),aR(this,"responseToBlob",_o({format:"blob",apiName:sR})),aR(this,"responseToText",_o({format:"text",apiName:sR})),aR(this,"requestJSON",(e=>this.request(e).then(this.responseToJSON))),aR(this,"requestText",(e=>this.request(e).then(this.responseToText))),aR(this,"toBase64",(e=>Promise.resolve(rR.encode(e)))),aR(this,"fromBase64",(e=>rR.decode(e))),aR(this,"branchToRef",(e=>`refs/heads/${e}`)),aR(this,"refToBranch",(e=>e.slice(11))),aR(this,"user",(async()=>{var e,t,n,r,i,o,a;const s=await this.requestJSON({url:"https://app.vssps.visualstudio.com/_apis/profile/profiles/me",params:{[lR]:"6.1-preview.2"}}),l=null===(e=s.coreAttributes)||void 0===e||null===(t=e.DisplayName)||void 0===t?void 0:t.value,u=null===(n=s.coreAttributes)||void 0===n||null===(r=n.EmailAddress)||void 0===r?void 0:r.value;return{name:l||u||"",avatar_url:`data:image/png;base64,${null===(i=s.coreAttributes)||void 0===i||null===(o=i.Avatar)||void 0===o||null===(a=o.value)||void 0===a?void 0:a.value}`,email:u}})),aR(this,"readFile",((e,t,{parseText:n=!0,branch:r=this.branch}={})=>$P(t,(()=>this.request({url:`${this.endpointUrl}/items/`,params:{version:r,path:e},cache:"no-store"}).then(n?this.responseToText:this.responseToBlob)),Fo,n))),aR(this,"listFiles",(async(e,t,n=this.branch)=>{try{const{value:r}=await this.requestJSON({url:`${this.endpointUrl}/items/`,params:{version:n,scopePath:e,recursionLevel:t?"full":"oneLevel"}});return r.filter((e=>e.gitObjectType===hR.BLOB)).map((e=>({id:e.objectId,path:il()(e.path,"/"),name:(0,sa.basename)(e.path)})))}catch(e){if(e&&404===e.status)return console.log("This 404 was expected and handled appropriately."),[];throw e}}));const{repo:n}=e,r=Oi()(e.apiRoot,"/");this.endpointUrl=`${r}/${n.org}/${n.project}/_apis/git/repositories/${n.repoName}`,this.token=t,this.branch=e.branch,this.mergeStrategy=e.squashMerges?"squash":"noFastForward",this.initialWorkflowStatus=e.initialWorkflowStatus,this.apiVersion=e.apiVersion,this.cmsLabelPrefix=e.cmsLabelPrefix}async readFileMetadata(e,t,{branch:n=this.branch}={}){return await WP(t,(async()=>{try{const{value:t}=await this.requestJSON({url:`${this.endpointUrl}/commits/`,params:{"searchCriteria.itemPath":e,"searchCriteria.itemVersion.version":n,"searchCriteria.$top":1}}),[r]=t;return{author:r.author.name||r.author.email,updatedOn:r.author.date}}catch(e){return{author:"",updatedOn:""}}}),Fo)}async getRef(e=this.branch){const{value:t}=await this.requestJSON({url:`${this.endpointUrl}/refs`,params:{$top:"1",filter:"heads/"+e}});return t.find((t=>t.name==this.branchToRef(e)))}async deleteRef(e){const t=[{name:e.name,oldObjectId:e.objectId,newObjectId:"0000000000000000000000000000000000000000"}];await this.requestJSON({method:"POST",url:`${this.endpointUrl}/refs`,body:JSON.stringify(t)})}async uploadAndCommit(e,t,n,r){const i=await this.getRef(r?this.branch:n),o={refUpdates:[{name:this.branchToRef(n),oldObjectId:i.objectId}],commits:[{comment:t,changes:e.map((e=>gR(e)))}]};return this.requestJSON({url:`${this.endpointUrl}/pushes`,method:"POST",body:JSON.stringify(o)})}async retrieveUnpublishedEntryData(e){var t,n;const{collection:r,slug:i}=DP(e),o=IP(e),a=await this.getBranchPullRequest(o),s=await this.getDifferences(a.sourceRefName),l=await Promise.all(s.map((async e=>{const t=il()(e.item.path,"/"),n=e.changeType===cR.ADD;return{id:e.item.objectId,path:t,newFile:n}}))),u=a.labels.find((e=>AP(e.name,this.cmsLabelPrefix)));return{collection:r,slug:i,status:TP(u&&u.name?u.name:this.cmsLabelPrefix,this.cmsLabelPrefix),diffs:l,updatedAt:a.closedDate?a.closedDate:a.creationDate,pullRequestAuthor:(null===(t=a.createdBy)||void 0===t?void 0:t.displayName)||(null===(n=a.createdBy)||void 0===n?void 0:n.uniqueName)}}async getPullRequestStatues(e){const{value:t}=await this.requestJSON({url:`${this.endpointUrl}/pullrequests/${e.pullRequestId}/commits`,params:{$top:1}}),{value:n}=await this.requestJSON({url:`${this.endpointUrl}/commits/${t[0].commitId}/statuses`,params:{latestOnly:!0}});return n}async getStatuses(e,t){const n=IP(PP(e,t)),r=await this.getBranchPullRequest(n);return(await this.getPullRequestStatues(r)).map((({context:e,state:t,targetUrl:n})=>({context:e.name,state:t===uR.SUCCEEDED?VP.Success:VP.Other,target_url:n})))}async getCommitItems(e,t){const n=await Promise.all(e.map((async e=>{const[n,r]=await Promise.all([yD()(e,"toBase64",gC()(this.toBase64,e.raw)),this.isFileExists(e.path,t)]),i=e.newPath||e.path,o=e.path,a=i!==o?cR.RENAME:cR.EDIT;return{action:r?a:cR.ADD,base64Content:n,path:i,oldPath:o}})));for(const e of n.filter((e=>e.oldPath&&e.action===cR.RENAME))){const r=(0,sa.dirname)(e.oldPath),i=(0,sa.dirname)(e.path);(await this.listFiles(r,!0,t)).filter((t=>t.path!==e.oldPath)).forEach((e=>{n.push({action:cR.RENAME,path:e.path.replace(r,i),oldPath:e.path})}))}return n}async persistFiles(e,t,n){const r=[...e,...t];if(n.useWorkflow){const t=e[0].slug;return this.editorialWorkflowGit(r,t,n)}{const e=await this.getCommitItems(r,this.branch);return this.uploadAndCommit(e,n.commitMessage,this.branch,!0)}}async deleteFiles(e,t){const n=await this.getRef(this.branch),r={refUpdates:[{name:n.name,oldObjectId:n.objectId}],commits:[{comment:t,changes:e.map((e=>gR({action:cR.DELETE,path:e})))}]};return this.requestJSON({url:`${this.endpointUrl}/pushes`,method:"POST",body:JSON.stringify(r)})}async getPullRequests(e){const{value:t}=await this.requestJSON({url:`${this.endpointUrl}/pullrequests`,params:oR({"searchCriteria.status":"active","searchCriteria.targetRefName":this.branchToRef(this.branch),"searchCriteria.includeLinks":!1},e?{"searchCriteria.sourceRefName":this.branchToRef(e)}:{})});return t.filter((e=>e.labels.some((e=>AP(e.name,this.cmsLabelPrefix)))))}async listUnpublishedBranches(){return(await this.getPullRequests()).map((e=>this.refToBranch(e.sourceRefName)))}async isFileExists(e,t){try{return await this.requestText({url:`${this.endpointUrl}/items/`,params:{version:t,path:e},cache:"no-store"}),!0}catch(e){if(e instanceof vo&&404===e.status)return!1;throw e}}async createPullRequest(e,t,n){const r={sourceRefName:this.branchToRef(e),targetRefName:this.branchToRef(this.branch),title:t,description:kP,labels:[{name:OP(n,this.cmsLabelPrefix)}]};await this.requestJSON({method:"POST",url:`${this.endpointUrl}/pullrequests`,params:{supportsIterations:!1},body:JSON.stringify(r)})}async getBranchPullRequest(e){const t=await this.getPullRequests(e);if(t.length<=0)throw new Oo("content is not under editorial workflow",!0);return t[0]}async getDifferences(e){return(await this.requestJSON({url:`${this.endpointUrl}/diffs/commits`,params:{baseVersion:this.branch,targetVersion:this.refToBranch(e)}})).changes.filter((e=>e.item.gitObjectType===hR.BLOB&&Object.values(cR).includes(e.changeType)))}async editorialWorkflowGit(e,t,n){const r=IP(PP(n.collectionName,t));if(n.unpublished){const t=await this.getCommitItems(e,r);await this.uploadAndCommit(t,n.commitMessage,r,!1)}else{const t=await this.getCommitItems(e,this.branch);await this.uploadAndCommit(t,n.commitMessage,r,!0),await this.createPullRequest(r,n.commitMessage,n.status||this.initialWorkflowStatus)}}async updateUnpublishedEntryStatus(e,t,n){const r=IP(PP(e,t)),i=await this.getBranchPullRequest(r),o=[...i.labels.filter((e=>!AP(e.name,this.cmsLabelPrefix))).map((e=>e.name)),OP(n,this.cmsLabelPrefix)];await this.updatePullRequestLabels(i,o)}async deleteUnpublishedEntry(e,t){const n=IP(PP(e,t)),r=await this.getBranchPullRequest(n);await this.abandonPullRequest(r)}async publishUnpublishedEntry(e,t){const n=IP(PP(e,t)),r=await this.getBranchPullRequest(n);await this.completePullRequest(r)}async updatePullRequestLabels(e,t){const n=e.labels.filter((e=>AP(e.name,this.cmsLabelPrefix)));await Promise.all(n.map((t=>this.requestText({method:"DELETE",url:`${this.endpointUrl}/pullrequests/${encodeURIComponent(e.pullRequestId)}/labels/${encodeURIComponent(t.id)}`})))),await Promise.all(t.map((t=>this.requestText({method:"POST",url:`${this.endpointUrl}/pullrequests/${encodeURIComponent(e.pullRequestId)}/labels`,body:JSON.stringify({name:t})}))))}async completePullRequest(e){const t={status:pR.COMPLETED,lastMergeSourceCommit:e.lastMergeSourceCommit,completionOptions:{deleteSourceBranch:!0,mergeCommitMessage:EP,mergeStrategy:this.mergeStrategy}};let n=await this.requestJSON({method:"PATCH",url:`${this.endpointUrl}/pullrequests/${encodeURIComponent(e.pullRequestId)}`,body:JSON.stringify(t)}),r=1;for(;n.mergeStatus===fR.QUEUED&&r<=10;)await mR(500),n=await this.requestJSON({url:`${this.endpointUrl}/pullrequests/${encodeURIComponent(e.pullRequestId)}`}),r+=1}async abandonPullRequest(e){const t={status:pR.ABANDONED};await this.requestJSON({method:"PATCH",url:`${this.endpointUrl}/pullrequests/${encodeURIComponent(e.pullRequestId)}`,body:JSON.stringify(t)}),await this.deleteRef({name:e.sourceRefName,objectId:e.lastMergeSourceCommit.commitId})}}function yR(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function bR(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?yR(Object(n),!0).forEach((function(t){wR(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):yR(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function wR(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class _R{constructor(e){this.err=e}toString(){return this.err&&this.err.message}}const xR={github:{width:960,height:600},gitlab:{width:960,height:600},bitbucket:{width:960,height:500},email:{width:500,height:400}},kR=class{constructor(e={}){this.site_id=e.site_id||null,this.base_url=da()(e.base_url,"/")||"https://api.netlify.com",this.auth_endpoint=Oi()(e.auth_endpoint,"/")||"auth"}handshakeCallback(e,t){const n=r=>{if(r.data==="authorizing:"+e.provider&&r.origin===this.base_url)return window.removeEventListener("message",n,!1),window.addEventListener("message",this.authorizeCallback(e,t),!1),this.authWindow.postMessage(r.data,r.origin)};return n}authorizeCallback(e,t){const n=r=>{if(r.origin===this.base_url){if(0===r.data.indexOf("authorization:"+e.provider+":success:")){const i=JSON.parse(r.data.match(new RegExp("^authorization:"+e.provider+":success:(.+)$"))[1]);window.removeEventListener("message",n,!1),this.authWindow.close(),t(null,i)}if(0===r.data.indexOf("authorization:"+e.provider+":error:")){const i=JSON.parse(r.data.match(new RegExp("^authorization:"+e.provider+":error:(.+)$"))[1]);window.removeEventListener("message",n,!1),this.authWindow.close(),t(new _R(i))}}};return n}getSiteID(){if(this.site_id)return this.site_id;const e=document.location.host.split(":")[0];return"localhost"===e?"demo.decapcms.org":e}authenticate(e,t){const{provider:n}=e,r=this.getSiteID();if(!n)return t(new _R({message:"You must specify a provider when calling netlify.authenticate"}));if(!r)return t(new _R({message:"You must set a site_id with netlify.configure({site_id: 'your-site-id'}) to make authentication work from localhost"}));const i=xR[n]||xR.github,o=screen.width/2-i.width/2,a=screen.height/2-i.height/2;window.addEventListener("message",this.handshakeCallback(e,t),!1);let s=`${this.base_url}/${this.auth_endpoint}?provider=${e.provider}&site_id=${r}`;e.scope&&(s+="&scope="+e.scope),!0===e.login&&(s+="&login=true"),e.beta_invite&&(s+="&beta_invite="+e.beta_invite),e.invite_code&&(s+="&invite_code="+e.invite_code),this.authWindow=window.open(s,"Netlify Authorization",`width=${i.width}, height=${i.height}, top=${a}, left=${o}`),this.authWindow.focus()}refresh(e,t){const{provider:n,refresh_token:r}=e,i=this.getSiteID(),o=t||Promise.reject.bind(Promise);if(!n||!r)return o(new _R({message:"You must specify a provider and refresh token when calling netlify.refresh"}));if(!i)return o(new _R({message:"You must set a site_id with netlify.configure({site_id: 'your-site-id'}) to make token refresh work from localhost"}));const a=`${this.base_url}/${this.auth_endpoint}/refresh?provider=${n}&site_id=${i}&refresh_token=${r}`,s=fetch(a,{method:"POST",body:""}).then((e=>e.json()));if(!t)return s;s.then((e=>t(null,e))).catch(t)}};function ER(){return ER=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},ER.apply(this,arguments)}function CR(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const SR=gb(O_,{target:"e1ko648l2",label:"LoginButtonIcon"})({name:"1gnqu05",styles:"margin-right:18px"}),AR=gb("div",{target:"e1ko648l1",label:"ForkApprovalContainer"})({name:"13alw87",styles:"display:flex;flex-flow:column nowrap;justify-content:space-around;flex-grow:0.2"}),TR=gb("div",{target:"e1ko648l0",label:"ForkButtonsContainer"})({name:"125bg8c",styles:"display:flex;flex-flow:column nowrap;justify-content:space-around;align-items:center"});class OR extends o.Component{constructor(...e){super(...e),CR(this,"state",{}),CR(this,"getPermissionToFork",(()=>new Promise(((e,t)=>{this.setState({requestingFork:!0,approveFork:()=>{this.setState({requestingFork:!1}),e()},refuseFork:()=>{this.setState({requestingFork:!1}),t()}})})))),CR(this,"handleLogin",(e=>{e.preventDefault();const t={base_url:this.props.base_url,site_id:"localhost"===document.location.host.split(":")[0]?"demo.decapcms.org":this.props.siteId,auth_endpoint:this.props.authEndpoint},n=new kR(t),{open_authoring:r=!1,auth_scope:i=""}=this.props.config.backend,o=i||(r?"public_repo":"repo");n.authenticate({provider:"github",scope:o},((e,t)=>{if(!e)return r?this.loginWithOpenAuthoring(t).then((()=>this.props.onLogin(t))):void this.props.onLogin(t);this.setState({loginError:e.toString()})}))})),CR(this,"renderLoginButton",(()=>{const{inProgress:e,t}=this.props;return e||this.state.findingFork?t("auth.loggingIn"):xn(o.Fragment,null,xn(SR,{type:"github"}),t("auth.loginWithGitHub"))}))}loginWithOpenAuthoring(e){const{backend:t}=this.props;return this.setState({findingFork:!0}),t.authenticateWithFork({userData:e,getPermissionToFork:this.getPermissionToFork}).catch((e=>{throw this.setState({findingFork:!1}),console.error(e),e}))}getAuthenticationPageRenderArgs(){const{requestingFork:e}=this.state;if(e){const{approveFork:e,refuseFork:t}=this.state;return{renderPageContent:({LoginButton:n,TextButton:r,showAbortButton:i})=>xn(AR,null,xn("p",null,"Open Authoring is enabled: we need to use a fork on your github account. (If a fork already exists, we'll use that.)"),xn(TR,null,xn(n,{onClick:e},"Fork the repo"),i&&xn(r,{onClick:t},"Don't fork the repo")))}}return{renderButtonContent:this.renderLoginButton}}render(){const{inProgress:e,config:t,t:n}=this.props,{loginError:r,requestingFork:i,findingFork:o}=this.state;return xn(fD,ER({onLogin:this.handleLogin,loginDisabled:e||o||i,loginErrorMessage:r,logoUrl:t.logo_url,siteUrl:t.site_url},this.getAuthenticationPageRenderArgs(),{t:n}))}}CR(OR,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool,base_url:ie().string,siteId:ie().string,authEndpoint:ie().string,config:ie().object.isRequired,clearHash:ie().func,t:ie().func.isRequired});var PR=__webpack_require__(56974),DR=__webpack_require__.n(PR),RR=__webpack_require__(87613),IR=__webpack_require__.n(RR);function MR(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function LR(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?MR(Object(n),!0).forEach((function(t){NR(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):MR(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function NR(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const jR="GitHub",FR=-1;var BR=function(e){return e.Error="error",e.Failure="failure",e.Pending="pending",e.Success="success",e}(BR||{});let zR=function(e){return e.Open="open",e.Closed="closed",e.All="all",e}({});function UR(e,t){return e.labels.some((e=>AP(e.name,t)))}function qR(e){return e.reduce(((e,t)=>("removed"===t.status?e.push({sha:null,path:t.filename}):"renamed"===t.status?(e.push({sha:null,path:t.previous_filename}),e.push({sha:t.sha,path:t.filename})):e.push({sha:t.sha,path:t.filename}),e)),[])}let $R=!1;class WR{constructor(e){NR(this,"apiRoot",void 0),NR(this,"token",void 0),NR(this,"tokenKeyword",void 0),NR(this,"branch",void 0),NR(this,"useOpenAuthoring",void 0),NR(this,"repo",void 0),NR(this,"originRepo",void 0),NR(this,"repoOwner",void 0),NR(this,"repoName",void 0),NR(this,"originRepoOwner",void 0),NR(this,"originRepoName",void 0),NR(this,"repoURL",void 0),NR(this,"originRepoURL",void 0),NR(this,"mergeMethod",void 0),NR(this,"initialWorkflowStatus",void 0),NR(this,"cmsLabelPrefix",void 0),NR(this,"baseUrl",void 0),NR(this,"getUser",void 0),NR(this,"_userPromise",void 0),NR(this,"_metadataSemaphore",void 0),NR(this,"commitAuthor",void 0),NR(this,"filterOpenAuthoringBranches",(async e=>{try{const t=await this.getBranchPullRequest(e),{state:n,merged_at:r}=t;return t.number!==FR&&n===zR.Closed&&r?(await this.deleteBranch(e),{branch:e,filter:!1}):{branch:e,filter:!0}}catch(t){return{branch:e,filter:!1}}})),this.apiRoot=e.apiRoot||"https://api.github.com",this.token=e.token||"",this.tokenKeyword=e.tokenKeyword||"token",this.branch=e.branch||"master",this.useOpenAuthoring=e.useOpenAuthoring,this.repo=e.repo||"",this.originRepo=e.originRepo||this.repo,this.repoURL=`/repos/${this.repo}`,this.originRepoURL=`/repos/${this.originRepo}`;const[t,n]=[this.repo.split("/"),this.originRepo.split("/")];this.repoOwner=t[0],this.repoName=t[1],this.originRepoOwner=n[0],this.originRepoName=n[1],this.mergeMethod=e.squashMerges?"squash":"merge",this.cmsLabelPrefix=e.cmsLabelPrefix,this.initialWorkflowStatus=e.initialWorkflowStatus,this.baseUrl=e.baseUrl,this.getUser=e.getUser}user(){return this._userPromise||(this._userPromise=this.getUser({token:this.token})),this._userPromise}async hasWriteAccess(){try{const e=await this.request(this.repoURL);return this.repoOwner=e.owner.login,e.permissions.push}catch(e){throw console.error("Problem fetching repo data from GitHub"),e}}reset(){}requestHeaders(e={}){const t=LR({"Content-Type":"application/json; charset=utf-8"},e);return this.token?(t.Authorization=`${this.tokenKeyword} ${this.token}`,Promise.resolve(t)):Promise.resolve(t)}parseJsonResponse(e){return e.json().then((t=>e.ok?t:Promise.reject(t)))}urlFor(e,t){const n=[];if(t.params)for(const e in t.params)n.push(`${e}=${encodeURIComponent(t.params[e])}`);return n.length&&(e+=`?${n.join("&")}`),this.apiRoot+e}parseResponse(e){const t=e.headers.get("Content-Type");return t&&t.match(/json/)?this.parseJsonResponse(e):e.text().then((t=>e.ok?t:Promise.reject(t)))}handleRequestError(e,t){throw new vo(e.message,t,jR)}buildRequest(e){return e}async request(e,t={},n=(e=>this.parseResponse(e))){t=LR({cache:"no-cache"},t);const r=await this.requestHeaders(t.headers||{}),i=this.urlFor(e,t);let o=500;try{const e=mo.fromFetchArguments(i,LR(LR({},t),{},{headers:r})),a=await BP(this,e);return o=a.status,await n(a)}catch(e){return this.handleRequestError(e,o)}}nextUrlProcessor(){return e=>e}async requestAllPages(e,t={}){t=LR({cache:"no-cache"},t);const n=await this.requestHeaders(t.headers||{}),r=this.urlFor(e,t),i=await ko(r,LR(LR({},t),{},{headers:n}),"next",this.nextUrlProcessor()),o=await Promise.all(i.map((e=>this.parseResponse(e))));return[].concat(...o)}generateContentKey(e,t){const n=PP(e,t);return this.useOpenAuthoring?`${this.repo}/${n}`:n}parseContentKey(e){return this.useOpenAuthoring?DP(e.slice(this.repo.length+1)):DP(e)}checkMetadataRef(){return this.request(`${this.repoURL}/git/refs/meta/_decap_cms`).then((e=>e.object)).catch((()=>this.uploadBlob({raw:"# Decap CMS\n\nThis tree is used by the Decap CMS to store metadata information for specific files and branches."}).then((e=>this.request(`${this.repoURL}/git/trees`,{method:"POST",body:JSON.stringify({tree:[{path:"README.md",mode:"100644",type:"blob",sha:e.sha}]})}))).then((e=>this.commit("First Commit",e))).then((e=>this.createRef("meta","_decap_cms",e.sha))).then((e=>e.object))))}async storeMetadata(e,t){return this._metadataSemaphore||(this._metadataSemaphore=Co()(1)),new Promise(((n,r)=>{var i;return null===(i=this._metadataSemaphore)||void 0===i?void 0:i.take((async()=>{try{var i;const r=await this.checkMetadataRef(),o={path:`${e}.json`,raw:JSON.stringify(t)};await this.uploadBlob(o);const a=await this.updateTree(r.sha,[o]),{sha:s}=await this.commit(`Updating “${e}” metadata`,a);await this.patchRef("meta","_decap_cms",s),await Fo.setItem(`gh.meta.${e}`,{expires:Date.now()+3e5,data:t}),null===(i=this._metadataSemaphore)||void 0===i||i.leave(),n()}catch(e){r(e)}}))}))}deleteMetadata(e){return this._metadataSemaphore||(this._metadataSemaphore=Co()(1)),new Promise((t=>{var n;return null===(n=this._metadataSemaphore)||void 0===n?void 0:n.take((async()=>{try{var n;const r=await this.checkMetadataRef(),i={path:`${e}.json`,sha:null},o=await this.updateTree(r.sha,[i]),{sha:a}=await this.commit(`Deleting “${e}” metadata`,o);await this.patchRef("meta","_decap_cms",a),null===(n=this._metadataSemaphore)||void 0===n||n.leave(),t()}catch(e){var r;null===(r=this._metadataSemaphore)||void 0===r||r.leave(),t()}}))}))}async retrieveMetadataOld(e){console.log("%c Checking for MetaData files","line-height: 30px;text-align: center;font-weight: bold");const t={params:{ref:"refs/meta/_decap_cms"},headers:{Accept:"application/vnd.github.v3.raw"}};function n(t){throw"Not Found"===t.message&&console.log("%c %s does not have metadata","line-height: 30px;text-align: center;font-weight: bold",e),t}if(!this.useOpenAuthoring)return await this.request(`${this.repoURL}/contents/${e}.json`,t).then((e=>JSON.parse(e))).catch(n);const[r,i]=e.split("/");return this.request(`/repos/${r}/${i}/contents/${e}.json`,t).then((e=>JSON.parse(e))).catch(n)}async getPullRequests(e,t,n){return(await this.requestAllPages(`${this.originRepoURL}/pulls`,{params:LR(LR({},e?{head:await this.getHeadReference(e)}:{}),{},{base:this.branch,state:t,per_page:100})})).filter((e=>e.head.ref.startsWith(`${xP}/`)&&n(e)))}async getOpenAuthoringPullRequest(e,t){const n=await this.getBranch(e).catch((()=>{throw new Oo("content is not under editorial workflow",!0)})),r=t.filter((e=>e.head.sha===n.commit.sha))[0];if(r){r.labels=r.labels.filter((e=>!AP(e.name,this.cmsLabelPrefix)));const e=r.state===zR.Closed?{name:OP(this.initialWorkflowStatus,this.cmsLabelPrefix)}:{name:OP("pending_review",this.cmsLabelPrefix)};return r.labels.push(e),r}try{return{head:{sha:n.commit.sha},number:FR,labels:[{name:OP(this.initialWorkflowStatus,this.cmsLabelPrefix)}],state:zR.Open}}catch(e){throw new Oo("content is not under editorial workflow",!0)}}async getBranchPullRequest(e){if(this.useOpenAuthoring){const t=await this.getPullRequests(e,zR.All,(()=>!0));return this.getOpenAuthoringPullRequest(e,t)}{const t=await this.getPullRequests(e,zR.Open,(e=>UR(e,this.cmsLabelPrefix)));if(t.length<=0)throw new Oo("content is not under editorial workflow",!0);return t[0]}}async getPullRequestCommits(e){if(e===FR)return[];try{return await this.request(`${this.originRepoURL}/pulls/${e}/commits`)}catch(e){return console.log(e),[]}}async getPullRequestAuthor(e){var t;if(null!==(t=e.user)&&void 0!==t&&t.login)try{const t=await this.request(`/users/${e.user.login}`);return t.name||t.login}catch{return}}async retrieveUnpublishedEntryData(e){const{collection:t,slug:n}=this.parseContentKey(e),r=IP(e),i=await this.getBranchPullRequest(r),[{files:o},a]=await Promise.all([this.getDifferences(this.branch,i.head.sha),this.getPullRequestAuthor(i)]),s=await Promise.all(o.map((e=>this.diffFromFile(e)))),l=i.labels.find((e=>AP(e.name,this.cmsLabelPrefix))),u=TP(l.name,this.cmsLabelPrefix),c=i.updated_at;return{collection:t,slug:n,status:u,diffs:s.map((e=>({path:e.path,newFile:e.newFile,id:e.sha}))),updatedAt:c,pullRequestAuthor:a}}async readFile(e,t,{branch:n=this.branch,repoURL:r=this.repoURL,parseText:i=!0}={}){return t||(t=await this.getFileSha(e,{repoURL:r,branch:n})),await this.fetchBlobContent({sha:t,repoURL:r,parseText:i})}async readFileMetadata(e,t){const n=await WP(t,(async()=>{try{const t=await this.request(`${this.originRepoURL}/commits`,{params:{path:e,sha:this.branch}}),{commit:n}=t[0];return{author:n.author.name||n.author.email,updatedOn:n.author.date}}catch(e){return{author:"",updatedOn:""}}}),Fo);return n}async fetchBlobContent({sha:e,repoURL:t,parseText:n}){const r=await this.request(`${t}/git/blobs/${e}`,{cache:"force-cache"});if(n)return rR.decode(r.content);{const e=rR.atob(r.content),t=new Uint8Array(e.length);for(let n=0;n<e.length;n++)t[n]=e.charCodeAt(n);return new Blob([t])}}async listFiles(e,{repoURL:t=this.repoURL,branch:n=this.branch,depth:r=1}={}){const i=Oi()(e,"/");try{return(await this.request(`${t}/git/trees/${n}:${i}`,{params:r>1?{recursive:1}:{}})).tree.filter((e=>"blob"===e.type&&e.path.split("/").length<=r)).map((e=>({type:e.type,id:e.sha,name:Wo(e.path),path:`${i}/${e.path}`,size:e.size})))}catch(e){if(e&&404===e.status)return console.log("This 404 was expected and handled appropriately."),[];throw e}}async migrateToVersion1(e,t){const n=e.head.ref.slice(4),r=`${t.collection}/${n}`,i=`cms/${r}`;await this.getBranch(i).catch((()=>{}))||await this.createBranch(i,e.head.sha);const o=(await this.getPullRequests(i,zR.All,(()=>!0)))[0]||await this.createPR(e.title,i),a=LR(LR({},t),{},{pr:{number:o.number,head:o.head.sha},branch:i,version:"1"});return await this.storeMetadata(r,a),await this.closePR(e.number),await this.deleteBranch(e.head.ref),await this.deleteMetadata(n),{metadata:a,pullRequest:o}}async migrateToPullRequestLabels(e,t){await this.setPullRequestStatus(e,t.status);const n=e.head.ref.slice(4);await this.deleteMetadata(n)}async migratePullRequest(e,t){const{number:n}=e;console.log(`Migrating Pull Request '${n}' (${t})`);const r=RP(e.head.ref);let i=await this.retrieveMetadataOld(r).catch((()=>{}));if(!i)return void console.log(`Skipped migrating Pull Request '${n}' (${t})`);let o=n;if(!i.version){console.log(`Migrating Pull Request '${n}' to version 1`);try{({metadata:i,pullRequest:e}=await this.migrateToVersion1(e,i))}catch(e){return console.log(`Failed to migrate Pull Request '${n}' to version 1. See error below.`),void console.error(e)}o=e.number,console.log(`Done migrating Pull Request '${n}' to version 1. New pull request '${o}' created.`)}"1"===i.version&&(console.log(`Migrating Pull Request '${o}' to labels`),await this.migrateToPullRequestLabels(e,i),console.log(`Done migrating Pull Request '${o}' to labels`)),console.log(`Done migrating Pull Request '${n===o?o:`${n} => ${o}`}'`)}async getOpenAuthoringBranches(){return await this.requestAllPages(`${this.repoURL}/git/refs/heads/cms/${this.repo}`).catch((()=>[]))}async listUnpublishedBranches(){let e;if(console.log("%c Checking for Unpublished entries","line-height: 30px;text-align: center;font-weight: bold"),this.useOpenAuthoring){e=(await this.getOpenAuthoringBranches()).map((e=>e.ref.slice(11)));const t=await Promise.all(e.map((e=>this.filterOpenAuthoringBranches(e))));e=t.filter((e=>e.filter)).map((e=>e.branch))}else{const t=await this.getPullRequests(void 0,zR.Open,(e=>!e.head.repo.fork&&function(e,t){return e.labels.every((e=>!AP(e.name,t)))}(e,this.cmsLabelPrefix)));let n=0;for(const e of t)$R||($R=!0,alert(gs`
Decap CMS is adding labels to ${t.length} of your Editorial Workflow
entries. The "Workflow" tab will be unavailable during this migration. You may use other
areas of the CMS during this time. Note that closing the CMS will pause the migration.
`)),n+=1,await this.migratePullRequest(e,`${n} of ${t.length}`);e=(await this.getPullRequests(void 0,zR.Open,(e=>UR(e,this.cmsLabelPrefix)))).map((e=>e.head.ref))}return e}async getStatuses(e,t){const n=IP(this.generateContentKey(e,t)),r=(await this.getBranchPullRequest(n)).head.sha;return(await this.request(`${this.originRepoURL}/commits/${r}/status`)).statuses.map((e=>({context:e.context,target_url:e.target_url,state:e.state===BR.Success?VP.Success:VP.Other})))}async persistFiles(e,t,n){const r=t.concat(e),i=r.map((e=>this.uploadBlob(e)));if(await Promise.all(i),n.useWorkflow){const i=t.map((({sha:e,path:t})=>({path:il()(t,"/"),sha:e}))),o=e[0].slug;return this.editorialWorkflowGit(r,o,i,n)}return this.getDefaultBranch().then((e=>this.updateTree(e.commit.sha,r))).then((e=>this.commit(n.commitMessage,e))).then((e=>this.patchBranch(this.branch,e.sha)))}async getFileSha(e,{repoURL:t=this.repoURL,branch:n=this.branch}={}){const r=e.split("/"),i=DR()(r),o=IR()(r).join("/"),a=`${t}/git/trees/${n}:${encodeURIComponent(o)}`,s=(await this.request(a)).tree.find((e=>e.path===i));if(s)return s.sha;throw new vo("Not Found",404,jR)}async deleteFiles(e,t){if(this.useOpenAuthoring)return Promise.reject("Cannot delete published entries as an Open Authoring user!");const n=await this.getDefaultBranch(),r=e.map((e=>({path:e,sha:null}))),i=await this.updateTree(n.commit.sha,r),o=await this.commit(t,i);await this.patchBranch(this.branch,o.sha)}async createBranchAndPullRequest(e,t,n){return await this.createBranch(e,t),this.createPR(n,e)}async updatePullRequestLabels(e,t){await this.request(`${this.repoURL}/issues/${e}/labels`,{method:"PUT",body:JSON.stringify({labels:t})})}async diffFromFile(e){return{path:e.filename,newFile:"added"===e.status,sha:e.sha,binary:"renamed"!==e.status&&!e.patch||e.filename.endsWith(".svg")}}async editorialWorkflowGit(e,t,n,r){const i=IP(this.generateContentKey(r.collectionName,t));if(r.unpublished){const{files:t}=await this.getDifferences(this.branch,await this.getHeadReference(i)),o=await Promise.all(t.map((e=>this.diffFromFile(e)))),a=[];for(const e of o.filter((e=>e.binary)))n.some((t=>t.path===e.path))||a.push({path:e.path,sha:null});const s=await this.rebaseBranch(i),l=a.concat(e),u=await this.updateTree(s.sha,l,i),c=await this.commit(r.commitMessage,u);return this.patchBranch(i,c.sha,{force:!0})}{const t=await this.getDefaultBranch(),n=await this.updateTree(t.commit.sha,e),o=await this.commit(r.commitMessage,n);if(this.useOpenAuthoring)await this.createBranch(i,o.sha);else{const e=await this.createBranchAndPullRequest(i,o.sha,r.commitMessage);await this.setPullRequestStatus(e,r.status||this.initialWorkflowStatus)}}}async getDifferences(e,t){const n=this.useOpenAuthoring?10:1;for(let r=1;r<=n;r++)try{return await this.request(`${this.originRepoURL}/compare/${e}...${t}`)}catch(e){if(r===n)throw console.warn(`Reached maximum number of attempts '${n}' for getDifferences`),e;await new Promise((e=>setTimeout(e,500*r)))}throw new vo("Not Found",404,jR)}async rebaseSingleCommit(e,t){const n=qR((await this.getDifferences(t.parents[0].sha,t.sha)).files);if(n.length>0){const r=await this.updateTree(e.sha,n),{message:i,author:o,committer:a}=t.commit;return await this.createCommit(i,r.sha,[e.sha],o,a)}return t}async rebaseCommits(e,t){return 0===t.length||t[0].parents[0].sha===e.sha?DR()(t):t.reduce(((e,t)=>e.then((e=>{const n=e,r=t;return this.rebaseSingleCommit(n,r)}))),Promise.resolve(e))}async rebaseBranch(e){try{const{base_commit:t,commits:n}=await this.getDifferences(this.branch,await this.getHeadReference(e));return await this.rebaseCommits(t,n)}catch(e){throw console.error(e),e}}async setPullRequestStatus(e,t){const n=[...e.labels.filter((e=>!AP(e.name,this.cmsLabelPrefix))).map((e=>e.name)),OP(t,this.cmsLabelPrefix)];await this.updatePullRequestLabels(e.number,n)}async updateUnpublishedEntryStatus(e,t,n){const r=this.generateContentKey(e,t),i=IP(r),o=await this.getBranchPullRequest(i);if(this.useOpenAuthoring){if("pending_publish"===status)throw new Error('Open Authoring entries may not be set to the status "pending_publish".');if(o.number!==FR){const{state:e}=o;e===zR.Open&&"draft"===n&&await this.closePR(o.number),e===zR.Closed&&"pending_review"===n&&await this.openPR(o.number)}else if("pending_review"===n){var a,s;const e=IP(r),t=(null===(a=(await this.getDifferences(this.branch,await this.getHeadReference(e))).commits[0])||void 0===a||null===(s=a.commit)||void 0===s?void 0:s.message)||WR.DEFAULT_COMMIT_MESSAGE;await this.createPR(t,e)}}else await this.setPullRequestStatus(o,n)}async deleteUnpublishedEntry(e,t){const n=IP(this.generateContentKey(e,t)),r=await this.getBranchPullRequest(n);r.number!==FR&&await this.closePR(r.number),await this.deleteBranch(n)}async publishUnpublishedEntry(e,t){const n=IP(this.generateContentKey(e,t)),r=await this.getBranchPullRequest(n);await this.mergePR(r),await this.deleteBranch(n)}async createRef(e,t,n){return await this.request(`${this.repoURL}/git/refs`,{method:"POST",body:JSON.stringify({ref:`refs/${e}/${t}`,sha:n})})}async patchRef(e,t,n,r={}){const i=r.force||!1;return await this.request(`${this.repoURL}/git/refs/${e}/${encodeURIComponent(t)}`,{method:"PATCH",body:JSON.stringify({sha:n,force:i})})}deleteRef(e,t){return this.request(`${this.repoURL}/git/refs/${e}/${encodeURIComponent(t)}`,{method:"DELETE"})}async getBranch(e){return await this.request(`${this.repoURL}/branches/${encodeURIComponent(e)}`)}async getDefaultBranch(){return await this.request(`${this.originRepoURL}/branches/${encodeURIComponent(this.branch)}`)}async backupBranch(e){try{const t=await this.getBranch(e);await this.createBranch(t.name.replace(new RegExp(`${xP}/`),`${xP}_${Date.now()}/`),t.commit.sha)}catch(e){console.warn(e)}}async createBranch(e,t){try{return await this.createRef("heads",e,t)}catch(n){const r=String(n.message||"");if("Reference update failed"===r)await KP(e,(e=>this.getBranch(e)),jR);else if("Reference already exists"===r&&e.startsWith(`${xP}/`))try{return await this.backupBranch(e),await this.patchBranch(e,t,{force:!0})}catch(e){console.log(e)}throw n}}assertCmsBranch(e){return e.startsWith(`${xP}/`)}patchBranch(e,t,n={}){const r=n.force||!1;if(r&&!this.assertCmsBranch(e))throw Error(`Only CMS branches can be force updated, cannot force update ${e}`);return this.patchRef("heads",e,t,{force:r})}deleteBranch(e){return this.deleteRef("heads",e).catch((e=>"Reference does not exist"===e.message?Promise.resolve():(console.error(e),Promise.reject(e))))}async getHeadReference(e){return`${this.repoOwner}:${e}`}async createPR(e,t){return await this.request(`${this.originRepoURL}/pulls`,{method:"POST",body:JSON.stringify({title:e,body:kP,head:await this.getHeadReference(t),base:this.branch})})}async openPR(e){return console.log("%c Re-opening PR","line-height: 30px;text-align: center;font-weight: bold"),await this.request(`${this.originRepoURL}/pulls/${e}`,{method:"PATCH",body:JSON.stringify({state:zR.Open})})}async closePR(e){return console.log("%c Deleting PR","line-height: 30px;text-align: center;font-weight: bold"),await this.request(`${this.originRepoURL}/pulls/${e}`,{method:"PATCH",body:JSON.stringify({state:zR.Closed})})}async mergePR(e){console.log("%c Merging PR","line-height: 30px;text-align: center;font-weight: bold");try{return await this.request(`${this.originRepoURL}/pulls/${e.number}/merge`,{method:"PUT",body:JSON.stringify({commit_message:EP,sha:e.head.sha,merge_method:this.mergeMethod})})}catch(t){if(t instanceof vo&&405===t.status)return this.forceMergePR(e);throw t}}async forceMergePR(e){const t=qR((await this.getDifferences(e.base.sha,e.head.sha)).files);let n="Automatically generated. Merged on Decap CMS\n\nForce merge of:";return t.forEach((e=>{n+=`\n* "${e.path}"`})),console.log("%c Automatic merge not possible - Forcing merge.","line-height: 30px;text-align: center;font-weight: bold"),this.getDefaultBranch().then((e=>this.updateTree(e.commit.sha,t))).then((e=>this.commit(n,e))).then((e=>this.patchBranch(this.branch,e.sha)))}toBase64(e){return Promise.resolve(rR.encode(e))}async uploadBlob(e){const t=await yD()(e,"toBase64",gC()(this.toBase64,e.raw)),n=await this.request(`${this.repoURL}/git/blobs`,{method:"POST",body:JSON.stringify({content:t,encoding:"base64"})});return e.sha=n.sha,e}async updateTree(e,t,n=this.branch){const r=[],i=t.reduce(((e,t)=>{const n={path:il()(t.path,"/"),mode:"100644",type:"blob",sha:t.sha};return t.newPath?r.push({from:t.path,to:t.newPath,sha:t.sha}):e.push(n),e}),[]);for(const{from:e,to:t,sha:o}of r){const r=(0,sa.dirname)(e),a=(0,sa.dirname)(t),s=await this.listFiles(r,{branch:n,depth:100});for(const t of s)i.push({path:t.path,mode:"100644",type:"blob",sha:null}),i.push({path:t.path.replace(r,a),mode:"100644",type:"blob",sha:t.path===e?o:t.id})}return LR(LR({},await this.createTree(e,i)),{},{parentSha:e})}async createTree(e,t){return await this.request(`${this.repoURL}/git/trees`,{method:"POST",body:JSON.stringify({base_tree:e,tree:t})})}commit(e,t){const n=t.parentSha?[t.parentSha]:[];return this.createCommit(e,t.sha,n)}async createCommit(e,t,n,r,i){return await this.request(`${this.repoURL}/git/commits`,{method:"POST",body:JSON.stringify({message:e,tree:t,parents:n,author:r,committer:i})})}async getUnpublishedEntrySha(e,t){const n=IP(this.generateContentKey(e,t));return(await this.getBranchPullRequest(n)).head.sha}}NR(WR,"DEFAULT_COMMIT_MESSAGE","Automatically generated by Decap CMS");var HR=function(e,t){return HR=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)t.hasOwnProperty(n)&&(e[n]=t[n])},HR(e,t)};function VR(e,t){function n(){this.constructor=e}HR(e,t),e.prototype=null===t?Object.create(t):(n.prototype=t.prototype,new n)}var GR=function(){return GR=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},GR.apply(this,arguments)};function KR(e,t,n,r){return new(n||(n=Promise))((function(i,o){function a(e){try{l(r.next(e))}catch(e){o(e)}}function s(e){try{l(r.throw(e))}catch(e){o(e)}}function l(e){var t;e.done?i(e.value):(t=e.value,t instanceof n?t:new n((function(e){e(t)}))).then(a,s)}l((r=r.apply(e,t||[])).next())}))}function YR(e,t){var n,r,i,o,a={label:0,sent:function(){if(1&i[0])throw i[1];return i[1]},trys:[],ops:[]};return o={next:s(0),throw:s(1),return:s(2)},"function"==typeof Symbol&&(o[Symbol.iterator]=function(){return this}),o;function s(o){return function(s){return function(o){if(n)throw new TypeError("Generator is already executing.");for(;a;)try{if(n=1,r&&(i=2&o[0]?r.return:o[0]?r.throw||((i=r.return)&&i.call(r),0):r.next)&&!(i=i.call(r,o[1])).done)return i;switch(r=0,i&&(o=[2&o[0],i.value]),o[0]){case 0:case 1:i=o;break;case 4:return a.label++,{value:o[1],done:!1};case 5:a.label++,r=o[1],o=[0];continue;case 7:o=a.ops.pop(),a.trys.pop();continue;default:if(!((i=(i=a.trys).length>0&&i[i.length-1])||6!==o[0]&&2!==o[0])){a=0;continue}if(3===o[0]&&(!i||o[1]>i[0]&&o[1]<i[3])){a.label=o[1];break}if(6===o[0]&&a.label<i[1]){a.label=i[1],i=o;break}if(i&&a.label<i[2]){a.label=i[2],a.ops.push(o);break}i[2]&&a.ops.pop(),a.trys.pop();continue}o=t.call(e,a)}catch(e){o=[6,e],r=0}finally{n=i=0}if(5&o[0])throw o[1];return{value:o[0]?o[1]:void 0,done:!0}}([o,s])}}}var ZR=__webpack_require__(82624),XR=function(e,t){return XR=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)t.hasOwnProperty(n)&&(e[n]=t[n])},XR(e,t)},QR="Invariant Violation",JR=Object.setPrototypeOf,eI=void 0===JR?function(e,t){return e.__proto__=t,e}:JR,tI=function(e){function t(n){void 0===n&&(n=QR);var r=e.call(this,"number"==typeof n?QR+": "+n+" (see https://github.com/apollographql/invariant-packages)":n)||this;return r.framesToPop=1,r.name=QR,eI(r,t.prototype),r}return function(e,t){function n(){this.constructor=e}XR(e,t),e.prototype=null===t?Object.create(t):(n.prototype=t.prototype,new n)}(t,e),t}(Error);function nI(e,t){if(!e)throw new tI(t)}function rI(e){return function(){return console[e].apply(console,arguments)}}!function(e){e.warn=rI("warn"),e.error=rI("error")}(nI||(nI={}));var iI={env:{}};if("object"==typeof process)iI=process;else try{Function("stub","process = stub")(iI)}catch(e){}var oI=function(){return oI=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},oI.apply(this,arguments)};function aI(){for(var e=0,t=0,n=arguments.length;t<n;t++)e+=arguments[t].length;var r=Array(e),i=0;for(t=0;t<n;t++)for(var o=arguments[t],a=0,s=o.length;a<s;a++,i++)r[i]=o[a];return r}var sI=__webpack_require__(85695),lI=__webpack_require__.n(sI),uI=Object.prototype,cI=uI.toString,dI=uI.hasOwnProperty,pI=new Map;function fI(e,t){try{return hI(e,t)}finally{pI.clear()}}function hI(e,t){if(e===t)return!0;var n=cI.call(e);if(n!==cI.call(t))return!1;switch(n){case"[object Array]":if(e.length!==t.length)return!1;case"[object Object]":if(mI(e,t))return!0;var r=Object.keys(e),i=Object.keys(t),o=r.length;if(o!==i.length)return!1;for(var a=0;a<o;++a)if(!dI.call(t,r[a]))return!1;for(a=0;a<o;++a){var s=r[a];if(!hI(e[s],t[s]))return!1}return!0;case"[object Error]":return e.name===t.name&&e.message===t.message;case"[object Number]":if(e!=e)return t!=t;case"[object Boolean]":case"[object Date]":return+e==+t;case"[object RegExp]":case"[object String]":return e==""+t;case"[object Map]":case"[object Set]":if(e.size!==t.size)return!1;if(mI(e,t))return!0;for(var l=e.entries(),u="[object Map]"===n;;){var c=l.next();if(c.done)break;var d=c.value,p=d[0],f=d[1];if(!t.has(p))return!1;if(u&&!hI(f,t.get(p)))return!1}return!0}return!1}function mI(e,t){var n=pI.get(e);if(n){if(n.has(t))return!0}else pI.set(e,n=new Set);return n.add(t),!1}function gI(e,t,n,r){if(function(e){return"IntValue"===e.kind}(n)||function(e){return"FloatValue"===e.kind}(n))e[t.value]=Number(n.value);else if(function(e){return"BooleanValue"===e.kind}(n)||function(e){return"StringValue"===e.kind}(n))e[t.value]=n.value;else if(function(e){return"ObjectValue"===e.kind}(n)){var i={};n.fields.map((function(e){return gI(i,e.name,e.value,r)})),e[t.value]=i}else if(function(e){return"Variable"===e.kind}(n)){var o=(r||{})[n.name.value];e[t.value]=o}else if(function(e){return"ListValue"===e.kind}(n))e[t.value]=n.values.map((function(e){var n={};return gI(n,t,e,r),n[t.value]}));else if(function(e){return"EnumValue"===e.kind}(n))e[t.value]=n.value;else{if(!function(e){return"NullValue"===e.kind}(n))throw new tI(17);e[t.value]=null}}var vI=["connection","include","skip","client","rest","export"];function yI(e,t,n){if(n&&n.connection&&n.connection.key){if(n.connection.filter&&n.connection.filter.length>0){var r=n.connection.filter?n.connection.filter:[];r.sort();var i=t,o={};return r.forEach((function(e){o[e]=i[e]})),n.connection.key+"("+JSON.stringify(o)+")"}return n.connection.key}var a=e;if(t){var s=lI()(t);a+="("+s+")"}return n&&Object.keys(n).forEach((function(e){-1===vI.indexOf(e)&&(n[e]&&Object.keys(n[e]).length?a+="@"+e+"("+JSON.stringify(n[e])+")":a+="@"+e)})),a}function bI(e,t){if(e.arguments&&e.arguments.length){var n={};return e.arguments.forEach((function(e){var r=e.name,i=e.value;return gI(n,r,i,t)})),n}return null}function wI(e){return e.alias?e.alias.value:e.name.value}function _I(e){return"Field"===e.kind}function xI(e){return"InlineFragment"===e.kind}function kI(e){return e&&"id"===e.type&&"boolean"==typeof e.generated}function EI(e,t){return void 0===t&&(t=!1),oI({type:"id",generated:t},"string"==typeof e?{id:e,typename:void 0}:e)}function CI(e,t){if(e.directives&&e.directives.length){var n={};return e.directives.forEach((function(e){n[e.name.value]=bI(e,t)})),n}return null}function SI(e,t){return void 0===t&&(t={}),(n=e.directives,n?n.filter(OI).map((function(e){var t=e.arguments;e.name.value,nI(t&&1===t.length,14);var n=t[0];nI(n.name&&"if"===n.name.value,15);var r=n.value;return nI(r&&("Variable"===r.kind||"BooleanValue"===r.kind),16),{directive:e,ifArgument:n}})):[]).every((function(e){var n=e.directive,r=e.ifArgument,i=!1;return"Variable"===r.value.kind?nI(void 0!==(i=t[r.value.name.value]),13):i=r.value.value,"skip"===n.name.value?!i:i}));var n}function AI(e,t){return function(e){var t=[];return(0,ZR.visit)(e,{Directive:function(e){t.push(e.name.value)}}),t}(t).some((function(t){return e.indexOf(t)>-1}))}function TI(e){return e&&AI(["client"],e)&&AI(["export"],e)}function OI(e){var t=e.name.value;return"skip"===t||"include"===t}function PI(e,t){var n=t,r=[];return e.definitions.forEach((function(e){if("OperationDefinition"===e.kind)throw new tI(11);"FragmentDefinition"===e.kind&&r.push(e)})),void 0===n&&(nI(1===r.length,12),n=r[0].name.value),oI(oI({},e),{definitions:aI([{kind:"OperationDefinition",operation:"query",selectionSet:{kind:"SelectionSet",selections:[{kind:"FragmentSpread",name:{kind:"Name",value:n}}]}}],e.definitions)})}function DI(e){for(var t=[],n=1;n<arguments.length;n++)t[n-1]=arguments[n];return t.forEach((function(t){null!=t&&Object.keys(t).forEach((function(n){e[n]=t[n]}))})),e}function RI(e){nI(e&&"Document"===e.kind,2);var t=e.definitions.filter((function(e){return"FragmentDefinition"!==e.kind})).map((function(e){if("OperationDefinition"!==e.kind)throw new tI(3);return e}));return nI(t.length<=1,4),e}function II(e){return RI(e),e.definitions.filter((function(e){return"OperationDefinition"===e.kind}))[0]}function MI(e){return e.definitions.filter((function(e){return"OperationDefinition"===e.kind&&e.name})).map((function(e){return e.name.value}))[0]||null}function LI(e){return e.definitions.filter((function(e){return"FragmentDefinition"===e.kind}))}function NI(e){var t;RI(e);for(var n=0,r=e.definitions;n<r.length;n++){var i=r[n];if("OperationDefinition"===i.kind){var o=i.operation;if("query"===o||"mutation"===o||"subscription"===o)return i}"FragmentDefinition"!==i.kind||t||(t=i)}if(t)return t;throw new tI(10)}function jI(e){void 0===e&&(e=[]);var t={};return e.forEach((function(e){t[e.name.value]=e})),t}function FI(e){if(e&&e.variableDefinitions&&e.variableDefinitions.length){var t=e.variableDefinitions.filter((function(e){return e.defaultValue})).map((function(e){var t=e.variable,n=e.defaultValue,r={};return gI(r,t.name,n),r}));return DI.apply(void 0,aI([{}],t))}return{}}function BI(e,t,n){var r=0;return e.forEach((function(n,i){t.call(this,n,i,e)&&(e[r++]=n)}),n),e.length=r,e}var zI={kind:"Field",name:{kind:"Name",value:"__typename"}};function UI(e,t){return e.selectionSet.selections.every((function(e){return"FragmentSpread"===e.kind&&UI(t[e.name.value],t)}))}function qI(e){return UI(II(e)||function(e){nI("Document"===e.kind,7),nI(e.definitions.length<=1,8);var t=e.definitions[0];return nI("FragmentDefinition"===t.kind,9),t}(e),jI(LI(e)))?null:e}function $I(e){return function(t){return e.some((function(e){return e.name&&e.name===t.name.value||e.test&&e.test(t)}))}}function WI(e,t){var n=Object.create(null),r=[],i=Object.create(null),o=[],a=qI((0,ZR.visit)(t,{Variable:{enter:function(e,t,r){"VariableDefinition"!==r.kind&&(n[e.name.value]=!0)}},Field:{enter:function(t){if(e&&t.directives&&e.some((function(e){return e.remove}))&&t.directives&&t.directives.some($I(e)))return t.arguments&&t.arguments.forEach((function(e){"Variable"===e.value.kind&&r.push({name:e.value.name.value})})),t.selectionSet&&VI(t.selectionSet).forEach((function(e){o.push({name:e.name.value})})),null}},FragmentSpread:{enter:function(e){i[e.name.value]=!0}},Directive:{enter:function(t){if($I(e)(t))return null}}}));return a&&BI(r,(function(e){return!n[e.name]})).length&&(a=function(e,t){var n=function(e){return function(t){return e.some((function(e){return t.value&&"Variable"===t.value.kind&&t.value.name&&(e.name===t.value.name.value||e.test&&e.test(t))}))}}(e);return qI((0,ZR.visit)(t,{OperationDefinition:{enter:function(t){return oI(oI({},t),{variableDefinitions:t.variableDefinitions.filter((function(t){return!e.some((function(e){return e.name===t.variable.name.value}))}))})}},Field:{enter:function(t){if(e.some((function(e){return e.remove}))){var r=0;if(t.arguments.forEach((function(e){n(e)&&(r+=1)})),1===r)return null}}},Argument:{enter:function(e){if(n(e))return null}}}))}(r,a)),a&&BI(o,(function(e){return!i[e.name]})).length&&(a=function(e,t){function n(t){if(e.some((function(e){return e.name===t.name.value})))return null}return qI((0,ZR.visit)(t,{FragmentSpread:{enter:n},FragmentDefinition:{enter:n}}))}(o,a)),a}var HI={test:function(e){var t="connection"===e.name.value;return t&&(!e.arguments||e.arguments.some((function(e){return"key"===e.name.value}))),t}};function VI(e){var t=[];return e.selections.forEach((function(e){(_I(e)||xI(e))&&e.selectionSet?VI(e.selectionSet).forEach((function(e){return t.push(e)})):"FragmentSpread"===e.kind&&t.push(e)})),t}function GI(e){if("query"===NI(e).operation)return e;var t=(0,ZR.visit)(e,{OperationDefinition:{enter:function(e){return oI(oI({},e),{operation:"query"})}}});return t}var KI="function"==typeof WeakMap&&!("object"==typeof navigator&&"ReactNative"===navigator.product),YI=Object.prototype.toString;function ZI(e){return XI(e,new Map)}function XI(e,t){switch(YI.call(e)){case"[object Array]":if(t.has(e))return t.get(e);var n=e.slice(0);return t.set(e,n),n.forEach((function(e,r){n[r]=XI(e,t)})),n;case"[object Object]":if(t.has(e))return t.get(e);var r=Object.create(Object.getPrototypeOf(e));return t.set(e,r),Object.keys(e).forEach((function(n){r[n]=XI(e[n],t)})),r;default:return e}}function QI(e){return("undefined"!=typeof process?"production":"development")===e}function JI(e){try{return e()}catch(e){console.error&&console.error(e)}}function eM(e){return e.errors&&e.errors.length}var tM=Object.prototype.hasOwnProperty;function nM(){for(var e=[],t=0;t<arguments.length;t++)e[t]=arguments[t];return rM(e)}function rM(e){var t=e[0]||{},n=e.length;if(n>1){var r=[];t=aM(t,r);for(var i=1;i<n;++i)t=oM(t,e[i],r)}return t}function iM(e){return null!==e&&"object"==typeof e}function oM(e,t,n){return iM(t)&&iM(e)?(Object.isExtensible&&!Object.isExtensible(e)&&(e=aM(e,n)),Object.keys(t).forEach((function(r){var i=t[r];if(tM.call(e,r)){var o=e[r];i!==o&&(e[r]=oM(aM(o,n),i,n))}else e[r]=i})),e):t}function aM(e,t){return null!==e&&"object"==typeof e&&t.indexOf(e)<0&&(e=Array.isArray(e)?e.slice(0):oI({__proto__:Object.getPrototypeOf(e)},e),t.push(e)),e}Object.create({});var sM=__webpack_require__(82394);const lM=__webpack_require__.n(sM)();var uM,cM=function(e,t){return cM=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)t.hasOwnProperty(n)&&(e[n]=t[n])},cM(e,t)},dM=function(){return dM=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},dM.apply(this,arguments)};function pM(e){return e.request.length<=1}function fM(e){return new lM((function(t){t.error(e)}))}function hM(e,t){return t?t(e):lM.of()}function mM(e){return"function"==typeof e?new wM(e):e}function gM(){return new wM((function(){return lM.of()}))}function vM(e){return 0===e.length?gM():e.map(mM).reduce((function(e,t){return e.concat(t)}))}function yM(e,t,n){var r=mM(t),i=mM(n||new wM(hM));return pM(r)&&pM(i)?new wM((function(t){return e(t)?r.request(t)||lM.of():i.request(t)||lM.of()})):new wM((function(t,n){return e(t)?r.request(t,n)||lM.of():i.request(t,n)||lM.of()}))}(function(e,t){function n(){this.constructor=e}cM(e,t),e.prototype=null===t?Object.create(t):(n.prototype=t.prototype,new n)})((function(e,t){var n=uM.call(this,e)||this;return n.link=t,n}),uM=Error);var bM=function(e,t){var n=mM(e);if(pM(n))return n;var r=mM(t);return pM(r)?new wM((function(e){return n.request(e,(function(e){return r.request(e)||lM.of()}))||lM.of()})):new wM((function(e,t){return n.request(e,(function(e){return r.request(e,t)||lM.of()}))||lM.of()}))},wM=function(){function e(e){e&&(this.request=e)}return e.prototype.split=function(t,n,r){return this.concat(yM(t,n,r||new e(hM)))},e.prototype.concat=function(e){return bM(this,e)},e.prototype.request=function(e,t){throw new tI(1)},e.empty=gM,e.from=vM,e.split=yM,e.execute=_M,e}();function _M(e,t){return e.request(function(e,t){var n=dM({},e);return Object.defineProperty(t,"setContext",{enumerable:!1,value:function(e){n=dM({},n,"function"==typeof e?e(n):e)}}),Object.defineProperty(t,"getContext",{enumerable:!1,value:function(){return dM({},n)}}),Object.defineProperty(t,"toKey",{enumerable:!1,value:function(){return function(e){var t=e.query,n=e.variables,r=e.operationName;return JSON.stringify([r,t,n])}(t)}}),t}(t.context,function(e){var t={variables:e.variables||{},extensions:e.extensions||{},operationName:e.operationName,query:e.query};return t.operationName||(t.operationName="string"!=typeof t.query?MI(t.query):""),t}(function(e){for(var t=["query","operationName","variables","extensions","context"],n=0,r=Object.keys(e);n<r.length;n++){var i=r[n];if(t.indexOf(i)<0)throw new tI(2)}return e}(t))))||lM.of()}var xM,kM=__webpack_require__(7288);function EM(e){return e<7}!function(e){e[e.loading=1]="loading",e[e.setVariables=2]="setVariables",e[e.fetchMore=3]="fetchMore",e[e.refetch=4]="refetch",e[e.poll=6]="poll",e[e.ready=7]="ready",e[e.error=8]="error"}(xM||(xM={}));var CM=function(e){function t(){return null!==e&&e.apply(this,arguments)||this}return VR(t,e),t.prototype[kM.Z]=function(){return this},t.prototype["@@observable"]=function(){return this},t}(lM);function SM(e){return Array.isArray(e)&&e.length>0}var AM,TM=function(e){function t(n){var r,i,o=n.graphQLErrors,a=n.networkError,s=n.errorMessage,l=n.extraInfo,u=e.call(this,s)||this;return u.graphQLErrors=o||[],u.networkError=a||null,u.message=s||(i="",SM((r=u).graphQLErrors)&&r.graphQLErrors.forEach((function(e){var t=e?e.message:"Error message not found.";i+="GraphQL error: "+t+"\n"})),r.networkError&&(i+="Network error: "+r.networkError.message+"\n"),i=i.replace(/\n$/,"")),u.extraInfo=l,u.__proto__=t.prototype,u}return VR(t,e),t}(Error);!function(e){e[e.normal=1]="normal",e[e.refetch=2]="refetch",e[e.poll=3]="poll"}(AM||(AM={}));var OM=function(e){function t(t){var n=t.queryManager,r=t.options,i=t.shouldSubscribe,o=void 0===i||i,a=e.call(this,(function(e){return a.onSubscribe(e)}))||this;a.observers=new Set,a.subscriptions=new Set,a.isTornDown=!1,a.options=r,a.variables=r.variables||{},a.queryId=n.generateQueryId(),a.shouldSubscribe=o;var s=II(r.query);return a.queryName=s&&s.name&&s.name.value,a.queryManager=n,a}return VR(t,e),t.prototype.result=function(){var e=this;return new Promise((function(t,n){var r={next:function(n){t(n),e.observers.delete(r),e.observers.size||e.queryManager.removeQuery(e.queryId),setTimeout((function(){i.unsubscribe()}),0)},error:n},i=e.subscribe(r)}))},t.prototype.currentResult=function(){var e=this.getCurrentResult();return void 0===e.data&&(e.data={}),e},t.prototype.getCurrentResult=function(){if(this.isTornDown){var e=this.lastResult;return{data:!this.lastError&&e&&e.data||void 0,error:this.lastError,loading:!1,networkStatus:xM.error}}var t,n,r,i=this.queryManager.getCurrentQueryResult(this),o=i.data,a=i.partial,s=this.queryManager.queryStore.get(this.queryId),l=this.options.fetchPolicy,u="network-only"===l||"no-cache"===l;if(s){var c=s.networkStatus;if(n=s,void 0===(r=this.options.errorPolicy)&&(r="none"),n&&(n.networkError||"none"===r&&SM(n.graphQLErrors)))return{data:void 0,loading:!1,networkStatus:c,error:new TM({graphQLErrors:s.graphQLErrors,networkError:s.networkError})};s.variables&&(this.options.variables=GR(GR({},this.options.variables),s.variables),this.variables=this.options.variables),t={data:o,loading:EM(c),networkStatus:c},s.graphQLErrors&&"all"===this.options.errorPolicy&&(t.errors=s.graphQLErrors)}else{var d=u||a&&"cache-only"!==l;t={data:o,loading:d,networkStatus:d?xM.loading:xM.ready}}return a||this.updateLastResult(GR(GR({},t),{stale:!1})),GR(GR({},t),{partial:a})},t.prototype.isDifferentFromLastResult=function(e){var t=this.lastResultSnapshot;return!(t&&e&&t.networkStatus===e.networkStatus&&t.stale===e.stale&&fI(t.data,e.data))},t.prototype.getLastResult=function(){return this.lastResult},t.prototype.getLastError=function(){return this.lastError},t.prototype.resetLastResults=function(){delete this.lastResult,delete this.lastResultSnapshot,delete this.lastError,this.isTornDown=!1},t.prototype.resetQueryStoreErrors=function(){var e=this.queryManager.queryStore.get(this.queryId);e&&(e.networkError=null,e.graphQLErrors=[])},t.prototype.refetch=function(e){var t=this.options.fetchPolicy;return"cache-only"===t?Promise.reject(new tI(1)):("no-cache"!==t&&"cache-and-network"!==t&&(t="network-only"),fI(this.variables,e)||(this.variables=GR(GR({},this.variables),e)),fI(this.options.variables,this.variables)||(this.options.variables=GR(GR({},this.options.variables),this.variables)),this.queryManager.fetchQuery(this.queryId,GR(GR({},this.options),{fetchPolicy:t}),AM.refetch))},t.prototype.fetchMore=function(e){var t=this;nI(e.updateQuery,2);var n=GR(GR({},e.query?e:GR(GR(GR({},this.options),e),{variables:GR(GR({},this.variables),e.variables)})),{fetchPolicy:"network-only"}),r=this.queryManager.generateQueryId();return this.queryManager.fetchQuery(r,n,AM.normal,this.queryId).then((function(i){return t.updateQuery((function(t){return e.updateQuery(t,{fetchMoreResult:i.data,variables:n.variables})})),t.queryManager.stopQuery(r),i}),(function(e){throw t.queryManager.stopQuery(r),e}))},t.prototype.subscribeToMore=function(e){var t=this,n=this.queryManager.startGraphQLSubscription({query:e.document,variables:e.variables}).subscribe({next:function(n){var r=e.updateQuery;r&&t.updateQuery((function(e,t){var i=t.variables;return r(e,{subscriptionData:n,variables:i})}))},error:function(t){e.onError&&e.onError(t)}});return this.subscriptions.add(n),function(){t.subscriptions.delete(n)&&n.unsubscribe()}},t.prototype.setOptions=function(e){var t=this.options.fetchPolicy;this.options=GR(GR({},this.options),e),e.pollInterval?this.startPolling(e.pollInterval):0===e.pollInterval&&this.stopPolling();var n=e.fetchPolicy;return this.setVariables(this.options.variables,t!==n&&("cache-only"===t||"standby"===t||"network-only"===n),e.fetchResults)},t.prototype.setVariables=function(e,t,n){return void 0===t&&(t=!1),void 0===n&&(n=!0),this.isTornDown=!1,e=e||this.variables,!t&&fI(e,this.variables)?this.observers.size&&n?this.result():Promise.resolve():(this.variables=this.options.variables=e,this.observers.size?this.queryManager.fetchQuery(this.queryId,this.options):Promise.resolve())},t.prototype.updateQuery=function(e){var t=this.queryManager,n=t.getQueryWithPreviousResult(this.queryId),r=n.previousResult,i=n.variables,o=n.document,a=JI((function(){return e(r,{variables:i})}));a&&(t.dataStore.markUpdateQueryResult(o,i,a),t.broadcastQueries())},t.prototype.stopPolling=function(){this.queryManager.stopPollingQuery(this.queryId),this.options.pollInterval=void 0},t.prototype.startPolling=function(e){RM(this),this.options.pollInterval=e,this.queryManager.startPollingQuery(this.options,this.queryId)},t.prototype.updateLastResult=function(e){var t=this.lastResult;return this.lastResult=e,this.lastResultSnapshot=this.queryManager.assumeImmutableResults?e:ZI(e),t},t.prototype.onSubscribe=function(e){var t=this;try{var n=e._subscription._observer;n&&!n.error&&(n.error=PM)}catch(e){}var r=!this.observers.size;return this.observers.add(e),e.next&&this.lastResult&&e.next(this.lastResult),e.error&&this.lastError&&e.error(this.lastError),r&&this.setUpQuery(),function(){t.observers.delete(e)&&!t.observers.size&&t.tearDownQuery()}},t.prototype.setUpQuery=function(){var e=this,t=this.queryManager,n=this.queryId;this.shouldSubscribe&&t.addObservableQuery(n,this),this.options.pollInterval&&(RM(this),t.startPollingQuery(this.options,n));var r=function(t){e.updateLastResult(GR(GR({},e.lastResult),{errors:t.graphQLErrors,networkStatus:xM.error,loading:!1})),DM(e.observers,"error",e.lastError=t)};t.observeQuery(n,this.options,{next:function(n){if(e.lastError||e.isDifferentFromLastResult(n)){var r=e.updateLastResult(n),i=e.options,o=i.query,a=i.variables,s=i.fetchPolicy;t.transform(o).hasClientExports?t.getLocalState().addExportedVariables(o,a).then((function(i){var a=e.variables;e.variables=e.options.variables=i,!n.loading&&r&&"cache-only"!==s&&t.transform(o).serverQuery&&!fI(a,i)?e.refetch():DM(e.observers,"next",n)})):DM(e.observers,"next",n)}},error:r}).catch(r)},t.prototype.tearDownQuery=function(){var e=this.queryManager;this.isTornDown=!0,e.stopPollingQuery(this.queryId),this.subscriptions.forEach((function(e){return e.unsubscribe()})),this.subscriptions.clear(),e.removeObservableQuery(this.queryId),e.stopQuery(this.queryId),this.observers.clear()},t}(CM);function PM(e){}function DM(e,t,n){var r=[];e.forEach((function(e){return e[t]&&r.push(e)})),r.forEach((function(e){return e[t](n)}))}function RM(e){var t=e.options.fetchPolicy;nI("cache-first"!==t&&"cache-only"!==t,3)}var IM=function(){function e(){this.store={}}return e.prototype.getStore=function(){return this.store},e.prototype.get=function(e){return this.store[e]},e.prototype.initMutation=function(e,t,n){this.store[e]={mutation:t,variables:n||{},loading:!0,error:null}},e.prototype.markMutationError=function(e,t){var n=this.store[e];n&&(n.loading=!1,n.error=t)},e.prototype.markMutationResult=function(e){var t=this.store[e];t&&(t.loading=!1,t.error=null)},e.prototype.reset=function(){this.store={}},e}(),MM=function(){function e(){this.store={}}return e.prototype.getStore=function(){return this.store},e.prototype.get=function(e){return this.store[e]},e.prototype.initQuery=function(e){var t=this.store[e.queryId];nI(!t||t.document===e.document||fI(t.document,e.document),19);var n,r=!1,i=null;e.storePreviousVariables&&t&&t.networkStatus!==xM.loading&&(fI(t.variables,e.variables)||(r=!0,i=t.variables)),n=r?xM.setVariables:e.isPoll?xM.poll:e.isRefetch?xM.refetch:xM.loading;var o=[];t&&t.graphQLErrors&&(o=t.graphQLErrors),this.store[e.queryId]={document:e.document,variables:e.variables,previousVariables:i,networkError:null,graphQLErrors:o,networkStatus:n,metadata:e.metadata},"string"==typeof e.fetchMoreForQueryId&&this.store[e.fetchMoreForQueryId]&&(this.store[e.fetchMoreForQueryId].networkStatus=xM.fetchMore)},e.prototype.markQueryResult=function(e,t,n){this.store&&this.store[e]&&(this.store[e].networkError=null,this.store[e].graphQLErrors=SM(t.errors)?t.errors:[],this.store[e].previousVariables=null,this.store[e].networkStatus=xM.ready,"string"==typeof n&&this.store[n]&&(this.store[n].networkStatus=xM.ready))},e.prototype.markQueryError=function(e,t,n){this.store&&this.store[e]&&(this.store[e].networkError=t,this.store[e].networkStatus=xM.error,"string"==typeof n&&this.markQueryResultClient(n,!0))},e.prototype.markQueryResultClient=function(e,t){var n=this.store&&this.store[e];n&&(n.networkError=null,n.previousVariables=null,t&&(n.networkStatus=xM.ready))},e.prototype.stopQuery=function(e){delete this.store[e]},e.prototype.reset=function(e){var t=this;Object.keys(this.store).forEach((function(n){e.indexOf(n)<0?t.stopQuery(n):t.store[n].networkStatus=xM.loading}))},e}(),LM=function(){function e(e){var t=e.cache,n=e.client,r=e.resolvers,i=e.fragmentMatcher;this.cache=t,n&&(this.client=n),r&&this.addResolvers(r),i&&this.setFragmentMatcher(i)}return e.prototype.addResolvers=function(e){var t=this;this.resolvers=this.resolvers||{},Array.isArray(e)?e.forEach((function(e){t.resolvers=nM(t.resolvers,e)})):this.resolvers=nM(this.resolvers,e)},e.prototype.setResolvers=function(e){this.resolvers={},this.addResolvers(e)},e.prototype.getResolvers=function(){return this.resolvers||{}},e.prototype.runResolvers=function(e){var t=e.document,n=e.remoteResult,r=e.context,i=e.variables,o=e.onlyRunForcedResolvers,a=void 0!==o&&o;return KR(this,void 0,void 0,(function(){return YR(this,(function(e){return t?[2,this.resolveDocument(t,n.data,r,i,this.fragmentMatcher,a).then((function(e){return GR(GR({},n),{data:e.result})}))]:[2,n]}))}))},e.prototype.setFragmentMatcher=function(e){this.fragmentMatcher=e},e.prototype.getFragmentMatcher=function(){return this.fragmentMatcher},e.prototype.clientQuery=function(e){return AI(["client"],e)&&this.resolvers?e:null},e.prototype.serverQuery=function(e){return this.resolvers?function(e){RI(e);var t=WI([{test:function(e){return"client"===e.name.value},remove:!0}],e);return t&&(t=(0,ZR.visit)(t,{FragmentDefinition:{enter:function(e){if(e.selectionSet&&e.selectionSet.selections.every((function(e){return _I(e)&&"__typename"===e.name.value})))return null}}})),t}(e):e},e.prototype.prepareContext=function(e){void 0===e&&(e={});var t=this.cache;return GR(GR({},e),{cache:t,getCacheKey:function(e){if(t.config)return t.config.dataIdFromObject(e);nI(!1,6)}})},e.prototype.addExportedVariables=function(e,t,n){return void 0===t&&(t={}),void 0===n&&(n={}),KR(this,void 0,void 0,(function(){return YR(this,(function(r){return e?[2,this.resolveDocument(e,this.buildRootValueFromCache(e,t)||{},this.prepareContext(n),t).then((function(e){return GR(GR({},t),e.exportedVariables)}))]:[2,GR({},t)]}))}))},e.prototype.shouldForceResolvers=function(e){var t=!1;return(0,ZR.visit)(e,{Directive:{enter:function(e){if("client"===e.name.value&&e.arguments&&(t=e.arguments.some((function(e){return"always"===e.name.value&&"BooleanValue"===e.value.kind&&!0===e.value.value}))))return ZR.BREAK}}}),t},e.prototype.buildRootValueFromCache=function(e,t){return this.cache.diff({query:GI(e),variables:t,returnPartialData:!0,optimistic:!1}).result},e.prototype.resolveDocument=function(e,t,n,r,i,o){return void 0===n&&(n={}),void 0===r&&(r={}),void 0===i&&(i=function(){return!0}),void 0===o&&(o=!1),KR(this,void 0,void 0,(function(){var a,s,l,u,c,d,p,f,h;return YR(this,(function(m){var g;return a=NI(e),s=LI(e),l=jI(s),u=a.operation,c=u?(g=u).charAt(0).toUpperCase()+g.slice(1):"Query",p=(d=this).cache,f=d.client,h={fragmentMap:l,context:GR(GR({},n),{cache:p,client:f}),variables:r,fragmentMatcher:i,defaultOperationType:c,exportedVariables:{},onlyRunForcedResolvers:o},[2,this.resolveSelectionSet(a.selectionSet,t,h).then((function(e){return{result:e,exportedVariables:h.exportedVariables}}))]}))}))},e.prototype.resolveSelectionSet=function(e,t,n){return KR(this,void 0,void 0,(function(){var r,i,o,a,s,l=this;return YR(this,(function(u){return r=n.fragmentMap,i=n.context,o=n.variables,a=[t],s=function(e){return KR(l,void 0,void 0,(function(){var s,l;return YR(this,(function(u){return SI(e,o)?_I(e)?[2,this.resolveField(e,t,n).then((function(t){var n;void 0!==t&&a.push(((n={})[wI(e)]=t,n))}))]:(xI(e)?s=e:nI(s=r[e.name.value],7),s&&s.typeCondition&&(l=s.typeCondition.name.value,n.fragmentMatcher(t,l,i))?[2,this.resolveSelectionSet(s.selectionSet,t,n).then((function(e){a.push(e)}))]:[2]):[2]}))}))},[2,Promise.all(e.selections.map(s)).then((function(){return rM(a)}))]}))}))},e.prototype.resolveField=function(e,t,n){return KR(this,void 0,void 0,(function(){var r,i,o,a,s,l,u,c,d,p=this;return YR(this,(function(f){return r=n.variables,i=e.name.value,o=wI(e),a=i!==o,s=t[o]||t[i],l=Promise.resolve(s),n.onlyRunForcedResolvers&&!this.shouldForceResolvers(e)||(u=t.__typename||n.defaultOperationType,(c=this.resolvers&&this.resolvers[u])&&(d=c[a?i:o])&&(l=Promise.resolve(d(t,bI(e,r),n.context,{field:e,fragmentMap:n.fragmentMap})))),[2,l.then((function(t){return void 0===t&&(t=s),e.directives&&e.directives.forEach((function(e){"export"===e.name.value&&e.arguments&&e.arguments.forEach((function(e){"as"===e.name.value&&"StringValue"===e.value.kind&&(n.exportedVariables[e.value.value]=t)}))})),e.selectionSet?null==t?t:Array.isArray(t)?p.resolveSubSelectedArray(e,t,n):e.selectionSet?p.resolveSelectionSet(e.selectionSet,t,n):void 0:t}))]}))}))},e.prototype.resolveSubSelectedArray=function(e,t,n){var r=this;return Promise.all(t.map((function(t){return null===t?null:Array.isArray(t)?r.resolveSubSelectedArray(e,t,n):e.selectionSet?r.resolveSelectionSet(e.selectionSet,t,n):void 0})))},e}();function NM(e){var t=new Set,n=null;return new CM((function(r){return t.add(r),n=n||e.subscribe({next:function(e){t.forEach((function(t){return t.next&&t.next(e)}))},error:function(e){t.forEach((function(t){return t.error&&t.error(e)}))},complete:function(){t.forEach((function(e){return e.complete&&e.complete()}))}}),function(){t.delete(r)&&!t.size&&n&&(n.unsubscribe(),n=null)}}))}var jM=Object.prototype.hasOwnProperty,FM=function(){function e(e){var t=e.link,n=e.queryDeduplication,r=void 0!==n&&n,i=e.store,o=e.onBroadcast,a=void 0===o?function(){}:o,s=e.ssrMode,l=void 0!==s&&s,u=e.clientAwareness,c=void 0===u?{}:u,d=e.localState,p=e.assumeImmutableResults;this.mutationStore=new IM,this.queryStore=new MM,this.clientAwareness={},this.idCounter=1,this.queries=new Map,this.fetchQueryRejectFns=new Map,this.transformCache=new(KI?WeakMap:Map),this.inFlightLinkObservables=new Map,this.pollingInfoByQueryId=new Map,this.link=t,this.queryDeduplication=r,this.dataStore=i,this.onBroadcast=a,this.clientAwareness=c,this.localState=d||new LM({cache:i.getCache()}),this.ssrMode=l,this.assumeImmutableResults=!!p}return e.prototype.stop=function(){var e=this;this.queries.forEach((function(t,n){e.stopQueryNoBroadcast(n)})),this.fetchQueryRejectFns.forEach((function(e){e(new tI(8))}))},e.prototype.mutate=function(e){var t=e.mutation,n=e.variables,r=e.optimisticResponse,i=e.updateQueries,o=e.refetchQueries,a=void 0===o?[]:o,s=e.awaitRefetchQueries,l=void 0!==s&&s,u=e.update,c=e.errorPolicy,d=void 0===c?"none":c,p=e.fetchPolicy,f=e.context,h=void 0===f?{}:f;return KR(this,void 0,void 0,(function(){var e,o,s,c=this;return YR(this,(function(f){switch(f.label){case 0:return nI(t,9),nI(!p||"no-cache"===p,10),e=this.generateQueryId(),t=this.transform(t).document,this.setQuery(e,(function(){return{document:t}})),n=this.getVariables(t,n),this.transform(t).hasClientExports?[4,this.localState.addExportedVariables(t,n,h)]:[3,2];case 1:n=f.sent(),f.label=2;case 2:return o=function(){var e={};return i&&c.queries.forEach((function(t,n){var r=t.observableQuery;if(r){var o=r.queryName;o&&jM.call(i,o)&&(e[n]={updater:i[o],query:c.queryStore.get(n)})}})),e},this.mutationStore.initMutation(e,t,n),this.dataStore.markMutationInit({mutationId:e,document:t,variables:n,updateQueries:o(),update:u,optimisticResponse:r}),this.broadcastQueries(),s=this,[2,new Promise((function(i,c){var f,m;s.getObservableFromLink(t,GR(GR({},h),{optimisticResponse:r}),n,!1).subscribe({next:function(r){eM(r)&&"none"===d?m=new TM({graphQLErrors:r.errors}):(s.mutationStore.markMutationResult(e),"no-cache"!==p&&s.dataStore.markMutationResult({mutationId:e,result:r,document:t,variables:n,updateQueries:o(),update:u}),f=r)},error:function(t){s.mutationStore.markMutationError(e,t),s.dataStore.markMutationComplete({mutationId:e,optimisticResponse:r}),s.broadcastQueries(),s.setQuery(e,(function(){return{document:null}})),c(new TM({networkError:t}))},complete:function(){if(m&&s.mutationStore.markMutationError(e,m),s.dataStore.markMutationComplete({mutationId:e,optimisticResponse:r}),s.broadcastQueries(),m)c(m);else{"function"==typeof a&&(a=a(f));var t=[];SM(a)&&a.forEach((function(e){if("string"==typeof e)s.queries.forEach((function(n){var r=n.observableQuery;r&&r.queryName===e&&t.push(r.refetch())}));else{var n={query:e.query,variables:e.variables,fetchPolicy:"network-only"};e.context&&(n.context=e.context),t.push(s.query(n))}})),Promise.all(l?t:[]).then((function(){s.setQuery(e,(function(){return{document:null}})),"ignore"===d&&f&&eM(f)&&delete f.errors,i(f)}))}}})}))]}}))}))},e.prototype.fetchQuery=function(e,t,n,r){return KR(this,void 0,void 0,(function(){var i,o,a,s,l,u,c,d,p,f,h,m,g,v,y,b,w,_,x=this;return YR(this,(function(k){switch(k.label){case 0:return i=t.metadata,o=void 0===i?null:i,a=t.fetchPolicy,s=void 0===a?"cache-first":a,l=t.context,u=void 0===l?{}:l,c=this.transform(t.query).document,d=this.getVariables(c,t.variables),this.transform(c).hasClientExports?[4,this.localState.addExportedVariables(c,d,u)]:[3,2];case 1:d=k.sent(),k.label=2;case 2:if(t=GR(GR({},t),{variables:d}),h=f="network-only"===s||"no-cache"===s,f||(m=this.dataStore.getCache().diff({query:c,variables:d,returnPartialData:!0,optimistic:!1}),g=m.complete,v=m.result,h=!g||"cache-and-network"===s,p=v),y=h&&"cache-only"!==s&&"standby"!==s,AI(["live"],c)&&(y=!0),b=this.idCounter++,w="no-cache"!==s?this.updateQueryWatch(e,c,t):void 0,this.setQuery(e,(function(){return{document:c,lastRequestId:b,invalidated:!0,cancel:w}})),this.invalidate(r),this.queryStore.initQuery({queryId:e,document:c,storePreviousVariables:y,variables:d,isPoll:n===AM.poll,isRefetch:n===AM.refetch,metadata:o,fetchMoreForQueryId:r}),this.broadcastQueries(),y){if(_=this.fetchRequest({requestId:b,queryId:e,document:c,options:t,fetchMoreForQueryId:r}).catch((function(t){throw t.hasOwnProperty("graphQLErrors")?t:(b>=x.getQuery(e).lastRequestId&&(x.queryStore.markQueryError(e,t,r),x.invalidate(e),x.invalidate(r),x.broadcastQueries()),new TM({networkError:t}))})),"cache-and-network"!==s)return[2,_];_.catch((function(){}))}return this.queryStore.markQueryResultClient(e,!y),this.invalidate(e),this.invalidate(r),this.transform(c).hasForcedResolvers?[2,this.localState.runResolvers({document:c,remoteResult:{data:p},context:u,variables:d,onlyRunForcedResolvers:!0}).then((function(n){return x.markQueryResult(e,n,t,r),x.broadcastQueries(),n}))]:(this.broadcastQueries(),[2,{data:p}])}}))}))},e.prototype.markQueryResult=function(e,t,n,r){var i=n.fetchPolicy,o=n.variables,a=n.errorPolicy;"no-cache"===i?this.setQuery(e,(function(){return{newData:{result:t.data,complete:!0}}})):this.dataStore.markQueryResult(t,this.getQuery(e).document,o,r,"ignore"===a||"all"===a)},e.prototype.queryListenerForObserver=function(e,t,n){var r=this;function i(e,t){if(n[e])try{n[e](t)}catch(e){}}return function(n,o){if(r.invalidate(e,!1),n){var a=r.getQuery(e),s=a.observableQuery,l=a.document,u=s?s.options.fetchPolicy:t.fetchPolicy;if("standby"!==u){var c=EM(n.networkStatus),d=s&&s.getLastResult(),p=!(!d||d.networkStatus===n.networkStatus),f=t.returnPartialData||!o&&n.previousVariables||p&&t.notifyOnNetworkStatusChange||"cache-only"===u||"cache-and-network"===u;if(!c||f){var h=SM(n.graphQLErrors),m=s&&s.options.errorPolicy||t.errorPolicy||"none";if("none"===m&&h||n.networkError)return i("error",new TM({graphQLErrors:n.graphQLErrors,networkError:n.networkError}));try{var g=void 0,v=void 0;if(o)"no-cache"!==u&&"network-only"!==u&&r.setQuery(e,(function(){return{newData:null}})),g=o.result,v=!o.complete;else{var y=s&&s.getLastError(),b="none"!==m&&(y&&y.graphQLErrors)!==n.graphQLErrors;if(d&&d.data&&!b)g=d.data,v=!1;else{var w=r.dataStore.getCache().diff({query:l,variables:n.previousVariables||n.variables,returnPartialData:!0,optimistic:!0});g=w.result,v=!w.complete}}var _=v&&!(t.returnPartialData||"cache-only"===u),x={data:_?d&&d.data:g,loading:c,networkStatus:n.networkStatus,stale:_};"all"===m&&h&&(x.errors=n.graphQLErrors),i("next",x)}catch(e){i("error",new TM({networkError:e}))}}}}}},e.prototype.transform=function(e){var t,n=this.transformCache;if(!n.has(e)){var r=this.dataStore.getCache(),i=r.transformDocument(e),o=(t=r.transformForLink(i),WI([HI],RI(t))),a=this.localState.clientQuery(i),s=this.localState.serverQuery(o),l={document:i,hasClientExports:TI(i),hasForcedResolvers:this.localState.shouldForceResolvers(i),clientQuery:a,serverQuery:s,defaultVars:FI(II(i))},u=function(e){e&&!n.has(e)&&n.set(e,l)};u(e),u(i),u(a),u(s)}return n.get(e)},e.prototype.getVariables=function(e,t){return GR(GR({},this.transform(e).defaultVars),t)},e.prototype.watchQuery=function(e,t){void 0===t&&(t=!0),nI("standby"!==e.fetchPolicy,11),e.variables=this.getVariables(e.query,e.variables),void 0===e.notifyOnNetworkStatusChange&&(e.notifyOnNetworkStatusChange=!1);var n=GR({},e);return new OM({queryManager:this,options:n,shouldSubscribe:t})},e.prototype.query=function(e){var t=this;return nI(e.query,12),nI("Document"===e.query.kind,13),nI(!e.returnPartialData,14),nI(!e.pollInterval,15),new Promise((function(n,r){var i=t.watchQuery(e,!1);t.fetchQueryRejectFns.set("query:"+i.queryId,r),i.result().then(n,r).then((function(){return t.fetchQueryRejectFns.delete("query:"+i.queryId)}))}))},e.prototype.generateQueryId=function(){return String(this.idCounter++)},e.prototype.stopQueryInStore=function(e){this.stopQueryInStoreNoBroadcast(e),this.broadcastQueries()},e.prototype.stopQueryInStoreNoBroadcast=function(e){this.stopPollingQuery(e),this.queryStore.stopQuery(e),this.invalidate(e)},e.prototype.addQueryListener=function(e,t){this.setQuery(e,(function(e){return e.listeners.add(t),{invalidated:!1}}))},e.prototype.updateQueryWatch=function(e,t,n){var r=this,i=this.getQuery(e).cancel;return i&&i(),this.dataStore.getCache().watch({query:t,variables:n.variables,optimistic:!0,previousResult:function(){var t=null,n=r.getQuery(e).observableQuery;if(n){var i=n.getLastResult();i&&(t=i.data)}return t},callback:function(t){r.setQuery(e,(function(){return{invalidated:!0,newData:t}}))}})},e.prototype.addObservableQuery=function(e,t){this.setQuery(e,(function(){return{observableQuery:t}}))},e.prototype.removeObservableQuery=function(e){var t=this.getQuery(e).cancel;this.setQuery(e,(function(){return{observableQuery:null}})),t&&t()},e.prototype.clearStore=function(){this.fetchQueryRejectFns.forEach((function(e){e(new tI(16))}));var e=[];return this.queries.forEach((function(t,n){t.observableQuery&&e.push(n)})),this.queryStore.reset(e),this.mutationStore.reset(),this.dataStore.reset()},e.prototype.resetStore=function(){var e=this;return this.clearStore().then((function(){return e.reFetchObservableQueries()}))},e.prototype.reFetchObservableQueries=function(e){var t=this;void 0===e&&(e=!1);var n=[];return this.queries.forEach((function(r,i){var o=r.observableQuery;if(o){var a=o.options.fetchPolicy;o.resetLastResults(),"cache-only"===a||!e&&"standby"===a||n.push(o.refetch()),t.setQuery(i,(function(){return{newData:null}})),t.invalidate(i)}})),this.broadcastQueries(),Promise.all(n)},e.prototype.observeQuery=function(e,t,n){return this.addQueryListener(e,this.queryListenerForObserver(e,t,n)),this.fetchQuery(e,t)},e.prototype.startQuery=function(e,t,n){return this.addQueryListener(e,n),this.fetchQuery(e,t).catch((function(){})),e},e.prototype.startGraphQLSubscription=function(e){var t=this,n=e.query,r=e.fetchPolicy,i=e.variables;n=this.transform(n).document,i=this.getVariables(n,i);var o=function(e){return t.getObservableFromLink(n,{},e,!1).map((function(i){if(r&&"no-cache"===r||(t.dataStore.markSubscriptionResult(i,n,e),t.broadcastQueries()),eM(i))throw new TM({graphQLErrors:i.errors});return i}))};if(this.transform(n).hasClientExports){var a=this.localState.addExportedVariables(n,i).then(o);return new CM((function(e){var t=null;return a.then((function(n){return t=n.subscribe(e)}),e.error),function(){return t&&t.unsubscribe()}}))}return o(i)},e.prototype.stopQuery=function(e){this.stopQueryNoBroadcast(e),this.broadcastQueries()},e.prototype.stopQueryNoBroadcast=function(e){this.stopQueryInStoreNoBroadcast(e),this.removeQuery(e)},e.prototype.removeQuery=function(e){this.fetchQueryRejectFns.delete("query:"+e),this.fetchQueryRejectFns.delete("fetchRequest:"+e),this.getQuery(e).subscriptions.forEach((function(e){return e.unsubscribe()})),this.queries.delete(e)},e.prototype.getCurrentQueryResult=function(e,t){void 0===t&&(t=!0);var n=e.options,r=n.variables,i=n.query,o=n.fetchPolicy,a=n.returnPartialData,s=e.getLastResult(),l=this.getQuery(e.queryId).newData;if(l&&l.complete)return{data:l.result,partial:!1};if("no-cache"===o||"network-only"===o)return{data:void 0,partial:!1};var u=this.dataStore.getCache().diff({query:i,variables:r,previousResult:s?s.data:void 0,returnPartialData:!0,optimistic:t}),c=u.result,d=u.complete;return{data:d||a?c:void 0,partial:!d}},e.prototype.getQueryWithPreviousResult=function(e){var t;if("string"==typeof e){var n=this.getQuery(e).observableQuery;nI(n,17),t=n}else t=e;var r=t.options,i=r.variables,o=r.query;return{previousResult:this.getCurrentQueryResult(t,!1).data,variables:i,document:o}},e.prototype.broadcastQueries=function(){var e=this;this.onBroadcast(),this.queries.forEach((function(t,n){t.invalidated&&t.listeners.forEach((function(r){r&&r(e.queryStore.get(n),t.newData)}))}))},e.prototype.getLocalState=function(){return this.localState},e.prototype.getObservableFromLink=function(e,t,n,r){var i,o=this;void 0===r&&(r=this.queryDeduplication);var a=this.transform(e).serverQuery;if(a){var s=this.inFlightLinkObservables,l=this.link,u={query:a,variables:n,operationName:MI(a)||void 0,context:this.prepareContext(GR(GR({},t),{forceFetch:!r}))};if(t=u.context,r){var c=s.get(a)||new Map;s.set(a,c);var d=JSON.stringify(n);if(!(i=c.get(d))){c.set(d,i=NM(_M(l,u)));var p=function(){c.delete(d),c.size||s.delete(a),f.unsubscribe()},f=i.subscribe({next:p,error:p,complete:p})}}else i=NM(_M(l,u))}else i=CM.of({data:{}}),t=this.prepareContext(t);var h=this.transform(e).clientQuery;return h&&(i=function(e,r){return new CM((function(r){var i=r.next,a=r.error,s=r.complete,l=0,u=!1,c={next:function(e){++l,new Promise((function(r){r(function(e){return o.localState.runResolvers({document:h,remoteResult:e,context:t,variables:n})}(e))})).then((function(e){--l,i&&i.call(r,e),u&&c.complete()}),(function(e){--l,a&&a.call(r,e)}))},error:function(e){a&&a.call(r,e)},complete:function(){u=!0,l||s&&s.call(r)}},d=e.subscribe(c);return function(){return d.unsubscribe()}}))}(i)),i},e.prototype.fetchRequest=function(e){var t,n,r=this,i=e.requestId,o=e.queryId,a=e.document,s=e.options,l=e.fetchMoreForQueryId,u=s.variables,c=s.errorPolicy,d=void 0===c?"none":c,p=s.fetchPolicy;return new Promise((function(e,c){var f=r.getObservableFromLink(a,s.context,u),h="fetchRequest:"+o;r.fetchQueryRejectFns.set(h,c);var m=function(){r.fetchQueryRejectFns.delete(h),r.setQuery(o,(function(e){e.subscriptions.delete(g)}))},g=f.map((function(e){if(i>=r.getQuery(o).lastRequestId&&(r.markQueryResult(o,e,s,l),r.queryStore.markQueryResult(o,e,l),r.invalidate(o),r.invalidate(l),r.broadcastQueries()),"none"===d&&SM(e.errors))return c(new TM({graphQLErrors:e.errors}));if("all"===d&&(n=e.errors),l||"no-cache"===p)t=e.data;else{var f=r.dataStore.getCache().diff({variables:u,query:a,optimistic:!1,returnPartialData:!0}),h=f.result;(f.complete||s.returnPartialData)&&(t=h)}})).subscribe({error:function(e){m(),c(e)},complete:function(){m(),e({data:t,errors:n,loading:!1,networkStatus:xM.ready,stale:!1})}});r.setQuery(o,(function(e){e.subscriptions.add(g)}))}))},e.prototype.getQuery=function(e){return this.queries.get(e)||{listeners:new Set,invalidated:!1,document:null,newData:null,lastRequestId:1,observableQuery:null,subscriptions:new Set}},e.prototype.setQuery=function(e,t){var n=this.getQuery(e),r=GR(GR({},n),t(n));this.queries.set(e,r)},e.prototype.invalidate=function(e,t){void 0===t&&(t=!0),e&&this.setQuery(e,(function(){return{invalidated:t}}))},e.prototype.prepareContext=function(e){void 0===e&&(e={});var t=this.localState.prepareContext(e);return GR(GR({},t),{clientAwareness:this.clientAwareness})},e.prototype.checkInFlight=function(e){var t=this.queryStore.get(e);return t&&t.networkStatus!==xM.ready&&t.networkStatus!==xM.error},e.prototype.startPollingQuery=function(e,t,n){var r=this,i=e.pollInterval;if(nI(i,18),!this.ssrMode){var o=this.pollingInfoByQueryId.get(t);o||this.pollingInfoByQueryId.set(t,o={}),o.interval=i,o.options=GR(GR({},e),{fetchPolicy:"network-only"});var a=function(){var e=r.pollingInfoByQueryId.get(t);e&&(r.checkInFlight(t)?s():r.fetchQuery(t,e.options,AM.poll).then(s,s))},s=function(){var e=r.pollingInfoByQueryId.get(t);e&&(clearTimeout(e.timeout),e.timeout=setTimeout(a,e.interval))};n&&this.addQueryListener(t,n),s()}return t},e.prototype.stopPollingQuery=function(e){this.pollingInfoByQueryId.delete(e)},e}(),BM=function(){function e(e){this.cache=e}return e.prototype.getCache=function(){return this.cache},e.prototype.markQueryResult=function(e,t,n,r,i){void 0===i&&(i=!1);var o=!eM(e);i&&eM(e)&&e.data&&(o=!0),!r&&o&&this.cache.write({result:e.data,dataId:"ROOT_QUERY",query:t,variables:n})},e.prototype.markSubscriptionResult=function(e,t,n){eM(e)||this.cache.write({result:e.data,dataId:"ROOT_SUBSCRIPTION",query:t,variables:n})},e.prototype.markMutationInit=function(e){var t,n=this;e.optimisticResponse&&(t="function"==typeof e.optimisticResponse?e.optimisticResponse(e.variables):e.optimisticResponse,this.cache.recordOptimisticTransaction((function(r){var i=n.cache;n.cache=r;try{n.markMutationResult({mutationId:e.mutationId,result:{data:t},document:e.document,variables:e.variables,updateQueries:e.updateQueries,update:e.update})}finally{n.cache=i}}),e.mutationId))},e.prototype.markMutationResult=function(e){var t=this;if(!eM(e.result)){var n=[{result:e.result.data,dataId:"ROOT_MUTATION",query:e.document,variables:e.variables}],r=e.updateQueries;r&&Object.keys(r).forEach((function(i){var o=r[i],a=o.query,s=o.updater,l=t.cache.diff({query:a.document,variables:a.variables,returnPartialData:!0,optimistic:!1}),u=l.result;if(l.complete){var c=JI((function(){return s(u,{mutationResult:e.result,queryName:MI(a.document)||void 0,queryVariables:a.variables})}));c&&n.push({result:c,dataId:"ROOT_QUERY",query:a.document,variables:a.variables})}})),this.cache.performTransaction((function(t){n.forEach((function(e){return t.write(e)}));var r=e.update;r&&JI((function(){return r(t,e.result)}))}))}},e.prototype.markMutationComplete=function(e){var t=e.mutationId;e.optimisticResponse&&this.cache.removeOptimistic(t)},e.prototype.markUpdateQueryResult=function(e,t,n){this.cache.write({result:n,dataId:"ROOT_QUERY",variables:t,query:e})},e.prototype.reset=function(){return this.cache.reset()},e}(),zM=function(){function e(e){var t=this;this.defaultOptions={},this.resetStoreCallbacks=[],this.clearStoreCallbacks=[];var n=e.cache,r=e.ssrMode,i=void 0!==r&&r,o=e.ssrForceFetchDelay,a=void 0===o?0:o,s=e.connectToDevTools,l=e.queryDeduplication,u=void 0===l||l,c=e.defaultOptions,d=e.assumeImmutableResults,p=void 0!==d&&d,f=e.resolvers,h=e.typeDefs,m=e.fragmentMatcher,g=e.name,v=e.version,y=e.link;if(!y&&f&&(y=wM.empty()),!y||!n)throw new tI(4);this.link=y,this.cache=n,this.store=new BM(n),this.disableNetworkFetches=i||a>0,this.queryDeduplication=u,this.defaultOptions=c||{},this.typeDefs=h,a&&setTimeout((function(){return t.disableNetworkFetches=!1}),a),this.watchQuery=this.watchQuery.bind(this),this.query=this.query.bind(this),this.mutate=this.mutate.bind(this),this.resetStore=this.resetStore.bind(this),this.reFetchObservableQueries=this.reFetchObservableQueries.bind(this),void 0!==s&&s&&"undefined"!=typeof window&&(window.__APOLLO_CLIENT__=this),this.version="2.6.10",this.localState=new LM({cache:n,client:this,resolvers:f,fragmentMatcher:m}),this.queryManager=new FM({link:this.link,store:this.store,queryDeduplication:u,ssrMode:i,clientAwareness:{name:g,version:v},localState:this.localState,assumeImmutableResults:p,onBroadcast:function(){t.devToolsHookCb&&t.devToolsHookCb({action:{},state:{queries:t.queryManager.queryStore.getStore(),mutations:t.queryManager.mutationStore.getStore()},dataWithOptimisticResults:t.cache.extract(!0)})}})}return e.prototype.stop=function(){this.queryManager.stop()},e.prototype.watchQuery=function(e){return this.defaultOptions.watchQuery&&(e=GR(GR({},this.defaultOptions.watchQuery),e)),!this.disableNetworkFetches||"network-only"!==e.fetchPolicy&&"cache-and-network"!==e.fetchPolicy||(e=GR(GR({},e),{fetchPolicy:"cache-first"})),this.queryManager.watchQuery(e)},e.prototype.query=function(e){return this.defaultOptions.query&&(e=GR(GR({},this.defaultOptions.query),e)),nI("cache-and-network"!==e.fetchPolicy,5),this.disableNetworkFetches&&"network-only"===e.fetchPolicy&&(e=GR(GR({},e),{fetchPolicy:"cache-first"})),this.queryManager.query(e)},e.prototype.mutate=function(e){return this.defaultOptions.mutate&&(e=GR(GR({},this.defaultOptions.mutate),e)),this.queryManager.mutate(e)},e.prototype.subscribe=function(e){return this.queryManager.startGraphQLSubscription(e)},e.prototype.readQuery=function(e,t){return void 0===t&&(t=!1),this.cache.readQuery(e,t)},e.prototype.readFragment=function(e,t){return void 0===t&&(t=!1),this.cache.readFragment(e,t)},e.prototype.writeQuery=function(e){var t=this.cache.writeQuery(e);return this.queryManager.broadcastQueries(),t},e.prototype.writeFragment=function(e){var t=this.cache.writeFragment(e);return this.queryManager.broadcastQueries(),t},e.prototype.writeData=function(e){var t=this.cache.writeData(e);return this.queryManager.broadcastQueries(),t},e.prototype.__actionHookForDevTools=function(e){this.devToolsHookCb=e},e.prototype.__requestRaw=function(e){return _M(this.link,e)},e.prototype.initQueryManager=function(){return this.queryManager},e.prototype.resetStore=function(){var e=this;return Promise.resolve().then((function(){return e.queryManager.clearStore()})).then((function(){return Promise.all(e.resetStoreCallbacks.map((function(e){return e()})))})).then((function(){return e.reFetchObservableQueries()}))},e.prototype.clearStore=function(){var e=this;return Promise.resolve().then((function(){return e.queryManager.clearStore()})).then((function(){return Promise.all(e.clearStoreCallbacks.map((function(e){return e()})))}))},e.prototype.onResetStore=function(e){var t=this;return this.resetStoreCallbacks.push(e),function(){t.resetStoreCallbacks=t.resetStoreCallbacks.filter((function(t){return t!==e}))}},e.prototype.onClearStore=function(e){var t=this;return this.clearStoreCallbacks.push(e),function(){t.clearStoreCallbacks=t.clearStoreCallbacks.filter((function(t){return t!==e}))}},e.prototype.reFetchObservableQueries=function(e){return this.queryManager.reFetchObservableQueries(e)},e.prototype.extract=function(e){return this.cache.extract(e)},e.prototype.restore=function(e){return this.cache.restore(e)},e.prototype.addResolvers=function(e){this.localState.addResolvers(e)},e.prototype.setResolvers=function(e){this.localState.setResolvers(e)},e.prototype.getResolvers=function(){return this.localState.getResolvers()},e.prototype.setLocalStateFragmentMatcher=function(e){this.localState.setFragmentMatcher(e)},e}(),UM=function(e,t){return UM=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)t.hasOwnProperty(n)&&(e[n]=t[n])},UM(e,t)};function qM(e,t){function n(){this.constructor=e}UM(e,t),e.prototype=null===t?Object.create(t):(n.prototype=t.prototype,new n)}var $M=function(){return $M=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},$M.apply(this,arguments)};function WM(e){return{kind:"Document",definitions:[{kind:"OperationDefinition",operation:"query",name:{kind:"Name",value:"GeneratedClientQuery"},selectionSet:HM(e)}]}}function HM(e){if("number"==typeof e||"boolean"==typeof e||"string"==typeof e||null==e)return null;if(Array.isArray(e))return HM(e[0]);var t=[];return Object.keys(e).forEach((function(n){var r={kind:"Field",name:{kind:"Name",value:n},selectionSet:HM(e[n])||void 0};t.push(r)})),{kind:"SelectionSet",selections:t}}var VM,GM={kind:"Document",definitions:[{kind:"OperationDefinition",operation:"query",name:null,variableDefinitions:null,directives:[],selectionSet:{kind:"SelectionSet",selections:[{kind:"Field",alias:null,name:{kind:"Name",value:"__typename"},arguments:[],directives:[],selectionSet:null}]}}]},KM=function(){function e(){}return e.prototype.transformDocument=function(e){return e},e.prototype.transformForLink=function(e){return e},e.prototype.readQuery=function(e,t){return void 0===t&&(t=!1),this.read({query:e.query,variables:e.variables,optimistic:t})},e.prototype.readFragment=function(e,t){return void 0===t&&(t=!1),this.read({query:PI(e.fragment,e.fragmentName),variables:e.variables,rootId:e.id,optimistic:t})},e.prototype.writeQuery=function(e){this.write({dataId:"ROOT_QUERY",result:e.data,query:e.query,variables:e.variables})},e.prototype.writeFragment=function(e){this.write({dataId:e.id,result:e.data,variables:e.variables,query:PI(e.fragment,e.fragmentName)})},e.prototype.writeData=function(e){var t,n,r=e.id,i=e.data;if(void 0!==r){var o=null;try{o=this.read({rootId:r,optimistic:!1,query:GM})}catch(e){}var a=o&&o.__typename||"__ClientData",s=Object.assign({__typename:a},i);this.writeFragment({id:r,fragment:(t=s,n=a,{kind:"Document",definitions:[{kind:"FragmentDefinition",typeCondition:{kind:"NamedType",name:{kind:"Name",value:n||"__FakeType"}},name:{kind:"Name",value:"GeneratedClientQuery"},selectionSet:HM(t)}]}),data:s})}else this.writeQuery({query:WM(i),data:i})},e}();VM||(VM={});var YM=null,ZM={},XM=1,QM="@wry/context:Slot",JM=Array,eL=JM[QM]||function(){var e=function(){function e(){this.id=["slot",XM++,Date.now(),Math.random().toString(36).slice(2)].join(":")}return e.prototype.hasValue=function(){for(var e=YM;e;e=e.parent)if(this.id in e.slots){var t=e.slots[this.id];if(t===ZM)break;return e!==YM&&(YM.slots[this.id]=t),!0}return YM&&(YM.slots[this.id]=ZM),!1},e.prototype.getValue=function(){if(this.hasValue())return YM.slots[this.id]},e.prototype.withValue=function(e,t,n,r){var i,o=((i={__proto__:null})[this.id]=e,i),a=YM;YM={parent:a,slots:o};try{return t.apply(r,n)}finally{YM=a}},e.bind=function(e){var t=YM;return function(){var n=YM;try{return YM=t,e.apply(this,arguments)}finally{YM=n}}},e.noContext=function(e,t,n){if(!YM)return e.apply(n,t);var r=YM;try{return YM=null,e.apply(n,t)}finally{YM=r}},e}();try{Object.defineProperty(JM,QM,{value:JM[QM]=e,enumerable:!1,writable:!1,configurable:!1})}finally{return e}}();function tL(){}eL.bind,eL.noContext;var nL=function(){function e(e,t){void 0===e&&(e=1/0),void 0===t&&(t=tL),this.max=e,this.dispose=t,this.map=new Map,this.newest=null,this.oldest=null}return e.prototype.has=function(e){return this.map.has(e)},e.prototype.get=function(e){var t=this.getEntry(e);return t&&t.value},e.prototype.getEntry=function(e){var t=this.map.get(e);if(t&&t!==this.newest){var n=t.older,r=t.newer;r&&(r.older=n),n&&(n.newer=r),t.older=this.newest,t.older.newer=t,t.newer=null,this.newest=t,t===this.oldest&&(this.oldest=r)}return t},e.prototype.set=function(e,t){var n=this.getEntry(e);return n?n.value=t:(n={key:e,value:t,newer:null,older:this.newest},this.newest&&(this.newest.newer=n),this.newest=n,this.oldest=this.oldest||n,this.map.set(e,n),n.value)},e.prototype.clean=function(){for(;this.oldest&&this.map.size>this.max;)this.delete(this.oldest.key)},e.prototype.delete=function(e){var t=this.map.get(e);return!!t&&(t===this.newest&&(this.newest=t.older),t===this.oldest&&(this.oldest=t.newer),t.newer&&(t.newer.older=t.older),t.older&&(t.older.newer=t.newer),this.map.delete(e),this.dispose(t.value,e),!0)},e}(),rL=new eL,iL=[],oL=[],aL=100;function sL(e,t){if(!e)throw new Error(t||"assertion failure")}function lL(e){switch(e.length){case 0:throw new Error("unknown value");case 1:return e[0];case 2:throw e[1]}}var uL=function(){function e(t,n){this.fn=t,this.args=n,this.parents=new Set,this.childValues=new Map,this.dirtyChildren=null,this.dirty=!0,this.recomputing=!1,this.value=[],++e.count}return e.prototype.recompute=function(){if(sL(!this.recomputing,"already recomputing"),function(e){var t=rL.getValue();if(t)return e.parents.add(t),t.childValues.has(e)||t.childValues.set(e,[]),dL(e)?hL(t,e):mL(t,e),t}(this)||!vL(this))return dL(this)?(t=yL(e=this),rL.withValue(e,cL,[e]),function(e){if("function"==typeof e.subscribe)try{wL(e),e.unsubscribe=e.subscribe.apply(null,e.args)}catch(t){return e.setDirty(),!1}return!0}(e)&&function(e){e.dirty=!1,dL(e)||fL(e)}(e),t.forEach(vL),lL(e.value)):lL(this.value);var e,t},e.prototype.setDirty=function(){this.dirty||(this.dirty=!0,this.value.length=0,pL(this),wL(this))},e.prototype.dispose=function(){var e=this;yL(this).forEach(vL),wL(this),this.parents.forEach((function(t){t.setDirty(),bL(t,e)}))},e.count=0,e}();function cL(e){e.recomputing=!0,e.value.length=0;try{e.value[0]=e.fn.apply(null,e.args)}catch(t){e.value[1]=t}e.recomputing=!1}function dL(e){return e.dirty||!(!e.dirtyChildren||!e.dirtyChildren.size)}function pL(e){e.parents.forEach((function(t){return hL(t,e)}))}function fL(e){e.parents.forEach((function(t){return mL(t,e)}))}function hL(e,t){if(sL(e.childValues.has(t)),sL(dL(t)),e.dirtyChildren){if(e.dirtyChildren.has(t))return}else e.dirtyChildren=oL.pop()||new Set;e.dirtyChildren.add(t),pL(e)}function mL(e,t){sL(e.childValues.has(t)),sL(!dL(t));var n=e.childValues.get(t);0===n.length?e.childValues.set(t,t.value.slice(0)):function(e,t){var n=e.length;return n>0&&n===t.length&&e[n-1]===t[n-1]}(n,t.value)||e.setDirty(),gL(e,t),dL(e)||fL(e)}function gL(e,t){var n=e.dirtyChildren;n&&(n.delete(t),0===n.size&&(oL.length<aL&&oL.push(n),e.dirtyChildren=null))}function vL(e){return 0===e.parents.size&&"function"==typeof e.reportOrphan&&!0===e.reportOrphan()}function yL(e){var t=iL;return e.childValues.size>0&&(t=[],e.childValues.forEach((function(n,r){bL(e,r),t.push(r)}))),sL(null===e.dirtyChildren),t}function bL(e,t){t.parents.delete(e),e.childValues.delete(t),gL(e,t)}function wL(e){var t=e.unsubscribe;"function"==typeof t&&(e.unsubscribe=void 0,t())}var _L=function(){function e(e){this.weakness=e}return e.prototype.lookup=function(){for(var e=[],t=0;t<arguments.length;t++)e[t]=arguments[t];return this.lookupArray(e)},e.prototype.lookupArray=function(e){var t=this;return e.forEach((function(e){return t=t.getChildTrie(e)})),t.data||(t.data=Object.create(null))},e.prototype.getChildTrie=function(t){var n=this.weakness&&function(e){switch(typeof e){case"object":if(null===e)break;case"function":return!0}return!1}(t)?this.weak||(this.weak=new WeakMap):this.strong||(this.strong=new Map),r=n.get(t);return r||n.set(t,r=new e(this.weakness)),r},e}(),xL=new _L("function"==typeof WeakMap);function kL(){for(var e=[],t=0;t<arguments.length;t++)e[t]=arguments[t];return xL.lookupArray(e)}var EL=new Set;function CL(e,t){void 0===t&&(t=Object.create(null));var n=new nL(t.max||Math.pow(2,16),(function(e){return e.dispose()})),r=!!t.disposable,i=t.makeCacheKey||kL;function o(){if(!r||rL.hasValue()){var o=i.apply(null,arguments);if(void 0===o)return e.apply(null,arguments);var a=Array.prototype.slice.call(arguments),s=n.get(o);s?s.args=a:(s=new uL(e,a),n.set(o,s),s.subscribe=t.subscribe,r&&(s.reportOrphan=function(){return n.delete(o)}));var l=s.recompute();return n.set(o,s),EL.add(n),rL.hasValue()||(EL.forEach((function(e){return e.clean()})),EL.clear()),r?void 0:l}}return o.dirty=function(){var e=i.apply(null,arguments),t=void 0!==e&&n.get(e);t&&t.setDirty()},o}var SL=!1;function AL(){var e=!SL;return!0===QI("test")||(SL=!0),e}var TL=function(){function e(){}return e.prototype.ensureReady=function(){return Promise.resolve()},e.prototype.canBypassInit=function(){return!0},e.prototype.match=function(e,t,n){var r=n.store.get(e.id),i="ROOT_QUERY"===e.id;if(!r)return i;var o=r.__typename,a=void 0===o?i&&"Query":o;return a&&a===t||(AL(),"heuristic")},e}(),OL=function(){function e(e){e&&e.introspectionQueryResultData?(this.possibleTypesMap=this.parseIntrospectionResult(e.introspectionQueryResultData),this.isReady=!0):this.isReady=!1,this.match=this.match.bind(this)}return e.prototype.match=function(e,t,n){nI(this.isReady,1);var r=n.store.get(e.id),i="ROOT_QUERY"===e.id;if(!r)return i;var o=r.__typename,a=void 0===o?i&&"Query":o;if(nI(a,2),a===t)return!0;var s=this.possibleTypesMap[t];return!!(a&&s&&s.indexOf(a)>-1)},e.prototype.parseIntrospectionResult=function(e){var t={};return e.__schema.types.forEach((function(e){"UNION"!==e.kind&&"INTERFACE"!==e.kind||(t[e.name]=e.possibleTypes.map((function(e){return e.name})))})),t},e}(),PL=Object.prototype.hasOwnProperty,DL=function(){function e(e){var t=this;void 0===e&&(e=Object.create(null)),this.data=e,this.depend=CL((function(e){return t.data[e]}),{disposable:!0,makeCacheKey:function(e){return e}})}return e.prototype.toObject=function(){return this.data},e.prototype.get=function(e){return this.depend(e),this.data[e]},e.prototype.set=function(e,t){t!==this.data[e]&&(this.data[e]=t,this.depend.dirty(e))},e.prototype.delete=function(e){PL.call(this.data,e)&&(delete this.data[e],this.depend.dirty(e))},e.prototype.clear=function(){this.replace(null)},e.prototype.replace=function(e){var t=this;e?(Object.keys(e).forEach((function(n){t.set(n,e[n])})),Object.keys(this.data).forEach((function(n){PL.call(e,n)||t.delete(n)}))):Object.keys(this.data).forEach((function(e){t.delete(e)}))},e}();function RL(e){return new DL(e)}var IL=function(){function e(e){var t=this,n=void 0===e?{}:e,r=n.cacheKeyRoot,i=void 0===r?new _L(KI):r,o=n.freezeResults,a=void 0!==o&&o,s=this,l=s.executeStoreQuery,u=s.executeSelectionSet,c=s.executeSubSelectedArray;this.freezeResults=a,this.executeStoreQuery=CL((function(e){return l.call(t,e)}),{makeCacheKey:function(e){var t=e.query,n=e.rootValue,r=e.contextValue,o=e.variableValues,a=e.fragmentMatcher;if(r.store instanceof DL)return i.lookup(r.store,t,a,JSON.stringify(o),n.id)}}),this.executeSelectionSet=CL((function(e){return u.call(t,e)}),{makeCacheKey:function(e){var t=e.selectionSet,n=e.rootValue,r=e.execContext;if(r.contextValue.store instanceof DL)return i.lookup(r.contextValue.store,t,r.fragmentMatcher,JSON.stringify(r.variableValues),n.id)}}),this.executeSubSelectedArray=CL((function(e){return c.call(t,e)}),{makeCacheKey:function(e){var t=e.field,n=e.array,r=e.execContext;if(r.contextValue.store instanceof DL)return i.lookup(r.contextValue.store,t,n,JSON.stringify(r.variableValues))}})}return e.prototype.readQueryFromStore=function(e){return this.diffQueryAgainstStore($M($M({},e),{returnPartialData:!1})).result},e.prototype.diffQueryAgainstStore=function(e){var t,n=e.store,r=e.query,i=e.variables,o=e.previousResult,a=e.returnPartialData,s=void 0===a||a,l=e.rootId,u=void 0===l?"ROOT_QUERY":l,c=e.fragmentMatcherFunction,d=e.config;i=DI({},FI((nI((t=II(r))&&"query"===t.operation,6),t)),i);var p={store:n,dataIdFromObject:d&&d.dataIdFromObject,cacheRedirects:d&&d.cacheRedirects||{}},f=this.executeStoreQuery({query:r,rootValue:{type:"id",id:u,generated:!0,typename:"Query"},contextValue:p,variableValues:i,fragmentMatcher:c}),h=f.missing&&f.missing.length>0;return h&&!s&&f.missing.forEach((function(e){if(!e.tolerable)throw new tI(8)})),o&&fI(o,f.result)&&(f.result=o),{result:f.result,complete:!h}},e.prototype.executeStoreQuery=function(e){var t=e.query,n=e.rootValue,r=e.contextValue,i=e.variableValues,o=e.fragmentMatcher,a=void 0===o?LL:o,s=NI(t),l={query:t,fragmentMap:jI(LI(t)),contextValue:r,variableValues:i,fragmentMatcher:a};return this.executeSelectionSet({selectionSet:s.selectionSet,rootValue:n,execContext:l})},e.prototype.executeSelectionSet=function(e){var t=this,n=e.selectionSet,r=e.rootValue,i=e.execContext,o=i.fragmentMap,a=i.contextValue,s=i.variableValues,l={result:null},u=[],c=a.store.get(r.id),d=c&&c.__typename||"ROOT_QUERY"===r.id&&"Query"||void 0;function p(e){var t;return e.missing&&(l.missing=l.missing||[],(t=l.missing).push.apply(t,e.missing)),e.result}return n.selections.forEach((function(e){var n;if(SI(e,s))if(_I(e)){var l=p(t.executeField(c,d,e,i));void 0!==l&&u.push(((n={})[wI(e)]=l,n))}else{var f=void 0;if(xI(e))f=e;else if(!(f=o[e.name.value]))throw new tI(9);var h=f.typeCondition&&f.typeCondition.name.value,m=!h||i.fragmentMatcher(r,h,a);if(m){var g=t.executeSelectionSet({selectionSet:f.selectionSet,rootValue:r,execContext:i});"heuristic"===m&&g.missing&&(g=$M($M({},g),{missing:g.missing.map((function(e){return $M($M({},e),{tolerable:!0})}))})),u.push(p(g))}}})),l.result=rM(u),this.freezeResults,l},e.prototype.executeField=function(e,t,n,r){var i=r.variableValues,o=r.contextValue,a=function(e,t,n,r,i,o){var a=o.directives,s=n;(r||a)&&(s=yI(s,r,a));var l,u=void 0;if(e&&void 0===(u=e[s])&&i.cacheRedirects&&"string"==typeof t){var c=i.cacheRedirects[t];if(c){var d=c[n];d&&(u=d(e,r,{getCacheKey:function(e){var t=i.dataIdFromObject(e);return t&&EI({id:t,typename:e.__typename})}}))}}return void 0===u?{result:u,missing:[{object:e,fieldName:s,tolerable:!1}]}:(null!=(l=u)&&"object"==typeof l&&"json"===l.type&&(u=u.json),{result:u})}(e,t,n.name.value,bI(n,i),o,{resultKey:wI(n),directives:CI(n,i)});return Array.isArray(a.result)?this.combineExecResults(a,this.executeSubSelectedArray({field:n,array:a.result,execContext:r})):n.selectionSet?null==a.result?a:this.combineExecResults(a,this.executeSelectionSet({selectionSet:n.selectionSet,rootValue:a.result,execContext:r})):(ML(n,a.result),this.freezeResults,a)},e.prototype.combineExecResults=function(){for(var e,t=[],n=0;n<arguments.length;n++)t[n]=arguments[n];return t.forEach((function(t){t.missing&&(e=e||[]).push.apply(e,t.missing)})),{result:t.pop().result,missing:e}},e.prototype.executeSubSelectedArray=function(e){var t,n=this,r=e.field,i=e.array,o=e.execContext;function a(e){return e.missing&&(t=t||[]).push.apply(t,e.missing),e.result}return i=i.map((function(e){return null===e?null:Array.isArray(e)?a(n.executeSubSelectedArray({field:r,array:e,execContext:o})):r.selectionSet?a(n.executeSelectionSet({selectionSet:r.selectionSet,rootValue:e,execContext:o})):(ML(r,e),e)})),this.freezeResults,{result:i,missing:t}},e}();function ML(e,t){if(!e.selectionSet&&kI(t))throw new tI(10)}function LL(){return!0}var NL=function(){function e(e){void 0===e&&(e=Object.create(null)),this.data=e}return e.prototype.toObject=function(){return this.data},e.prototype.get=function(e){return this.data[e]},e.prototype.set=function(e,t){this.data[e]=t},e.prototype.delete=function(e){this.data[e]=void 0},e.prototype.clear=function(){this.data=Object.create(null)},e.prototype.replace=function(e){this.data=e||Object.create(null)},e}(),jL=function(e){function t(){var t=null!==e&&e.apply(this,arguments)||this;return t.type="WriteError",t}return qM(t,e),t}(Error),FL=function(){function e(){}return e.prototype.writeQueryToStore=function(e){var t=e.query,n=e.result,r=e.store,i=void 0===r?RL():r,o=e.variables,a=e.dataIdFromObject,s=e.fragmentMatcherFunction;return this.writeResultToStore({dataId:"ROOT_QUERY",result:n,document:t,store:i,variables:o,dataIdFromObject:a,fragmentMatcherFunction:s})},e.prototype.writeResultToStore=function(e){var t=e.dataId,n=e.result,r=e.document,i=e.store,o=void 0===i?RL():i,a=e.variables,s=e.dataIdFromObject,l=e.fragmentMatcherFunction,u=II(r);try{return this.writeSelectionSetToStore({result:n,dataId:t,selectionSet:u.selectionSet,context:{store:o,processedData:{},variables:DI({},FI(u),a),dataIdFromObject:s,fragmentMap:jI(LI(r)),fragmentMatcherFunction:l}})}catch(e){throw function(e,t){var n=new jL("Error writing result to store for query:\n "+JSON.stringify(t));return n.message+="\n"+e.message,n.stack=e.stack,n}(e,r)}},e.prototype.writeSelectionSetToStore=function(e){var t=this,n=e.result,r=e.dataId,i=e.selectionSet,o=e.context,a=o.variables,s=o.store,l=o.fragmentMap;return i.selections.forEach((function(e){var i;if(SI(e,a))if(_I(e)){var s=wI(e),u=n[s];if(void 0!==u)t.writeFieldToStore({dataId:r,value:u,field:e,context:o});else{var c=!1,d=!1;e.directives&&e.directives.length&&(c=e.directives.some((function(e){return e.name&&"defer"===e.name.value})),d=e.directives.some((function(e){return e.name&&"client"===e.name.value}))),!c&&!d&&o.fragmentMatcherFunction}}else{var p=void 0;xI(e)?p=e:nI(p=(l||{})[e.name.value],3);var f=!0;if(o.fragmentMatcherFunction&&p.typeCondition){var h=r||"self",m=EI({id:h,typename:void 0}),g={store:new NL((i={},i[h]=n,i)),cacheRedirects:{}},v=o.fragmentMatcherFunction(m,p.typeCondition.name.value,g);QI("production"),f=!!v}f&&t.writeSelectionSetToStore({result:n,selectionSet:p.selectionSet,dataId:r,context:o})}})),s},e.prototype.writeFieldToStore=function(e){var t,n,r,i=e.field,o=e.value,a=e.dataId,s=e.context,l=s.variables,u=s.dataIdFromObject,c=s.store,d=function(e,t){var n=null;e.directives&&(n={},e.directives.forEach((function(e){n[e.name.value]={},e.arguments&&e.arguments.forEach((function(r){var i=r.name,o=r.value;return gI(n[e.name.value],i,o,t)}))})));var r=null;return e.arguments&&e.arguments.length&&(r={},e.arguments.forEach((function(e){var n=e.name,i=e.value;return gI(r,n,i,t)}))),yI(e.name.value,r,n)}(i,l);if(i.selectionSet&&null!==o)if(Array.isArray(o)){var p=a+"."+d;n=this.processArrayValue(o,p,i.selectionSet,s)}else{var f=a+"."+d,h=!0;if(BL(f)||(f="$"+f),u){var m=u(o);nI(!m||!BL(m),4),(m||"number"==typeof m&&0===m)&&(f=m,h=!1)}UL(f,i,s.processedData)||this.writeSelectionSetToStore({dataId:f,result:o,selectionSet:i.selectionSet,context:s});var g=o.__typename;n=EI({id:f,typename:g},h);var v=(r=c.get(a))&&r[d];if(v!==n&&kI(v)){var y=void 0!==v.typename,b=void 0!==g,w=y&&b&&v.typename!==g;nI(!h||v.generated||w,5),nI(!y||b,6),v.generated&&(w?h||c.delete(v.id):zL(v.id,n.id,c))}}else n=null!=o&&"object"==typeof o?{type:"json",json:o}:o;(r=c.get(a))&&fI(n,r[d])||c.set(a,$M($M({},r),((t={})[d]=n,t)))},e.prototype.processArrayValue=function(e,t,n,r){var i=this;return e.map((function(e,o){if(null===e)return null;var a=t+"."+o;if(Array.isArray(e))return i.processArrayValue(e,a,n,r);var s=!0;if(r.dataIdFromObject){var l=r.dataIdFromObject(e);l&&(a=l,s=!1)}return UL(a,n,r.processedData)||i.writeSelectionSetToStore({dataId:a,result:e,selectionSet:n,context:r}),EI({id:a,typename:e.__typename},s)}))},e}();function BL(e){return"$"===e[0]}function zL(e,t,n){if(e===t)return!1;var r=n.get(e),i=n.get(t),o=!1;Object.keys(r).forEach((function(e){var t=r[e],a=i[e];kI(t)&&BL(t.id)&&kI(a)&&!fI(t,a)&&zL(t.id,a.id,n)&&(o=!0)})),n.delete(e);var a=$M($M({},r),i);return fI(a,i)?o:(n.set(t,a),!0)}function UL(e,t,n){if(!n)return!1;if(n[e]){if(n[e].indexOf(t)>=0)return!0;n[e].push(t)}else n[e]=[t];return!1}var qL={fragmentMatcher:new TL,dataIdFromObject:$L,addTypename:!0,resultCaching:!0,freezeResults:!1};function $L(e){if(e.__typename){if(void 0!==e.id)return e.__typename+":"+e.id;if(void 0!==e._id)return e.__typename+":"+e._id}return null}var WL=Object.prototype.hasOwnProperty,HL=function(e){function t(t,n,r){var i=e.call(this,Object.create(null))||this;return i.optimisticId=t,i.parent=n,i.transaction=r,i}return qM(t,e),t.prototype.toObject=function(){return $M($M({},this.parent.toObject()),this.data)},t.prototype.get=function(e){return WL.call(this.data,e)?this.data[e]:this.parent.get(e)},t}(NL),VL=function(e){function t(t){void 0===t&&(t={});var n=e.call(this)||this;n.watches=new Set,n.typenameDocumentCache=new Map,n.cacheKeyRoot=new _L(KI),n.silenceBroadcast=!1,n.config=$M($M({},qL),t),n.config.customResolvers&&(n.config.cacheRedirects=n.config.customResolvers),n.config.cacheResolvers&&(n.config.cacheRedirects=n.config.cacheResolvers),n.addTypename=!!n.config.addTypename,n.data=n.config.resultCaching?new DL:new NL,n.optimisticData=n.data,n.storeWriter=new FL,n.storeReader=new IL({cacheKeyRoot:n.cacheKeyRoot,freezeResults:t.freezeResults});var r=n,i=r.maybeBroadcastWatch;return n.maybeBroadcastWatch=CL((function(e){return i.call(n,e)}),{makeCacheKey:function(e){if(!e.optimistic&&!e.previousResult)return r.data instanceof DL?r.cacheKeyRoot.lookup(e.query,JSON.stringify(e.variables)):void 0}}),n}return qM(t,e),t.prototype.restore=function(e){return e&&this.data.replace(e),this},t.prototype.extract=function(e){return void 0===e&&(e=!1),(e?this.optimisticData:this.data).toObject()},t.prototype.read=function(e){if("string"==typeof e.rootId&&void 0===this.data.get(e.rootId))return null;var t=this.config.fragmentMatcher,n=t&&t.match;return this.storeReader.readQueryFromStore({store:e.optimistic?this.optimisticData:this.data,query:this.transformDocument(e.query),variables:e.variables,rootId:e.rootId,fragmentMatcherFunction:n,previousResult:e.previousResult,config:this.config})||null},t.prototype.write=function(e){var t=this.config.fragmentMatcher,n=t&&t.match;this.storeWriter.writeResultToStore({dataId:e.dataId,result:e.result,variables:e.variables,document:this.transformDocument(e.query),store:this.data,dataIdFromObject:this.config.dataIdFromObject,fragmentMatcherFunction:n}),this.broadcastWatches()},t.prototype.diff=function(e){var t=this.config.fragmentMatcher,n=t&&t.match;return this.storeReader.diffQueryAgainstStore({store:e.optimistic?this.optimisticData:this.data,query:this.transformDocument(e.query),variables:e.variables,returnPartialData:e.returnPartialData,previousResult:e.previousResult,fragmentMatcherFunction:n,config:this.config})},t.prototype.watch=function(e){var t=this;return this.watches.add(e),function(){t.watches.delete(e)}},t.prototype.evict=function(e){throw new tI(7)},t.prototype.reset=function(){return this.data.clear(),this.broadcastWatches(),Promise.resolve()},t.prototype.removeOptimistic=function(e){for(var t=[],n=0,r=this.optimisticData;r instanceof HL;)r.optimisticId===e?++n:t.push(r),r=r.parent;if(n>0){for(this.optimisticData=r;t.length>0;){var i=t.pop();this.performTransaction(i.transaction,i.optimisticId)}this.broadcastWatches()}},t.prototype.performTransaction=function(e,t){var n=this.data,r=this.silenceBroadcast;this.silenceBroadcast=!0,"string"==typeof t&&(this.data=this.optimisticData=new HL(t,this.optimisticData,e));try{e(this)}finally{this.silenceBroadcast=r,this.data=n}this.broadcastWatches()},t.prototype.recordOptimisticTransaction=function(e,t){return this.performTransaction(e,t)},t.prototype.transformDocument=function(e){if(this.addTypename){var t=this.typenameDocumentCache.get(e);return t||(n=e,t=(0,ZR.visit)(RI(n),{SelectionSet:{enter:function(e,t,n){if(!n||"OperationDefinition"!==n.kind){var r=e.selections;if(r&&!r.some((function(e){return _I(e)&&("__typename"===e.name.value||0===e.name.value.lastIndexOf("__",0))}))){var i=n;if(!(_I(i)&&i.directives&&i.directives.some((function(e){return"export"===e.name.value}))))return oI(oI({},e),{selections:aI(r,[zI])})}}}}}),this.typenameDocumentCache.set(e,t),this.typenameDocumentCache.set(t,t)),t}var n;return e},t.prototype.broadcastWatches=function(){var e=this;this.silenceBroadcast||this.watches.forEach((function(t){return e.maybeBroadcastWatch(t)}))},t.prototype.maybeBroadcastWatch=function(e){e.callback(this.diff({query:e.query,variables:e.variables,previousResult:e.previousResult&&e.previousResult(),optimistic:e.optimistic}))},t}(KM),GL=function(e,t){return GL=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)t.hasOwnProperty(n)&&(e[n]=t[n])},GL(e,t)},KL=function(){return KL=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},KL.apply(this,arguments)},YL=function(){return YL=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},YL.apply(this,arguments)},ZL=__webpack_require__(33083),XL={http:{includeQuery:!0,includeExtensions:!1},headers:{accept:"*/*","content-type":"application/json"},options:{method:"POST"}},QL=function(e,t,n){var r=new Error(n);throw r.name="ServerError",r.response=e,r.statusCode=e.status,r.result=t,r},JL=function(e,t){var n;try{n=JSON.stringify(e)}catch(e){var r=new tI(2);throw r.parseError=e,r}return n},eN=function(e){void 0===e&&(e={});var t=e.uri,n=void 0===t?"/graphql":t,r=e.fetch,i=e.includeExtensions,o=e.useGETForQueries,a=function(e,t){var n={};for(var r in e)Object.prototype.hasOwnProperty.call(e,r)&&t.indexOf(r)<0&&(n[r]=e[r]);if(null!=e&&"function"==typeof Object.getOwnPropertySymbols){var i=0;for(r=Object.getOwnPropertySymbols(e);i<r.length;i++)t.indexOf(r[i])<0&&Object.prototype.propertyIsEnumerable.call(e,r[i])&&(n[r[i]]=e[r[i]])}return n}(e,["uri","fetch","includeExtensions","useGETForQueries"]);!function(e){if(!e&&"undefined"==typeof fetch)throw new tI(1)}(r),r||(r=fetch);var s={http:{includeExtensions:i},options:a.fetchOptions,credentials:a.credentials,headers:a.headers};return new wM((function(e){var t=function(e,t){return e.getContext().uri||("function"==typeof t?t(e):t||"/graphql")}(e,n),i=e.getContext(),a={};if(i.clientAwareness){var l=i.clientAwareness,u=l.name,c=l.version;u&&(a["apollographql-client-name"]=u),c&&(a["apollographql-client-version"]=c)}var d,p=KL({},a,i.headers),f={http:i.http,options:i.fetchOptions,credentials:i.credentials,headers:p},h=function(e,t){for(var n=[],r=2;r<arguments.length;r++)n[r-2]=arguments[r];var i=YL({},t.options,{headers:t.headers,credentials:t.credentials}),o=t.http;n.forEach((function(e){i=YL({},i,e.options,{headers:YL({},i.headers,e.headers)}),e.credentials&&(i.credentials=e.credentials),o=YL({},o,e.http)}));var a=e.operationName,s=e.extensions,l=e.variables,u=e.query,c={operationName:a,variables:l};return o.includeExtensions&&(c.extensions=s),o.includeQuery&&(c.query=(0,ZL.S)(u)),{options:i,body:c}}(e,XL,s,f),m=h.options,g=h.body;if(!m.signal){var v=function(){if("undefined"==typeof AbortController)return{controller:!1,signal:!1};var e=new AbortController;return{controller:e,signal:e.signal}}(),y=v.controller,b=v.signal;(d=y)&&(m.signal=b)}if(o&&!e.query.definitions.some((function(e){return"OperationDefinition"===e.kind&&"mutation"===e.operation}))&&(m.method="GET"),"GET"===m.method){var w=function(e,t){var n=[],r=function(e,t){n.push(e+"="+encodeURIComponent(t))};if("query"in t&&r("query",t.query),t.operationName&&r("operationName",t.operationName),t.variables){var i=void 0;try{i=JL(t.variables)}catch(e){return{parseError:e}}r("variables",i)}if(t.extensions){var o=void 0;try{o=JL(t.extensions)}catch(e){return{parseError:e}}r("extensions",o)}var a="",s=e,l=e.indexOf("#");-1!==l&&(a=e.substr(l),s=e.substr(0,l));var u=-1===s.indexOf("?")?"?":"&";return{newURI:s+u+n.join("&")+a}}(t,g),_=w.newURI,x=w.parseError;if(x)return fM(x);t=_}else try{m.body=JL(g)}catch(x){return fM(x)}return new lM((function(n){var i;return r(t,m).then((function(t){return e.setContext({response:t}),t})).then((i=e,function(e){return e.text().then((function(t){try{return JSON.parse(t)}catch(r){var n=r;return n.name="ServerParseError",n.response=e,n.statusCode=e.status,n.bodyText=t,Promise.reject(n)}})).then((function(t){return e.status>=300&&QL(e,t,"Response not successful: Received status code "+e.status),Array.isArray(t)||t.hasOwnProperty("data")||t.hasOwnProperty("errors")||QL(e,t,"Server response was missing for query '"+(Array.isArray(i)?i.map((function(e){return e.operationName})):i.operationName)+"'."),t}))})).then((function(e){return n.next(e),n.complete(),e})).catch((function(e){"AbortError"!==e.name&&(e.result&&e.result.errors&&e.result.data&&n.next(e.result),n.error(e))})),function(){d&&d.abort()}}))}))};function tN(e){return new wM((function(t,n){var r=function(e,t){var n={};for(var r in e)Object.prototype.hasOwnProperty.call(e,r)&&t.indexOf(r)<0&&(n[r]=e[r]);if(null!=e&&"function"==typeof Object.getOwnPropertySymbols){var i=0;for(r=Object.getOwnPropertySymbols(e);i<r.length;i++)t.indexOf(r[i])<0&&Object.prototype.propertyIsEnumerable.call(e,r[i])&&(n[r[i]]=e[r[i]])}return n}(t,[]);return new lM((function(i){var o;return Promise.resolve(r).then((function(n){return e(n,t.getContext())})).then(t.setContext).then((function(){o=n(t).subscribe({next:i.next.bind(i),error:i.error.bind(i),complete:i.complete.bind(i)})})).catch(i.error.bind(i)),function(){o&&o.unsubscribe()}}))}))}!function(e){!function(e,t){function n(){this.constructor=e}GL(e,t),e.prototype=null===t?Object.create(t):(n.prototype=t.prototype,new n)}((function(t){return e.call(this,eN(t).request)||this}),e)}(wM);var nN=__webpack_require__(16336),rN=__webpack_require__.n(nN),iN=function(){return iN=Object.assign||function(e){for(var t,n=1,r=arguments.length;n<r;n++)for(var i in t=arguments[n])Object.prototype.hasOwnProperty.call(t,i)&&(e[i]=t[i]);return e},iN.apply(this,arguments)};function oN(e){return oN="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},oN(e)}Object.create,Object.create,"function"==typeof SuppressedError&&SuppressedError,"function"==typeof Symbol&&null!=Symbol.iterator&&Symbol.iterator,"function"==typeof Symbol&&null!=Symbol.asyncIterator&&Symbol.asyncIterator;var aN="function"==typeof Symbol&&null!=Symbol.toStringTag?Symbol.toStringTag:"@@toStringTag";function sN(e,t){for(var n,r=/\r\n|[\n\r]/g,i=1,o=t+1;(n=r.exec(e.body))&&n.index<t;)i+=1,o=t+1-(n.index+n[0].length);return{line:i,column:o}}function lN(e){return uN(e.source,sN(e.source,e.start))}function uN(e,t){var n=e.locationOffset.column-1,r=dN(n)+e.body,i=t.line-1,o=e.locationOffset.line-1,a=t.line+o,s=1===t.line?n:0,l=t.column+s,u="".concat(e.name,":").concat(a,":").concat(l,"\n"),c=r.split(/\r\n|[\n\r]/g),d=c[i];if(d.length>120){for(var p=Math.floor(l/80),f=l%80,h=[],m=0;m<d.length;m+=80)h.push(d.slice(m,m+80));return u+cN([["".concat(a),h[0]]].concat(h.slice(1,p+1).map((function(e){return["",e]})),[[" ",dN(f-1)+"^"],["",h[p+1]]]))}return u+cN([["".concat(a-1),c[i-1]],["".concat(a),d],["",dN(l-1)+"^"],["".concat(a+1),c[i+1]]])}function cN(e){var t=e.filter((function(e){return e[0],void 0!==e[1]})),n=Math.max.apply(Math,t.map((function(e){return e[0].length})));return t.map((function(e){var t,r=e[0],i=e[1];return dN(n-(t=r).length)+t+(i?" | "+i:" |")})).join("\n")}function dN(e){return Array(e+1).join(" ")}function pN(e){return pN="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},pN(e)}function fN(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function hN(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function mN(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function gN(e,t){return!t||"object"!==pN(t)&&"function"!=typeof t?vN(e):t}function vN(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}function yN(e){var t="function"==typeof Map?new Map:void 0;return yN=function(e){if(null===e||!function(e){return-1!==Function.toString.call(e).indexOf("[native code]")}(e))return e;if("function"!=typeof e)throw new TypeError("Super expression must either be null or a function");if(void 0!==t){if(t.has(e))return t.get(e);t.set(e,n)}function n(){return bN(e,arguments,xN(this).constructor)}return n.prototype=Object.create(e.prototype,{constructor:{value:n,enumerable:!1,writable:!0,configurable:!0}}),_N(n,e)},yN(e)}function bN(e,t,n){return bN=wN()?Reflect.construct:function(e,t,n){var r=[null];r.push.apply(r,t);var i=new(Function.bind.apply(e,r));return n&&_N(i,n.prototype),i},bN.apply(null,arguments)}function wN(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}function _N(e,t){return _N=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e},_N(e,t)}function xN(e){return xN=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)},xN(e)}var kN=function(e){!function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&_N(e,t)}(a,e);var t,n,r,i,o=(t=a,n=wN(),function(){var e,r=xN(t);if(n){var i=xN(this).constructor;e=Reflect.construct(r,arguments,i)}else e=r.apply(this,arguments);return gN(this,e)});function a(e,t,n,r,i,s,l){var u,c,d,p;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,a),(p=o.call(this,e)).name="GraphQLError",p.originalError=null!=s?s:void 0,p.nodes=EN(Array.isArray(t)?t:t?[t]:void 0);for(var f=[],h=0,m=null!==(g=p.nodes)&&void 0!==g?g:[];h<m.length;h++){var g,v=m[h].loc;null!=v&&f.push(v)}f=EN(f),p.source=null!=n?n:null===(u=f)||void 0===u?void 0:u[0].source,p.positions=null!=r?r:null===(c=f)||void 0===c?void 0:c.map((function(e){return e.start})),p.locations=r&&n?r.map((function(e){return sN(n,e)})):null===(d=f)||void 0===d?void 0:d.map((function(e){return sN(e.source,e.start)})),p.path=null!=i?i:void 0;var y,b=null==s?void 0:s.extensions;return null==l&&"object"==oN(y=b)&&null!==y?p.extensions=function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?fN(Object(n),!0).forEach((function(t){hN(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):fN(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({},b):p.extensions=null!=l?l:{},Object.defineProperties(vN(p),{message:{enumerable:!0},locations:{enumerable:null!=p.locations},path:{enumerable:null!=p.path},extensions:{enumerable:null!=p.extensions&&Object.keys(p.extensions).length>0},name:{enumerable:!1},nodes:{enumerable:!1},source:{enumerable:!1},positions:{enumerable:!1},originalError:{enumerable:!1}}),null!=s&&s.stack?(Object.defineProperty(vN(p),"stack",{value:s.stack,writable:!0,configurable:!0}),gN(p)):(Error.captureStackTrace?Error.captureStackTrace(vN(p),a):Object.defineProperty(vN(p),"stack",{value:Error().stack,writable:!0,configurable:!0}),p)}return r=a,i=[{key:"toString",value:function(){return function(e){var t=e.message;if(e.nodes)for(var n=0,r=e.nodes;n<r.length;n++){var i=r[n];i.loc&&(t+="\n\n"+lN(i.loc))}else if(e.source&&e.locations)for(var o=0,a=e.locations;o<a.length;o++){var s=a[o];t+="\n\n"+uN(e.source,s)}return t}(this)}},{key:aN,get:function(){return"Object"}}],i&&mN(r.prototype,i),a}(yN(Error));function EN(e){return void 0===e||0===e.length?void 0:e}function CN(e,t,n){return new kN("Syntax Error: ".concat(n),void 0,e,[t])}var SN=Object.freeze({NAME:"Name",DOCUMENT:"Document",OPERATION_DEFINITION:"OperationDefinition",VARIABLE_DEFINITION:"VariableDefinition",SELECTION_SET:"SelectionSet",FIELD:"Field",ARGUMENT:"Argument",FRAGMENT_SPREAD:"FragmentSpread",INLINE_FRAGMENT:"InlineFragment",FRAGMENT_DEFINITION:"FragmentDefinition",VARIABLE:"Variable",INT:"IntValue",FLOAT:"FloatValue",STRING:"StringValue",BOOLEAN:"BooleanValue",NULL:"NullValue",ENUM:"EnumValue",LIST:"ListValue",OBJECT:"ObjectValue",OBJECT_FIELD:"ObjectField",DIRECTIVE:"Directive",NAMED_TYPE:"NamedType",LIST_TYPE:"ListType",NON_NULL_TYPE:"NonNullType",SCHEMA_DEFINITION:"SchemaDefinition",OPERATION_TYPE_DEFINITION:"OperationTypeDefinition",SCALAR_TYPE_DEFINITION:"ScalarTypeDefinition",OBJECT_TYPE_DEFINITION:"ObjectTypeDefinition",FIELD_DEFINITION:"FieldDefinition",INPUT_VALUE_DEFINITION:"InputValueDefinition",INTERFACE_TYPE_DEFINITION:"InterfaceTypeDefinition",UNION_TYPE_DEFINITION:"UnionTypeDefinition",ENUM_TYPE_DEFINITION:"EnumTypeDefinition",ENUM_VALUE_DEFINITION:"EnumValueDefinition",INPUT_OBJECT_TYPE_DEFINITION:"InputObjectTypeDefinition",DIRECTIVE_DEFINITION:"DirectiveDefinition",SCHEMA_EXTENSION:"SchemaExtension",SCALAR_TYPE_EXTENSION:"ScalarTypeExtension",OBJECT_TYPE_EXTENSION:"ObjectTypeExtension",INTERFACE_TYPE_EXTENSION:"InterfaceTypeExtension",UNION_TYPE_EXTENSION:"UnionTypeExtension",ENUM_TYPE_EXTENSION:"EnumTypeExtension",INPUT_OBJECT_TYPE_EXTENSION:"InputObjectTypeExtension"});const AN="function"==typeof Symbol&&"function"==typeof Symbol.for?Symbol.for("nodejs.util.inspect.custom"):void 0;function TN(e){var t=e.prototype.toJSON;"function"==typeof t||function(e,t){if(!Boolean(0))throw new Error("Unexpected invariant triggered.")}(),e.prototype.inspect=t,AN&&(e.prototype[AN]=t)}var ON=function(){function e(e,t,n){this.start=e.start,this.end=t.end,this.startToken=e,this.endToken=t,this.source=n}return e.prototype.toJSON=function(){return{start:this.start,end:this.end}},e}();TN(ON);var PN=function(){function e(e,t,n,r,i,o,a){this.kind=e,this.start=t,this.end=n,this.line=r,this.column=i,this.value=a,this.prev=o,this.next=null}return e.prototype.toJSON=function(){return{kind:this.kind,value:this.value,line:this.line,column:this.column}},e}();TN(PN);var DN=Object.freeze({SOF:"<SOF>",EOF:"<EOF>",BANG:"!",DOLLAR:"$",AMP:"&",PAREN_L:"(",PAREN_R:")",SPREAD:"...",COLON:":",EQUALS:"=",AT:"@",BRACKET_L:"[",BRACKET_R:"]",BRACE_L:"{",PIPE:"|",BRACE_R:"}",NAME:"Name",INT:"Int",FLOAT:"Float",STRING:"String",BLOCK_STRING:"BlockString",COMMENT:"Comment"});function RN(e){return RN="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},RN(e)}function IN(e,t){switch(RN(e)){case"string":return JSON.stringify(e);case"function":return e.name?"[function ".concat(e.name,"]"):"[function]";case"object":return null===e?"null":function(e,t){if(-1!==t.indexOf(e))return"[Circular]";var n=[].concat(t,[e]),r=function(e){var t=e[String(AN)];return"function"==typeof t?t:"function"==typeof e.inspect?e.inspect:void 0}(e);if(void 0!==r){var i=r.call(e);if(i!==e)return"string"==typeof i?i:IN(i,n)}else if(Array.isArray(e))return function(e,t){if(0===e.length)return"[]";if(t.length>2)return"[Array]";for(var n=Math.min(10,e.length),r=e.length-n,i=[],o=0;o<n;++o)i.push(IN(e[o],t));return 1===r?i.push("... 1 more item"):r>1&&i.push("... ".concat(r," more items")),"["+i.join(", ")+"]"}(e,n);return function(e,t){var n=Object.keys(e);if(0===n.length)return"{}";if(t.length>2)return"["+function(e){var t=Object.prototype.toString.call(e).replace(/^\[object /,"").replace(/]$/,"");if("Object"===t&&"function"==typeof e.constructor){var n=e.constructor.name;if("string"==typeof n&&""!==n)return n}return t}(e)+"]";var r=n.map((function(n){return n+": "+IN(e[n],t)}));return"{ "+r.join(", ")+" }"}(e,n)}(e,t);default:return String(e)}}function MN(e,t){if(!Boolean(e))throw new Error(t)}function LN(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var NN=function(){function e(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"GraphQL request",n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{line:1,column:1};"string"==typeof e||MN(0,"Body must be a string. Received: ".concat(IN(e,[]),".")),this.body=e,this.name=t,this.locationOffset=n,this.locationOffset.line>0||MN(0,"line in locationOffset is 1-indexed and must be positive."),this.locationOffset.column>0||MN(0,"column in locationOffset is 1-indexed and must be positive.")}var t,n;return t=e,(n=[{key:aN,get:function(){return"Source"}}])&&LN(t.prototype,n),e}(),jN=Object.freeze({QUERY:"QUERY",MUTATION:"MUTATION",SUBSCRIPTION:"SUBSCRIPTION",FIELD:"FIELD",FRAGMENT_DEFINITION:"FRAGMENT_DEFINITION",FRAGMENT_SPREAD:"FRAGMENT_SPREAD",INLINE_FRAGMENT:"INLINE_FRAGMENT",VARIABLE_DEFINITION:"VARIABLE_DEFINITION",SCHEMA:"SCHEMA",SCALAR:"SCALAR",OBJECT:"OBJECT",FIELD_DEFINITION:"FIELD_DEFINITION",ARGUMENT_DEFINITION:"ARGUMENT_DEFINITION",INTERFACE:"INTERFACE",UNION:"UNION",ENUM:"ENUM",ENUM_VALUE:"ENUM_VALUE",INPUT_OBJECT:"INPUT_OBJECT",INPUT_FIELD_DEFINITION:"INPUT_FIELD_DEFINITION"});function FN(e){var t=e.split(/\r\n|[\n\r]/g),n=function(e){for(var t,n=!0,r=!0,i=0,o=null,a=0;a<e.length;++a)switch(e.charCodeAt(a)){case 13:10===e.charCodeAt(a+1)&&++a;case 10:n=!1,r=!0,i=0;break;case 9:case 32:++i;break;default:r&&!n&&(null===o||i<o)&&(o=i),r=!1}return null!==(t=o)&&void 0!==t?t:0}(e);if(0!==n)for(var r=1;r<t.length;r++)t[r]=t[r].slice(n);for(var i=0;i<t.length&&BN(t[i]);)++i;for(var o=t.length;o>i&&BN(t[o-1]);)--o;return t.slice(i,o).join("\n")}function BN(e){for(var t=0;t<e.length;++t)if(" "!==e[t]&&"\t"!==e[t])return!1;return!0}var zN=function(){function e(e){var t=new PN(DN.SOF,0,0,0,0,null);this.source=e,this.lastToken=t,this.token=t,this.line=1,this.lineStart=0}var t=e.prototype;return t.advance=function(){return this.lastToken=this.token,this.token=this.lookahead()},t.lookahead=function(){var e=this.token;if(e.kind!==DN.EOF)do{var t;e=null!==(t=e.next)&&void 0!==t?t:e.next=qN(this,e)}while(e.kind===DN.COMMENT);return e},e}();function UN(e){return isNaN(e)?DN.EOF:e<127?JSON.stringify(String.fromCharCode(e)):'"\\u'.concat(("00"+e.toString(16).toUpperCase()).slice(-4),'"')}function qN(e,t){for(var n=e.source,r=n.body,i=r.length,o=t.end;o<i;){var a=r.charCodeAt(o),s=e.line,l=1+o-e.lineStart;switch(a){case 65279:case 9:case 32:case 44:++o;continue;case 10:++o,++e.line,e.lineStart=o;continue;case 13:10===r.charCodeAt(o+1)?o+=2:++o,++e.line,e.lineStart=o;continue;case 33:return new PN(DN.BANG,o,o+1,s,l,t);case 35:return WN(n,o,s,l,t);case 36:return new PN(DN.DOLLAR,o,o+1,s,l,t);case 38:return new PN(DN.AMP,o,o+1,s,l,t);case 40:return new PN(DN.PAREN_L,o,o+1,s,l,t);case 41:return new PN(DN.PAREN_R,o,o+1,s,l,t);case 46:if(46===r.charCodeAt(o+1)&&46===r.charCodeAt(o+2))return new PN(DN.SPREAD,o,o+3,s,l,t);break;case 58:return new PN(DN.COLON,o,o+1,s,l,t);case 61:return new PN(DN.EQUALS,o,o+1,s,l,t);case 64:return new PN(DN.AT,o,o+1,s,l,t);case 91:return new PN(DN.BRACKET_L,o,o+1,s,l,t);case 93:return new PN(DN.BRACKET_R,o,o+1,s,l,t);case 123:return new PN(DN.BRACE_L,o,o+1,s,l,t);case 124:return new PN(DN.PIPE,o,o+1,s,l,t);case 125:return new PN(DN.BRACE_R,o,o+1,s,l,t);case 34:return 34===r.charCodeAt(o+1)&&34===r.charCodeAt(o+2)?KN(n,o,s,l,t,e):GN(n,o,s,l,t);case 45:case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:return HN(n,o,a,s,l,t);case 65:case 66:case 67:case 68:case 69:case 70:case 71:case 72:case 73:case 74:case 75:case 76:case 77:case 78:case 79:case 80:case 81:case 82:case 83:case 84:case 85:case 86:case 87:case 88:case 89:case 90:case 95:case 97:case 98:case 99:case 100:case 101:case 102:case 103:case 104:case 105:case 106:case 107:case 108:case 109:case 110:case 111:case 112:case 113:case 114:case 115:case 116:case 117:case 118:case 119:case 120:case 121:case 122:return XN(n,o,s,l,t)}throw CN(n,o,$N(a))}var u=e.line,c=1+o-e.lineStart;return new PN(DN.EOF,i,i,u,c,t)}function $N(e){return e<32&&9!==e&&10!==e&&13!==e?"Cannot contain the invalid character ".concat(UN(e),"."):39===e?"Unexpected single quote character ('), did you mean to use a double quote (\")?":"Cannot parse the unexpected character ".concat(UN(e),".")}function WN(e,t,n,r,i){var o,a=e.body,s=t;do{o=a.charCodeAt(++s)}while(!isNaN(o)&&(o>31||9===o));return new PN(DN.COMMENT,t,s,n,r,i,a.slice(t+1,s))}function HN(e,t,n,r,i,o){var a=e.body,s=n,l=t,u=!1;if(45===s&&(s=a.charCodeAt(++l)),48===s){if((s=a.charCodeAt(++l))>=48&&s<=57)throw CN(e,l,"Invalid number, unexpected digit after 0: ".concat(UN(s),"."))}else l=VN(e,l,s),s=a.charCodeAt(l);if(46===s&&(u=!0,s=a.charCodeAt(++l),l=VN(e,l,s),s=a.charCodeAt(l)),69!==s&&101!==s||(u=!0,43!==(s=a.charCodeAt(++l))&&45!==s||(s=a.charCodeAt(++l)),l=VN(e,l,s),s=a.charCodeAt(l)),46===s||function(e){return 95===e||e>=65&&e<=90||e>=97&&e<=122}(s))throw CN(e,l,"Invalid number, expected digit but got: ".concat(UN(s),"."));return new PN(u?DN.FLOAT:DN.INT,t,l,r,i,o,a.slice(t,l))}function VN(e,t,n){var r=e.body,i=t,o=n;if(o>=48&&o<=57){do{o=r.charCodeAt(++i)}while(o>=48&&o<=57);return i}throw CN(e,i,"Invalid number, expected digit but got: ".concat(UN(o),"."))}function GN(e,t,n,r,i){for(var o=e.body,a=t+1,s=a,l=0,u="";a<o.length&&!isNaN(l=o.charCodeAt(a))&&10!==l&&13!==l;){if(34===l)return u+=o.slice(s,a),new PN(DN.STRING,t,a+1,n,r,i,u);if(l<32&&9!==l)throw CN(e,a,"Invalid character within String: ".concat(UN(l),"."));if(++a,92===l){switch(u+=o.slice(s,a-1),l=o.charCodeAt(a)){case 34:u+='"';break;case 47:u+="/";break;case 92:u+="\\";break;case 98:u+="\b";break;case 102:u+="\f";break;case 110:u+="\n";break;case 114:u+="\r";break;case 116:u+="\t";break;case 117:var c=YN(o.charCodeAt(a+1),o.charCodeAt(a+2),o.charCodeAt(a+3),o.charCodeAt(a+4));if(c<0){var d=o.slice(a+1,a+5);throw CN(e,a,"Invalid character escape sequence: \\u".concat(d,"."))}u+=String.fromCharCode(c),a+=4;break;default:throw CN(e,a,"Invalid character escape sequence: \\".concat(String.fromCharCode(l),"."))}s=++a}}throw CN(e,a,"Unterminated string.")}function KN(e,t,n,r,i,o){for(var a=e.body,s=t+3,l=s,u=0,c="";s<a.length&&!isNaN(u=a.charCodeAt(s));){if(34===u&&34===a.charCodeAt(s+1)&&34===a.charCodeAt(s+2))return c+=a.slice(l,s),new PN(DN.BLOCK_STRING,t,s+3,n,r,i,FN(c));if(u<32&&9!==u&&10!==u&&13!==u)throw CN(e,s,"Invalid character within String: ".concat(UN(u),"."));10===u?(++s,++o.line,o.lineStart=s):13===u?(10===a.charCodeAt(s+1)?s+=2:++s,++o.line,o.lineStart=s):92===u&&34===a.charCodeAt(s+1)&&34===a.charCodeAt(s+2)&&34===a.charCodeAt(s+3)?(c+=a.slice(l,s)+'"""',l=s+=4):++s}throw CN(e,s,"Unterminated string.")}function YN(e,t,n,r){return ZN(e)<<12|ZN(t)<<8|ZN(n)<<4|ZN(r)}function ZN(e){return e>=48&&e<=57?e-48:e>=65&&e<=70?e-55:e>=97&&e<=102?e-87:-1}function XN(e,t,n,r,i){for(var o=e.body,a=o.length,s=t+1,l=0;s!==a&&!isNaN(l=o.charCodeAt(s))&&(95===l||l>=48&&l<=57||l>=65&&l<=90||l>=97&&l<=122);)++s;return new PN(DN.NAME,t,s,n,r,i,o.slice(t,s))}var QN=function(){function e(e,t){var n=function(e){return function(e,t){return e instanceof t}(e,NN)}(e)?e:new NN(e);this._lexer=new zN(n),this._options=t}var t=e.prototype;return t.parseName=function(){var e=this.expectToken(DN.NAME);return{kind:SN.NAME,value:e.value,loc:this.loc(e)}},t.parseDocument=function(){var e=this._lexer.token;return{kind:SN.DOCUMENT,definitions:this.many(DN.SOF,this.parseDefinition,DN.EOF),loc:this.loc(e)}},t.parseDefinition=function(){if(this.peek(DN.NAME))switch(this._lexer.token.value){case"query":case"mutation":case"subscription":return this.parseOperationDefinition();case"fragment":return this.parseFragmentDefinition();case"schema":case"scalar":case"type":case"interface":case"union":case"enum":case"input":case"directive":return this.parseTypeSystemDefinition();case"extend":return this.parseTypeSystemExtension()}else{if(this.peek(DN.BRACE_L))return this.parseOperationDefinition();if(this.peekDescription())return this.parseTypeSystemDefinition()}throw this.unexpected()},t.parseOperationDefinition=function(){var e=this._lexer.token;if(this.peek(DN.BRACE_L))return{kind:SN.OPERATION_DEFINITION,operation:"query",name:void 0,variableDefinitions:[],directives:[],selectionSet:this.parseSelectionSet(),loc:this.loc(e)};var t,n=this.parseOperationType();return this.peek(DN.NAME)&&(t=this.parseName()),{kind:SN.OPERATION_DEFINITION,operation:n,name:t,variableDefinitions:this.parseVariableDefinitions(),directives:this.parseDirectives(!1),selectionSet:this.parseSelectionSet(),loc:this.loc(e)}},t.parseOperationType=function(){var e=this.expectToken(DN.NAME);switch(e.value){case"query":return"query";case"mutation":return"mutation";case"subscription":return"subscription"}throw this.unexpected(e)},t.parseVariableDefinitions=function(){return this.optionalMany(DN.PAREN_L,this.parseVariableDefinition,DN.PAREN_R)},t.parseVariableDefinition=function(){var e=this._lexer.token;return{kind:SN.VARIABLE_DEFINITION,variable:this.parseVariable(),type:(this.expectToken(DN.COLON),this.parseTypeReference()),defaultValue:this.expectOptionalToken(DN.EQUALS)?this.parseValueLiteral(!0):void 0,directives:this.parseDirectives(!0),loc:this.loc(e)}},t.parseVariable=function(){var e=this._lexer.token;return this.expectToken(DN.DOLLAR),{kind:SN.VARIABLE,name:this.parseName(),loc:this.loc(e)}},t.parseSelectionSet=function(){var e=this._lexer.token;return{kind:SN.SELECTION_SET,selections:this.many(DN.BRACE_L,this.parseSelection,DN.BRACE_R),loc:this.loc(e)}},t.parseSelection=function(){return this.peek(DN.SPREAD)?this.parseFragment():this.parseField()},t.parseField=function(){var e,t,n=this._lexer.token,r=this.parseName();return this.expectOptionalToken(DN.COLON)?(e=r,t=this.parseName()):t=r,{kind:SN.FIELD,alias:e,name:t,arguments:this.parseArguments(!1),directives:this.parseDirectives(!1),selectionSet:this.peek(DN.BRACE_L)?this.parseSelectionSet():void 0,loc:this.loc(n)}},t.parseArguments=function(e){var t=e?this.parseConstArgument:this.parseArgument;return this.optionalMany(DN.PAREN_L,t,DN.PAREN_R)},t.parseArgument=function(){var e=this._lexer.token,t=this.parseName();return this.expectToken(DN.COLON),{kind:SN.ARGUMENT,name:t,value:this.parseValueLiteral(!1),loc:this.loc(e)}},t.parseConstArgument=function(){var e=this._lexer.token;return{kind:SN.ARGUMENT,name:this.parseName(),value:(this.expectToken(DN.COLON),this.parseValueLiteral(!0)),loc:this.loc(e)}},t.parseFragment=function(){var e=this._lexer.token;this.expectToken(DN.SPREAD);var t=this.expectOptionalKeyword("on");return!t&&this.peek(DN.NAME)?{kind:SN.FRAGMENT_SPREAD,name:this.parseFragmentName(),directives:this.parseDirectives(!1),loc:this.loc(e)}:{kind:SN.INLINE_FRAGMENT,typeCondition:t?this.parseNamedType():void 0,directives:this.parseDirectives(!1),selectionSet:this.parseSelectionSet(),loc:this.loc(e)}},t.parseFragmentDefinition=function(){var e,t=this._lexer.token;return this.expectKeyword("fragment"),!0===(null===(e=this._options)||void 0===e?void 0:e.experimentalFragmentVariables)?{kind:SN.FRAGMENT_DEFINITION,name:this.parseFragmentName(),variableDefinitions:this.parseVariableDefinitions(),typeCondition:(this.expectKeyword("on"),this.parseNamedType()),directives:this.parseDirectives(!1),selectionSet:this.parseSelectionSet(),loc:this.loc(t)}:{kind:SN.FRAGMENT_DEFINITION,name:this.parseFragmentName(),typeCondition:(this.expectKeyword("on"),this.parseNamedType()),directives:this.parseDirectives(!1),selectionSet:this.parseSelectionSet(),loc:this.loc(t)}},t.parseFragmentName=function(){if("on"===this._lexer.token.value)throw this.unexpected();return this.parseName()},t.parseValueLiteral=function(e){var t=this._lexer.token;switch(t.kind){case DN.BRACKET_L:return this.parseList(e);case DN.BRACE_L:return this.parseObject(e);case DN.INT:return this._lexer.advance(),{kind:SN.INT,value:t.value,loc:this.loc(t)};case DN.FLOAT:return this._lexer.advance(),{kind:SN.FLOAT,value:t.value,loc:this.loc(t)};case DN.STRING:case DN.BLOCK_STRING:return this.parseStringLiteral();case DN.NAME:switch(this._lexer.advance(),t.value){case"true":return{kind:SN.BOOLEAN,value:!0,loc:this.loc(t)};case"false":return{kind:SN.BOOLEAN,value:!1,loc:this.loc(t)};case"null":return{kind:SN.NULL,loc:this.loc(t)};default:return{kind:SN.ENUM,value:t.value,loc:this.loc(t)}}case DN.DOLLAR:if(!e)return this.parseVariable()}throw this.unexpected()},t.parseStringLiteral=function(){var e=this._lexer.token;return this._lexer.advance(),{kind:SN.STRING,value:e.value,block:e.kind===DN.BLOCK_STRING,loc:this.loc(e)}},t.parseList=function(e){var t=this,n=this._lexer.token;return{kind:SN.LIST,values:this.any(DN.BRACKET_L,(function(){return t.parseValueLiteral(e)}),DN.BRACKET_R),loc:this.loc(n)}},t.parseObject=function(e){var t=this,n=this._lexer.token;return{kind:SN.OBJECT,fields:this.any(DN.BRACE_L,(function(){return t.parseObjectField(e)}),DN.BRACE_R),loc:this.loc(n)}},t.parseObjectField=function(e){var t=this._lexer.token,n=this.parseName();return this.expectToken(DN.COLON),{kind:SN.OBJECT_FIELD,name:n,value:this.parseValueLiteral(e),loc:this.loc(t)}},t.parseDirectives=function(e){for(var t=[];this.peek(DN.AT);)t.push(this.parseDirective(e));return t},t.parseDirective=function(e){var t=this._lexer.token;return this.expectToken(DN.AT),{kind:SN.DIRECTIVE,name:this.parseName(),arguments:this.parseArguments(e),loc:this.loc(t)}},t.parseTypeReference=function(){var e,t=this._lexer.token;return this.expectOptionalToken(DN.BRACKET_L)?(e=this.parseTypeReference(),this.expectToken(DN.BRACKET_R),e={kind:SN.LIST_TYPE,type:e,loc:this.loc(t)}):e=this.parseNamedType(),this.expectOptionalToken(DN.BANG)?{kind:SN.NON_NULL_TYPE,type:e,loc:this.loc(t)}:e},t.parseNamedType=function(){var e=this._lexer.token;return{kind:SN.NAMED_TYPE,name:this.parseName(),loc:this.loc(e)}},t.parseTypeSystemDefinition=function(){var e=this.peekDescription()?this._lexer.lookahead():this._lexer.token;if(e.kind===DN.NAME)switch(e.value){case"schema":return this.parseSchemaDefinition();case"scalar":return this.parseScalarTypeDefinition();case"type":return this.parseObjectTypeDefinition();case"interface":return this.parseInterfaceTypeDefinition();case"union":return this.parseUnionTypeDefinition();case"enum":return this.parseEnumTypeDefinition();case"input":return this.parseInputObjectTypeDefinition();case"directive":return this.parseDirectiveDefinition()}throw this.unexpected(e)},t.peekDescription=function(){return this.peek(DN.STRING)||this.peek(DN.BLOCK_STRING)},t.parseDescription=function(){if(this.peekDescription())return this.parseStringLiteral()},t.parseSchemaDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("schema");var n=this.parseDirectives(!0),r=this.many(DN.BRACE_L,this.parseOperationTypeDefinition,DN.BRACE_R);return{kind:SN.SCHEMA_DEFINITION,description:t,directives:n,operationTypes:r,loc:this.loc(e)}},t.parseOperationTypeDefinition=function(){var e=this._lexer.token,t=this.parseOperationType();this.expectToken(DN.COLON);var n=this.parseNamedType();return{kind:SN.OPERATION_TYPE_DEFINITION,operation:t,type:n,loc:this.loc(e)}},t.parseScalarTypeDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("scalar");var n=this.parseName(),r=this.parseDirectives(!0);return{kind:SN.SCALAR_TYPE_DEFINITION,description:t,name:n,directives:r,loc:this.loc(e)}},t.parseObjectTypeDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("type");var n=this.parseName(),r=this.parseImplementsInterfaces(),i=this.parseDirectives(!0),o=this.parseFieldsDefinition();return{kind:SN.OBJECT_TYPE_DEFINITION,description:t,name:n,interfaces:r,directives:i,fields:o,loc:this.loc(e)}},t.parseImplementsInterfaces=function(){var e;if(!this.expectOptionalKeyword("implements"))return[];if(!0===(null===(e=this._options)||void 0===e?void 0:e.allowLegacySDLImplementsInterfaces)){var t=[];this.expectOptionalToken(DN.AMP);do{t.push(this.parseNamedType())}while(this.expectOptionalToken(DN.AMP)||this.peek(DN.NAME));return t}return this.delimitedMany(DN.AMP,this.parseNamedType)},t.parseFieldsDefinition=function(){var e;return!0===(null===(e=this._options)||void 0===e?void 0:e.allowLegacySDLEmptyFields)&&this.peek(DN.BRACE_L)&&this._lexer.lookahead().kind===DN.BRACE_R?(this._lexer.advance(),this._lexer.advance(),[]):this.optionalMany(DN.BRACE_L,this.parseFieldDefinition,DN.BRACE_R)},t.parseFieldDefinition=function(){var e=this._lexer.token,t=this.parseDescription(),n=this.parseName(),r=this.parseArgumentDefs();this.expectToken(DN.COLON);var i=this.parseTypeReference(),o=this.parseDirectives(!0);return{kind:SN.FIELD_DEFINITION,description:t,name:n,arguments:r,type:i,directives:o,loc:this.loc(e)}},t.parseArgumentDefs=function(){return this.optionalMany(DN.PAREN_L,this.parseInputValueDef,DN.PAREN_R)},t.parseInputValueDef=function(){var e=this._lexer.token,t=this.parseDescription(),n=this.parseName();this.expectToken(DN.COLON);var r,i=this.parseTypeReference();this.expectOptionalToken(DN.EQUALS)&&(r=this.parseValueLiteral(!0));var o=this.parseDirectives(!0);return{kind:SN.INPUT_VALUE_DEFINITION,description:t,name:n,type:i,defaultValue:r,directives:o,loc:this.loc(e)}},t.parseInterfaceTypeDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("interface");var n=this.parseName(),r=this.parseImplementsInterfaces(),i=this.parseDirectives(!0),o=this.parseFieldsDefinition();return{kind:SN.INTERFACE_TYPE_DEFINITION,description:t,name:n,interfaces:r,directives:i,fields:o,loc:this.loc(e)}},t.parseUnionTypeDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("union");var n=this.parseName(),r=this.parseDirectives(!0),i=this.parseUnionMemberTypes();return{kind:SN.UNION_TYPE_DEFINITION,description:t,name:n,directives:r,types:i,loc:this.loc(e)}},t.parseUnionMemberTypes=function(){return this.expectOptionalToken(DN.EQUALS)?this.delimitedMany(DN.PIPE,this.parseNamedType):[]},t.parseEnumTypeDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("enum");var n=this.parseName(),r=this.parseDirectives(!0),i=this.parseEnumValuesDefinition();return{kind:SN.ENUM_TYPE_DEFINITION,description:t,name:n,directives:r,values:i,loc:this.loc(e)}},t.parseEnumValuesDefinition=function(){return this.optionalMany(DN.BRACE_L,this.parseEnumValueDefinition,DN.BRACE_R)},t.parseEnumValueDefinition=function(){var e=this._lexer.token,t=this.parseDescription(),n=this.parseName(),r=this.parseDirectives(!0);return{kind:SN.ENUM_VALUE_DEFINITION,description:t,name:n,directives:r,loc:this.loc(e)}},t.parseInputObjectTypeDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("input");var n=this.parseName(),r=this.parseDirectives(!0),i=this.parseInputFieldsDefinition();return{kind:SN.INPUT_OBJECT_TYPE_DEFINITION,description:t,name:n,directives:r,fields:i,loc:this.loc(e)}},t.parseInputFieldsDefinition=function(){return this.optionalMany(DN.BRACE_L,this.parseInputValueDef,DN.BRACE_R)},t.parseTypeSystemExtension=function(){var e=this._lexer.lookahead();if(e.kind===DN.NAME)switch(e.value){case"schema":return this.parseSchemaExtension();case"scalar":return this.parseScalarTypeExtension();case"type":return this.parseObjectTypeExtension();case"interface":return this.parseInterfaceTypeExtension();case"union":return this.parseUnionTypeExtension();case"enum":return this.parseEnumTypeExtension();case"input":return this.parseInputObjectTypeExtension()}throw this.unexpected(e)},t.parseSchemaExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("schema");var t=this.parseDirectives(!0),n=this.optionalMany(DN.BRACE_L,this.parseOperationTypeDefinition,DN.BRACE_R);if(0===t.length&&0===n.length)throw this.unexpected();return{kind:SN.SCHEMA_EXTENSION,directives:t,operationTypes:n,loc:this.loc(e)}},t.parseScalarTypeExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("scalar");var t=this.parseName(),n=this.parseDirectives(!0);if(0===n.length)throw this.unexpected();return{kind:SN.SCALAR_TYPE_EXTENSION,name:t,directives:n,loc:this.loc(e)}},t.parseObjectTypeExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("type");var t=this.parseName(),n=this.parseImplementsInterfaces(),r=this.parseDirectives(!0),i=this.parseFieldsDefinition();if(0===n.length&&0===r.length&&0===i.length)throw this.unexpected();return{kind:SN.OBJECT_TYPE_EXTENSION,name:t,interfaces:n,directives:r,fields:i,loc:this.loc(e)}},t.parseInterfaceTypeExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("interface");var t=this.parseName(),n=this.parseImplementsInterfaces(),r=this.parseDirectives(!0),i=this.parseFieldsDefinition();if(0===n.length&&0===r.length&&0===i.length)throw this.unexpected();return{kind:SN.INTERFACE_TYPE_EXTENSION,name:t,interfaces:n,directives:r,fields:i,loc:this.loc(e)}},t.parseUnionTypeExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("union");var t=this.parseName(),n=this.parseDirectives(!0),r=this.parseUnionMemberTypes();if(0===n.length&&0===r.length)throw this.unexpected();return{kind:SN.UNION_TYPE_EXTENSION,name:t,directives:n,types:r,loc:this.loc(e)}},t.parseEnumTypeExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("enum");var t=this.parseName(),n=this.parseDirectives(!0),r=this.parseEnumValuesDefinition();if(0===n.length&&0===r.length)throw this.unexpected();return{kind:SN.ENUM_TYPE_EXTENSION,name:t,directives:n,values:r,loc:this.loc(e)}},t.parseInputObjectTypeExtension=function(){var e=this._lexer.token;this.expectKeyword("extend"),this.expectKeyword("input");var t=this.parseName(),n=this.parseDirectives(!0),r=this.parseInputFieldsDefinition();if(0===n.length&&0===r.length)throw this.unexpected();return{kind:SN.INPUT_OBJECT_TYPE_EXTENSION,name:t,directives:n,fields:r,loc:this.loc(e)}},t.parseDirectiveDefinition=function(){var e=this._lexer.token,t=this.parseDescription();this.expectKeyword("directive"),this.expectToken(DN.AT);var n=this.parseName(),r=this.parseArgumentDefs(),i=this.expectOptionalKeyword("repeatable");this.expectKeyword("on");var o=this.parseDirectiveLocations();return{kind:SN.DIRECTIVE_DEFINITION,description:t,name:n,arguments:r,repeatable:i,locations:o,loc:this.loc(e)}},t.parseDirectiveLocations=function(){return this.delimitedMany(DN.PIPE,this.parseDirectiveLocation)},t.parseDirectiveLocation=function(){var e=this._lexer.token,t=this.parseName();if(void 0!==jN[t.value])return t;throw this.unexpected(e)},t.loc=function(e){var t;if(!0!==(null===(t=this._options)||void 0===t?void 0:t.noLocation))return new ON(e,this._lexer.lastToken,this._lexer.source)},t.peek=function(e){return this._lexer.token.kind===e},t.expectToken=function(e){var t=this._lexer.token;if(t.kind===e)return this._lexer.advance(),t;throw CN(this._lexer.source,t.start,"Expected ".concat(ej(e),", found ").concat(JN(t),"."))},t.expectOptionalToken=function(e){var t=this._lexer.token;if(t.kind===e)return this._lexer.advance(),t},t.expectKeyword=function(e){var t=this._lexer.token;if(t.kind!==DN.NAME||t.value!==e)throw CN(this._lexer.source,t.start,'Expected "'.concat(e,'", found ').concat(JN(t),"."));this._lexer.advance()},t.expectOptionalKeyword=function(e){var t=this._lexer.token;return t.kind===DN.NAME&&t.value===e&&(this._lexer.advance(),!0)},t.unexpected=function(e){var t=null!=e?e:this._lexer.token;return CN(this._lexer.source,t.start,"Unexpected ".concat(JN(t),"."))},t.any=function(e,t,n){this.expectToken(e);for(var r=[];!this.expectOptionalToken(n);)r.push(t.call(this));return r},t.optionalMany=function(e,t,n){if(this.expectOptionalToken(e)){var r=[];do{r.push(t.call(this))}while(!this.expectOptionalToken(n));return r}return[]},t.many=function(e,t,n){this.expectToken(e);var r=[];do{r.push(t.call(this))}while(!this.expectOptionalToken(n));return r},t.delimitedMany=function(e,t){this.expectOptionalToken(e);var n=[];do{n.push(t.call(this))}while(this.expectOptionalToken(e));return n},e}();function JN(e){var t=e.value;return ej(e.kind)+(null!=t?' "'.concat(t,'"'):"")}function ej(e){return function(e){return e===DN.BANG||e===DN.DOLLAR||e===DN.AMP||e===DN.PAREN_L||e===DN.PAREN_R||e===DN.SPREAD||e===DN.COLON||e===DN.EQUALS||e===DN.AT||e===DN.BRACKET_L||e===DN.BRACKET_R||e===DN.BRACE_L||e===DN.PIPE||e===DN.BRACE_R}(e)?'"'.concat(e,'"'):e}var tj=new Map,nj=new Map,rj=!0,ij=!1;function oj(e){return e.replace(/[\s,]+/g," ").trim()}function aj(e){var t,n,r,i=oj(e);if(!tj.has(i)){var o=function(e,t){return new QN(e,t).parseDocument()}(e,{experimentalFragmentVariables:ij,allowLegacyFragmentVariables:ij});if(!o||"Document"!==o.kind)throw new Error("Not a valid GraphQL document.");tj.set(i,function(e){var t=new Set(e.definitions);t.forEach((function(e){e.loc&&delete e.loc,Object.keys(e).forEach((function(n){var r=e[n];r&&"object"==typeof r&&t.add(r)}))}));var n=e.loc;return n&&(delete n.startToken,delete n.endToken),e}((t=o,n=new Set,r=[],t.definitions.forEach((function(e){if("FragmentDefinition"===e.kind){var t=e.name.value,i=oj((a=e.loc).source.body.substring(a.start,a.end)),o=nj.get(t);o&&!o.has(i)?rj&&console.warn("Warning: fragment with name "+t+" already exists.\ngraphql-tag enforces all fragment names across your application to be unique; read more about\nthis in the docs: http://dev.apollodata.com/core/fragments.html#unique-names"):o||nj.set(t,o=new Set),o.add(i),n.has(i)||(n.add(i),r.push(e))}else r.push(e);var a})),iN(iN({},t),{definitions:r}))))}return tj.get(i)}function sj(e){for(var t=[],n=1;n<arguments.length;n++)t[n-1]=arguments[n];"string"==typeof e&&(e=[e]);var r=e[0];return t.forEach((function(t,n){t&&"Document"===t.kind?r+=t.loc.source.body:r+=t,r+=e[n+1]})),aj(r)}var lj,uj=sj;(lj=sj||(sj={})).gql=uj,lj.resetCaches=function(){tj.clear(),nj.clear()},lj.disableFragmentWarnings=function(){rj=!1},lj.enableExperimentalFragmentVariables=function(){ij=!0},lj.disableExperimentalFragmentVariables=function(){ij=!1},sj.default=sj;const cj=sj`
fragment RepositoryParts on Repository {
id
isFork
}
`,dj=sj`
fragment BlobWithTextParts on Blob {
id
text
is_binary: isBinary
}
`,pj=sj`
fragment ObjectParts on GitObject {
id
sha: oid
}
`,fj=sj`
fragment BranchParts on Ref {
commit: target {
...ObjectParts
}
id
name
prefix
repository {
...RepositoryParts
}
}
${pj}
${cj}
`,hj=sj`
fragment PullRequestParts on PullRequest {
id
baseRefName
baseRefOid
body
headRefName
headRefOid
number
state
title
merged_at: mergedAt
updated_at: updatedAt
user: author {
login
... on User {
name
}
}
repository {
...RepositoryParts
}
labels(last: 100) {
nodes {
name
}
}
}
${cj}
`,mj=(sj`
fragment TreeEntryParts on TreeEntry {
path: name
sha: oid
type
mode
}
`,sj`
fragment FileEntryParts on TreeEntry {
name
sha: oid
type
blob: object {
... on Blob {
size: byteSize
}
}
}
`),gj=sj`
query repoPermission($owner: String!, $name: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
viewerPermission
}
}
${cj}
`,vj=sj`
query {
viewer {
id
avatar_url: avatarUrl
name
login
}
}
`,yj=sj`
query blob($owner: String!, $name: String!, $expression: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
object(expression: $expression) {
... on Blob {
...BlobWithTextParts
}
}
}
}
${cj}
${dj}
`,bj=sj`
query statues($owner: String!, $name: String!, $sha: GitObjectID!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
object(oid: $sha) {
...ObjectParts
... on Commit {
status {
id
contexts {
id
context
state
target_url: targetUrl
}
}
}
}
}
}
${cj}
${pj}
`;function wj(e){return sj`
query files($owner: String!, $name: String!, $expression: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
object(expression: $expression) {
${function(e=1){const t="PLACE_HOLDER";let n=gs`
...ObjectParts
... on Tree {
entries {
...FileEntryParts
${t}
}
}
`;for(let r=0;r<e-1;r++)n=n.replace(t,gs`
object {
... on Tree {
entries {
...FileEntryParts
${t}
}
}
}
`);return n=n.replace(t,""),n}(e)}
}
}
}
${cj}
${pj}
${mj}
`}const _j="\nbranch: ref(qualifiedName: $qualifiedName) {\n ...BranchParts\n}\n",xj=sj`
query branch($owner: String!, $name: String!, $qualifiedName: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
${_j}
}
}
${cj}
${fj}
`,kj=sj`
query openAuthoringBranches($owner: String!, $name: String!, $refPrefix: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
refs(refPrefix: $refPrefix, last: 100) {
nodes {
...BranchParts
}
}
}
}
${cj}
${fj}
`,Ej=sj`
query repository($owner: String!, $name: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
}
}
${cj}
`,Cj="\npullRequest(number: $number) {\n ...PullRequestParts\n}\n",Sj=sj`
query pullRequest($owner: String!, $name: String!, $number: Int!) {
repository(owner: $owner, name: $name) {
id
${Cj}
}
}
${hj}
`,Aj=sj`
query pullRequests($owner: String!, $name: String!, $head: String, $states: [PullRequestState!]) {
repository(owner: $owner, name: $name) {
id
pullRequests(last: 100, headRefName: $head, states: $states) {
nodes {
...PullRequestParts
}
}
}
}
${hj}
`,Tj=sj`
query pullRequestAndBranch($owner: String!, $name: String!, $originRepoOwner: String!, $originRepoName: String!, $qualifiedName: String!, $number: Int!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
${_j}
}
origin: repository(owner: $originRepoOwner, name: $originRepoName) {
...RepositoryParts
${Cj}
}
}
${cj}
${fj}
${hj}
`,Oj=sj`
query fileSha($owner: String!, $name: String!, $expression: String!) {
repository(owner: $owner, name: $name) {
...RepositoryParts
file: object(expression: $expression) {
...ObjectParts
}
}
}
${cj}
${pj}
`,Pj=sj`
mutation updateRef($input: UpdateRefInput!) {
updateRef(input: $input) {
branch: ref {
...BranchParts
}
}
}
${fj}
`,Dj="\ndeleteRef(input: $deleteRefInput) {\n clientMutationId\n}\n",Rj=sj`
mutation deleteRef($deleteRefInput: DeleteRefInput!) {
${Dj}
}
`,Ij="\nclosePullRequest(input: $closePullRequestInput) {\n clientMutationId\n pullRequest {\n ...PullRequestParts\n }\n}\n",Mj=sj`
mutation closePullRequestAndDeleteBranch($closePullRequestInput: ClosePullRequestInput!) {
${Ij}
}
${hj}
`,Lj=sj`
mutation closePullRequestAndDeleteBranch(
$closePullRequestInput: ClosePullRequestInput!
$deleteRefInput: DeleteRefInput!
) {
${Ij}
${Dj}
}
${hj}
`,Nj="\n createPullRequest(input: $createPullRequestInput) {\n clientMutationId\n pullRequest {\n ...PullRequestParts\n }\n}\n ",jj=sj`
mutation createPullRequest($createPullRequestInput: CreatePullRequestInput!) {
${Nj}
}
${hj}
`,Fj=sj`
mutation createBranch($createRefInput: CreateRefInput!) {
createRef(input: $createRefInput) {
branch: ref {
...BranchParts
}
}
}
${fj}
`,Bj=sj`
mutation createBranchAndPullRequest(
$createRefInput: CreateRefInput!
$createPullRequestInput: CreatePullRequestInput!
) {
createRef(input: $createRefInput) {
branch: ref {
...BranchParts
}
}
${Nj}
}
${fj}
${hj}
`,zj=sj`
mutation reopenPullRequest($reopenPullRequestInput: ReopenPullRequestInput!) {
reopenPullRequest(input: $reopenPullRequestInput) {
clientMutationId
pullRequest {
...PullRequestParts
}
}
}
${hj}
`;function Uj(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function qj(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Uj(Object(n),!0).forEach((function(t){$j(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Uj(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function $j(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Wj="no-cache",Hj="cache-first",Vj=new OL({introspectionQueryResultData:rN()});function Gj(e){return qj(qj({},e),{},{labels:e.labels.nodes,head:{ref:e.headRefName,sha:e.headRefOid,repo:{fork:e.repository.isFork}},base:{ref:e.baseRefName,sha:e.baseRefOid}})}class Kj extends WR{constructor(e){super(e),$j(this,"client",void 0),this.client=this.getApolloClient()}getApolloClient(){const e=tN(((e,{headers:t})=>({headers:qj(qj({"Content-Type":"application/json; charset=utf-8"},t),{},{authorization:this.token?`${this.tokenKeyword} ${this.token}`:""})}))),t=eN({uri:`${this.apiRoot}/graphql`});return new zM({link:e.concat(t),cache:new VL({fragmentMatcher:Vj}),defaultOptions:{watchQuery:{fetchPolicy:Wj,errorPolicy:"ignore"},query:{fetchPolicy:Wj,errorPolicy:"all"}}})}reset(){return this.client.resetStore()}async getRepository(e,t){const{data:n}=await this.query({query:Ej,variables:{owner:e,name:t},fetchPolicy:Hj});return n.repository}query(e){return this.client.query(e).catch((e=>{throw new vo(e.message,500,"GitHub")}))}async mutate(e){try{return await this.client.mutate(e)}catch(s){const l=s.graphQLErrors;if(Array.isArray(l)&&l.some((e=>"Ref cannot be created."===e.message))){var t,n;const r=(null==e||null===(t=e.variables)||void 0===t||null===(n=t.createRefInput)||void 0===n?void 0:n.name)||"",i=il()(r,"refs/heads/");i&&await KP(i,(e=>this.getBranch(e)),jR)}else if(Array.isArray(l)&&l.some((e=>new RegExp(`A ref named "refs/heads/${xP}/.+?" already exists in the repository.`).test(e.message)))){var r,i,o,a;const t=(null==e||null===(r=e.variables)||void 0===r||null===(i=r.createRefInput)||void 0===i?void 0:i.name)||"",n=(null==e||null===(o=e.variables)||void 0===o||null===(a=o.createRefInput)||void 0===a?void 0:a.oid)||"",s=il()(t,"refs/heads/");if(s&&s.startsWith(`${xP}/`)&&n)try{return await this.backupBranch(s),await this.deleteBranch(s),await this.client.mutate(e)}catch(e){console.log(e)}}throw new vo(s.message,500,"GitHub")}}async hasWriteAccess(){const{repoOwner:e,repoName:t}=this;try{const{data:n}=await this.query({query:gj,variables:{owner:e,name:t},fetchPolicy:Hj}),{viewerPermission:r}=n.repository;return["ADMIN","MAINTAIN","WRITE"].includes(r)}catch(e){throw console.error("Problem fetching repo data from GitHub"),e}}async user(){const{data:e}=await this.query({query:vj,fetchPolicy:Hj});return e.viewer}async retrieveBlobObject(e,t,n,r={}){const{data:i}=await this.query(qj({query:yj,variables:{owner:e,name:t,expression:n}},r));if(i.repository.object){const{is_binary:e,text:t}=i.repository.object;return{isNull:!1,isBinary:e,text:t}}return{isNull:!0}}getOwnerAndNameFromRepoUrl(e){let{repoOwner:t,repoName:n}=this;return e===this.originRepoURL&&({originRepoOwner:t,originRepoName:n}=this),{owner:t,name:n}}async readFile(e,t,{branch:n=this.branch,repoURL:r=this.repoURL,parseText:i=!0}={}){return t||(t=await this.getFileSha(e,{repoURL:r,branch:n})),await $P(t,(()=>this.fetchBlobContent({sha:t,repoURL:r,parseText:i})),Fo,i)}async fetchBlobContent({sha:e,repoURL:t,parseText:n}){if(!n)return super.fetchBlobContent({sha:e,repoURL:t,parseText:n});const{owner:r,name:i}=this.getOwnerAndNameFromRepoUrl(t),{isNull:o,isBinary:a,text:s}=await this.retrieveBlobObject(r,i,e,{fetchPolicy:Hj});if(o)throw new vo("Not Found",404,"GitHub");return a?super.fetchBlobContent({sha:e,repoURL:t,parseText:n}):s}async getPullRequestAuthor(e){const t=e.user;return(null==t?void 0:t.name)||(null==t?void 0:t.login)}async getPullRequests(e,t,n){const{originRepoOwner:r,originRepoName:i}=this;let o;o=t===zR.Open?["OPEN"]:t===zR.Closed?["CLOSED","MERGED"]:["OPEN","CLOSED","MERGED"];const{data:a}=await this.query({query:Aj,variables:qj(qj({owner:r,name:i},e?{head:e}:{}),{},{states:o})}),{pullRequests:s}=a.repository;return s.nodes.map(Gj).filter((e=>e.head.ref.startsWith(`${xP}/`)&&n(e)))}async getOpenAuthoringBranches(){const{repoOwner:e,repoName:t}=this,{data:n}=await this.query({query:kj,variables:{owner:e,name:t,refPrefix:`refs/heads/cms/${this.repo}/`}});return n.repository.refs.nodes.map((({name:e,prefix:t})=>({ref:`${t}${e}`})))}async getStatuses(e,t){const n=IP(this.generateContentKey(e,t)),r=(await this.getBranchPullRequest(n)).head.sha,{originRepoOwner:i,originRepoName:o}=this,{data:a}=await this.query({query:bj,variables:{owner:i,name:o,sha:r}});if(a.repository.object){const{status:e}=a.repository.object,{contexts:t}=e||{contexts:[]};return t}return[]}getAllFiles(e,t){return e.reduce(((e,n)=>{if("tree"===n.type){var r;const i=(null===(r=n.object)||void 0===r?void 0:r.entries)||[];return[...e,...this.getAllFiles(i,`${t}/${n.name}`)]}return"blob"===n.type?[...e,{name:n.name,type:n.type,id:n.sha,path:`${t}/${n.name}`,size:n.blob?n.blob.size:0}]:e}),[])}async listFiles(e,{repoURL:t=this.repoURL,branch:n=this.branch,depth:r=1}={}){const{owner:i,name:o}=this.getOwnerAndNameFromRepoUrl(t),a=Oi()(e,"/"),{data:s}=await this.query({query:wj(r),variables:{owner:i,name:o,expression:`${n}:${a}`}});return s.repository.object?this.getAllFiles(s.repository.object.entries,a):[]}getBranchQualifiedName(e){return`refs/heads/${e}`}getBranchQuery(e,t,n){return{query:xj,variables:{owner:t,name:n,qualifiedName:this.getBranchQualifiedName(e)}}}async getDefaultBranch(){const{data:e}=await this.query(qj({},this.getBranchQuery(this.branch,this.originRepoOwner,this.originRepoName)));return e.repository.branch}async getBranch(e){const{data:t}=await this.query(qj(qj({},this.getBranchQuery(e,this.repoOwner,this.repoName)),{},{fetchPolicy:Hj}));if(!t.repository.branch)throw new vo("Branch not found",404,jR);return t.repository.branch}async patchRef(e,t,n,r={}){if("heads"!==e)return super.patchRef(e,t,n,r);const i=r.force||!1,o=await this.getBranch(t),{data:a}=await this.mutate({mutation:Pj,variables:{input:{oid:n,refId:o.id,force:i}}});return a.updateRef.branch}async deleteBranch(e){const t=await this.getBranch(e),{data:n}=await this.mutate({mutation:Rj,variables:{deleteRefInput:{refId:t.id}},update:e=>e.data.delete($L(t))});return n.deleteRef}getPullRequestQuery(e){const{originRepoOwner:t,originRepoName:n}=this;return{query:Sj,variables:{owner:t,name:n,number:e}}}async getPullRequest(e){const{data:t}=await this.query(qj(qj({},this.getPullRequestQuery(e)),{},{fetchPolicy:Hj})),n="OPEN"===t.repository.pullRequest.state?zR.Open:zR.Closed;return qj(qj({},t.repository.pullRequest),{},{state:n})}getPullRequestAndBranchQuery(e,t){const{repoOwner:n,repoName:r}=this,{originRepoOwner:i,originRepoName:o}=this;return{query:Tj,variables:{owner:n,name:r,originRepoOwner:i,originRepoName:o,number:t,qualifiedName:this.getBranchQualifiedName(e)}}}async getPullRequestAndBranch(e,t){const{data:n}=await this.query(qj(qj({},this.getPullRequestAndBranchQuery(e,t)),{},{fetchPolicy:Hj})),{repository:r,origin:i}=n;return{branch:r.branch,pullRequest:i.pullRequest}}async openPR(e){const t=await this.getPullRequest(e),{data:n}=await this.mutate({mutation:zj,variables:{reopenPullRequestInput:{pullRequestId:t.id}},update:(e,{data:t})=>{const{pullRequest:n}=t.reopenPullRequest,r={repository:qj(qj({},n.repository),{},{pullRequest:n})};e.writeQuery(qj(qj({},this.getPullRequestQuery(n.number)),{},{data:r}))}});return n.reopenPullRequest}async closePR(e){const t=await this.getPullRequest(e),{data:n}=await this.mutate({mutation:Mj,variables:{closePullRequestInput:{pullRequestId:t.id}},update:(e,{data:t})=>{const{pullRequest:n}=t.closePullRequest,r={repository:qj(qj({},n.repository),{},{pullRequest:n})};e.writeQuery(qj(qj({},this.getPullRequestQuery(n.number)),{},{data:r}))}});return n.closePullRequest}async deleteUnpublishedEntry(e,t){try{const n=IP(this.generateContentKey(e,t)),r=await this.getBranchPullRequest(n);if(r.number!==FR){const{branch:e,pullRequest:t}=await this.getPullRequestAndBranch(n,r.number),{data:i}=await this.mutate({mutation:Lj,variables:{deleteRefInput:{refId:e.id},closePullRequestInput:{pullRequestId:t.id}},update:n=>{n.data.delete($L(e)),n.data.delete($L(t))}});return i.closePullRequest}return await this.deleteBranch(n)}catch(e){const{graphQLErrors:t}=e;if(t&&t.length>0){const e=t.some((e=>"NOT_FOUND"===e.type));if(e)return}throw e}}async createPR(e,t){const[n,r]=await Promise.all([this.getRepository(this.originRepoOwner,this.originRepoName),this.useOpenAuthoring?`${(await this.user()).login}:${t}`:t]),{data:i}=await this.mutate({mutation:jj,variables:{createPullRequestInput:{baseRefName:this.branch,body:kP,title:e,headRefName:r,repositoryId:n.id}},update:(e,{data:t})=>{const{pullRequest:n}=t.createPullRequest,r={repository:qj(qj({},n.repository),{},{pullRequest:n})};e.writeQuery(qj(qj({},this.getPullRequestQuery(n.number)),{},{data:r}))}}),{pullRequest:o}=i.createPullRequest;return qj(qj({},o),{},{head:{sha:o.headRefOid}})}async createBranch(e,t){const n=this.repoOwner,r=this.repoName,i=await this.getRepository(n,r),{data:o}=await this.mutate({mutation:Fj,variables:{createRefInput:{name:this.getBranchQualifiedName(e),oid:t,repositoryId:i.id}},update:(t,{data:i})=>{const{branch:o}=i.createRef,a={repository:qj(qj({},o.repository),{},{branch:o})};t.writeQuery(qj(qj({},this.getBranchQuery(e,n,r)),{},{data:a}))}}),{branch:a}=o.createRef;return qj(qj({},a),{},{ref:`${a.prefix}${a.name}`})}async createBranchAndPullRequest(e,t,n){const r=this.originRepoOwner,i=this.originRepoName,o=await this.getRepository(r,i),{data:a}=await this.mutate({mutation:Bj,variables:{createRefInput:{name:this.getBranchQualifiedName(e),oid:t,repositoryId:o.id},createPullRequestInput:{baseRefName:this.branch,body:kP,title:n,headRefName:e,repositoryId:o.id}},update:(t,{data:n})=>{const{branch:o}=n.createRef,{pullRequest:a}=n.createPullRequest,s={repository:qj(qj({},o.repository),{},{branch:o})},l={repository:qj(qj({},a.repository),{},{branch:o}),origin:qj(qj({},a.repository),{},{pullRequest:a})};t.writeQuery(qj(qj({},this.getBranchQuery(e,r,i)),{},{data:s})),t.writeQuery(qj(qj({},this.getPullRequestAndBranchQuery(e,a.number)),{},{data:l}))}}),{pullRequest:s}=a.createPullRequest;return Gj(s)}async getFileSha(e,{repoURL:t=this.repoURL,branch:n=this.branch}={}){const{owner:r,name:i}=this.getOwnerAndNameFromRepoUrl(t),{data:o}=await this.query({query:Oj,variables:{owner:r,name:i,expression:`${n}:${e}`}});if(o.repository.file)return o.repository.file.sha;throw new vo("Not Found",404,jR)}}function Yj(){return Yj=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},Yj.apply(this,arguments)}function Zj(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Xj(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Zj(Object(n),!0).forEach((function(t){Qj(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Zj(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Qj(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const{fetchWithTimeout:Jj}=mo,eF="https://www.githubstatus.com",tF=`${eF}/api/v2/components.json`,nF=["API Requests","Issues, Pull Requests, Projects"];class rF{constructor(e,t={}){var n;if(Qj(this,"lock",void 0),Qj(this,"api",void 0),Qj(this,"options",void 0),Qj(this,"originRepo",void 0),Qj(this,"isBranchConfigured",void 0),Qj(this,"repo",void 0),Qj(this,"openAuthoringEnabled",void 0),Qj(this,"useOpenAuthoring",void 0),Qj(this,"alwaysForkEnabled",void 0),Qj(this,"branch",void 0),Qj(this,"apiRoot",void 0),Qj(this,"mediaFolder",void 0),Qj(this,"previewContext",void 0),Qj(this,"token",void 0),Qj(this,"tokenKeyword",void 0),Qj(this,"squashMerges",void 0),Qj(this,"cmsLabelPrefix",void 0),Qj(this,"useGraphql",void 0),Qj(this,"baseUrl",void 0),Qj(this,"bypassWriteAccessCheckForAppTokens",!1),Qj(this,"_currentUserPromise",void 0),Qj(this,"_userIsOriginMaintainerPromises",void 0),Qj(this,"_mediaDisplayURLSem",void 0),Qj(this,"getCursorAndFiles",((e,t)=>{const n=e.length,r=Math.ceil(e.length/20),i=[];return t>1&&(i.push("prev"),i.push("first")),t<r&&(i.push("next"),i.push("last")),{cursor:Mo.create({actions:i,meta:{page:t,count:n,pageSize:20,pageCount:r},data:{files:e}}),files:e.slice(20*(t-1),20*t)}})),this.options=Xj({proxied:!1,API:null,initialWorkflowStatus:""},t),!this.options.proxied&&(null===e.backend.repo||void 0===e.backend.repo))throw new Error('The GitHub backend needs a "repo" in the backend configuration.');if(this.api=this.options.API||null,this.isBranchConfigured=!!e.backend.branch,this.openAuthoringEnabled=e.backend.open_authoring||!1,this.openAuthoringEnabled){if(!this.options.useWorkflow)throw new Error("backend.open_authoring is true but publish_mode is not set to editorial_workflow.");this.originRepo=e.backend.repo||""}else this.repo=this.originRepo=e.backend.repo||"";this.alwaysForkEnabled=e.backend.always_fork||!1,this.branch=(null===(n=e.backend.branch)||void 0===n?void 0:n.trim())||"master",this.apiRoot=e.backend.api_root||"https://api.github.com",this.token="",this.tokenKeyword="token",this.baseUrl=e.backend.base_url,this.squashMerges=e.backend.squash_merges||!1,this.cmsLabelPrefix=e.backend.cms_label_prefix||"",this.useGraphql=e.backend.use_graphql||!1,this.mediaFolder=e.media_folder,this.previewContext=e.backend.preview_context||"",this.lock=So()}isGitBackend(){return!0}async status(){const e=await Jj(tF).then((e=>e.json())).then((e=>e.components.filter((e=>nF.includes(e.name))).every((e=>"operational"===e.status)))).catch((e=>(console.warn("Failed getting GitHub status",e),!0)));let t=!1;var n,r;return e&&(t=await(null===(n=this.api)||void 0===n?void 0:n.getUser({token:null!==(r=this.token)&&void 0!==r?r:""}).then((e=>!!e)).catch((e=>(console.warn("Failed getting GitHub user",e),!1))))||!1),{auth:{status:t},api:{status:e,statusPage:eF}}}authComponent(){const e=e=>xn(OR,Yj({},e,{backend:this}));return e.displayName="AuthenticationPage",e}restoreUser(e){return this.openAuthoringEnabled?this.authenticateWithFork({userData:e,getPermissionToFork:()=>!0}).then((()=>this.authenticate(e))):this.authenticate(e)}async pollUntilForkExists({repo:e,token:t}){let n=!1;for(;!n;)n=await Jj(`${this.apiRoot}/repos/${e}`,{headers:{Authorization:`${this.tokenKeyword} ${t}`}}).then((()=>!0)).catch((e=>e&&404===e.status?(console.log("This 404 was expected and handled appropriately."),!1):Promise.reject(e))),n||await new Promise((e=>setTimeout(e,250)));return Promise.resolve()}async currentUser({token:e}){return this._currentUserPromise||(this._currentUserPromise=Jj(`${this.apiRoot}/user`,{headers:{Authorization:`${this.tokenKeyword} ${e}`}}).then((e=>e.json()))),this._currentUserPromise}async userIsOriginMaintainer({username:e,token:t}){const n=e||(await this.currentUser({token:t})).login;return this._userIsOriginMaintainerPromises=this._userIsOriginMaintainerPromises||{},this._userIsOriginMaintainerPromises[n]||(this._userIsOriginMaintainerPromises[n]=Jj(`${this.apiRoot}/repos/${this.originRepo}/collaborators/${n}/permission`,{headers:{Authorization:`${this.tokenKeyword} ${t}`}}).then((e=>e.json())).then((({permission:e})=>"admin"===e||"write"===e))),this._userIsOriginMaintainerPromises[n]}async forkExists({token:e}){try{const t=await this.currentUser({token:e}),n=this.originRepo.split("/")[1],r=await Jj(`${this.apiRoot}/repos/${t.login}/${n}`,{method:"GET",headers:{Authorization:`${this.tokenKeyword} ${e}`}}).then((e=>e.json()));return!0===r.fork&&r.parent&&r.parent.full_name.toLowerCase()===this.originRepo.toLowerCase()}catch{return!1}}async authenticateWithFork({userData:e,getPermissionToFork:t}){if(!this.openAuthoringEnabled)throw new Error("Cannot authenticate with fork; Open Authoring is turned off.");const n=e.token;if(!this.alwaysForkEnabled&&await this.userIsOriginMaintainer({token:n}))return this.repo=this.originRepo,this.useOpenAuthoring=!1,Promise.resolve();const r=await this.currentUser({token:n}),i=this.originRepo.split("/")[1];if(this.repo=`${r.login}/${i}`,this.useOpenAuthoring=!0,await this.forkExists({token:n}))return Jj(`${this.apiRoot}/repos/${this.repo}/merge-upstream`,{method:"POST",headers:{Authorization:`${this.tokenKeyword} ${n}`},body:JSON.stringify({branch:this.branch})});{await t();const e=await Jj(`${this.apiRoot}/repos/${this.originRepo}/forks`,{method:"POST",headers:{Authorization:`${this.tokenKeyword} ${n}`}}).then((e=>e.json()));return this.pollUntilForkExists({repo:e.full_name,token:n})}}async authenticate(e){if(this.token=e.token,!this.isBranchConfigured){const e=await Jj(`${this.apiRoot}/repos/${this.originRepo}`,{headers:{Authorization:`token ${this.token}`}}).then((e=>e.json())).catch((()=>null));e&&e.default_branch&&(this.branch=e.default_branch)}const t=this.useGraphql?Kj:WR;this.api=new t({token:this.token,tokenKeyword:this.tokenKeyword,branch:this.branch,repo:this.repo,originRepo:this.originRepo,apiRoot:this.apiRoot,squashMerges:this.squashMerges,cmsLabelPrefix:this.cmsLabelPrefix,useOpenAuthoring:this.useOpenAuthoring,initialWorkflowStatus:this.options.initialWorkflowStatus,baseUrl:this.baseUrl,getUser:this.currentUser});const n=await this.api.user();if(!await this.api.hasWriteAccess().catch((e=>{throw e.message=vs`
Repo "${this.repo}" not found.
Please ensure the repo information is spelled correctly.
If the repo is private, make sure you're logged into a GitHub account with access.
If your repo is under an organization, ensure the organization has granted access to Decap CMS.
`,e}))&&!this.bypassWriteAccessCheckForAppTokens)throw new Error("Your GitHub user account does not have access to this repo.");return Xj(Xj({},n),{},{token:e.token,useOpenAuthoring:this.useOpenAuthoring})}logout(){if(this.token=null,this.api&&this.api.reset&&"function"==typeof this.api.reset)return this.api.reset()}getToken(){return Promise.resolve(this.token)}async entriesByFolder(e,t,n){const r=this.api.originRepoURL;let i;const o=await Zo((()=>this.api.listFiles(e,{repoURL:r,depth:n}).then((e=>{const n=e.filter((e=>yo(e,t))),r=this.getCursorAndFiles(n,1);return i=r.cursor,r.files}))),((e,t)=>this.api.readFile(e,t,{repoURL:r})),this.api.readFileMetadata.bind(this.api),jR);return o[Lo]=i,o}async allEntriesByFolder(e,t,n,r){const i=this.api.originRepoURL;return await Zo((()=>this.api.listFiles(e,{repoURL:i,depth:n}).then((e=>e.filter((e=>(!r||r.test(e.path))&&yo(e,t)))))),((e,t)=>this.api.readFile(e,t,{repoURL:i})),this.api.readFileMetadata.bind(this.api),jR)}entriesByFiles(e){const t=this.useOpenAuthoring?this.api.originRepoURL:this.api.repoURL;return Xo(e,((e,n)=>this.api.readFile(e,n,{repoURL:t}).catch((()=>""))),this.api.readFileMetadata.bind(this.api),jR)}getEntry(e){const t=this.api.originRepoURL;return this.api.readFile(e,null,{repoURL:t}).then((t=>({file:{path:e,id:null},data:t}))).catch((()=>({file:{path:e,id:null},data:""})))}getMedia(e=this.mediaFolder){return this.api.listFiles(e).then((e=>e.map((({id:e,name:t,size:n,path:r})=>({id:e,name:t,size:n,displayURL:{id:e,path:r},path:r})))))}async getMediaFile(e){const t=await ea(e,null,this.api.readFile.bind(this.api)),n=Wo(e),r=Jo(n,t),i=URL.createObjectURL(r);return{id:await Xu(t),displayURL:i,path:e,name:n,size:r.size,file:r,url:i}}getMediaDisplayURL(e){return this._mediaDisplayURLSem=this._mediaDisplayURLSem||Co()(10),ta(e,this.api.readFile.bind(this.api),this._mediaDisplayURLSem)}persistEntry(e,t){return na(this.lock,(()=>this.api.persistFiles(e.dataFiles,e.assets,t)),"Failed to acquire persist entry lock")}async persistMedia(e,t){try{await this.api.persistFiles([],[e],t);const{sha:n,path:r,fileObj:i}=e,o=i?URL.createObjectURL(i):"";return{id:n,name:i.name,size:i.size,displayURL:o,path:il()(r,"/")}}catch(e){throw console.error(e),e}}deleteFiles(e,t){return this.api.deleteFiles(e,t)}async traverseCursor(e,t){const n=e.meta,r=e.data.get("files").toJS();let i;switch(t){case"first":default:i=this.getCursorAndFiles(r,1);break;case"last":i=this.getCursorAndFiles(r,n.get("pageCount"));break;case"next":i=this.getCursorAndFiles(r,n.get("page")+1);break;case"prev":i=this.getCursorAndFiles(r,n.get("page")-1)}return{entries:await Xo(i.files,((e,t)=>this.api.readFile(e,t,{repoURL:this.api.originRepoURL}).catch((()=>""))),this.api.readFileMetadata.bind(this.api),jR),cursor:i.cursor}}async loadMediaFile(e,t){const n=await ea(t.path,t.id,((t,n,{parseText:r})=>this.api.readFile(t,n,{branch:e,parseText:r}))),r=Wo(t.path),i=Jo(r,n);return{id:t.id,displayURL:URL.createObjectURL(i),path:t.path,name:r,size:i.size,file:i}}async unpublishedEntries(){const e=await Qo((()=>this.api.listUnpublishedBranches().then((e=>e.map((e=>RP(e)))))));return e}async unpublishedEntry({id:e,collection:t,slug:n}){if(e)return await this.api.retrieveUnpublishedEntryData(e);if(t&&n){const e=this.api.generateContentKey(t,n);return await this.api.retrieveUnpublishedEntryData(e)}throw new Error("Missing unpublished entry id or collection and slug")}getBranch(e,t){return IP(this.api.generateContentKey(e,t))}async unpublishedEntryDataFile(e,t,n,r){const i=this.getBranch(e,t);return await this.api.readFile(n,r,{branch:i})}async unpublishedEntryMediaFile(e,t,n,r){const i=this.getBranch(e,t);return await this.loadMediaFile(i,{path:n,id:r})}async getDeployPreview(e,t){try{const n=GP(await this.api.getStatuses(e,t),this.previewContext);if(n){const{target_url:e,state:t}=n;return{url:e,status:t}}return null}catch(e){return null}}updateUnpublishedEntryStatus(e,t,n){return na(this.lock,(()=>this.api.updateUnpublishedEntryStatus(e,t,n)),"Failed to acquire update entry status lock")}deleteUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.deleteUnpublishedEntry(e,t)),"Failed to acquire delete entry lock")}publishUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.publishUnpublishedEntry(e,t)),"Failed to acquire publish entry lock")}}function iF(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function oF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const aF="decap-cms-pkce-verifier-code";class sF{constructor(e={}){const t=da()(e.base_url,"/"),n=Oi()(e.auth_endpoint,"/"),r=Oi()(e.auth_token_endpoint,"/");this.auth_url=`${t}/${n}`,this.auth_token_url=`${t}/${r}`,this.auth_token_endpoint_content_type=e.auth_token_endpoint_content_type,this.appID=e.app_id}async authenticate(e,t){if(XP())return t(new Error("Cannot authenticate over insecure protocol!"));const n=new URL(this.auth_url);n.searchParams.set("client_id",this.appID),n.searchParams.set("redirect_uri",document.location.origin+document.location.pathname),n.searchParams.set("response_type","code"),n.searchParams.set("scope",e.scope);const r=JSON.stringify({auth_type:"pkce",nonce:YP()});n.searchParams.set("state",r),n.searchParams.set("code_challenge_method","S256");const i=function(){const e=Array.from(window.crypto.getRandomValues(new Uint8Array(128))).map((e=>"abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-."[e%64])).join("");return window.sessionStorage.setItem(aF,e),e}(),o=await async function(e){const t=await async function(e){const t=(new TextEncoder).encode(e),n=await window.crypto.subtle.digest("SHA-256",t);return String.fromCharCode(...new Uint8Array(n))}(e);return btoa(t).split("=")[0].replace(/\+/g,"-").replace(/\//g,"_")}(i);n.searchParams.set("code_challenge",o),document.location.assign(n.href)}async completeAuth(e){const t=new URLSearchParams(document.location.search);if(window.history.replaceState(null,"",document.location.pathname),!t.has("code")&&!t.has("error"))return;let n;try{n=JSON.parse(t.get("state")).nonce}catch(e){n=JSON.parse(t.get("state").replace(/\\"/g,'"')).nonce}if(!ZP(n))return e(new Error("Invalid nonce"));if(t.has("error"))return e(new Error(`${t.get("error")}: ${t.get("error_description")}`));if(t.has("code")){const n=t.get("code"),r=new URL(this.auth_token_url),i={client_id:this.appID,code:n,grant_type:"authorization_code",redirect_uri:document.location.origin+document.location.pathname,code_verifier:window.sessionStorage.getItem(aF)},o=await fetch(r.href,{method:"POST",body:this.auth_token_endpoint_content_type.startsWith("application/x-www-form-urlencoded")?new URLSearchParams(Object.entries(i)).toString():JSON.stringify(i),headers:{"Content-Type":this.auth_token_endpoint_content_type}}),a=await o.json();window.sessionStorage.removeItem(aF),e(null,function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?iF(Object(n),!0).forEach((function(t){oF(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):iF(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({token:a.access_token},a))}}}function lF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const uF=gb(O_,{target:"e1eykva80",label:"LoginButtonIcon"})({name:"1gnqu05",styles:"margin-right:18px"});class cF extends o.Component{constructor(...e){super(...e),lF(this,"state",{}),lF(this,"handleLogin",(e=>{e.preventDefault(),this.auth.authenticate({scope:"https://api.github.com/repo openid email"},((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))}))}componentDidMount(){const{base_url:e="",app_id:t="",auth_endpoint:n="oauth2/authorize",auth_token_endpoint:r="oauth2/token"}=this.props.config.backend;this.auth=new sF({base_url:e,auth_endpoint:n,app_id:t,auth_token_endpoint:r,auth_token_endpoint_content_type:"application/x-www-form-urlencoded; charset=utf-8"}),this.auth.completeAuth(((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))}render(){const{inProgress:e,config:t,t:n}=this.props;return xn(fD,{onLogin:this.handleLogin,loginDisabled:e,loginErrorMessage:this.state.loginError,logoUrl:t.logo_url,siteUrl:t.site_url,renderButtonContent:()=>xn(o.Fragment,null,xn(uF,{type:"link"})," ",n(e?"auth.loggingIn":"auth.login")),t:n})}}function dF(){return dF=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},dF.apply(this,arguments)}function pF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}lF(cF,"propTypes",{inProgress:ie().bool,config:ie().object.isRequired,onLogin:ie().func.isRequired,t:ie().func.isRequired});const fF=gb(O_,{target:"e80yw6v0",label:"LoginButtonIcon"})({name:"1gnqu05",styles:"margin-right:18px"}),hF={pkce:({base_url:e,auth_endpoint:t,app_id:n,auth_token_endpoint:r})=>new sF({base_url:e,auth_endpoint:t,app_id:n,auth_token_endpoint:r,auth_token_endpoint_content_type:"application/json; charset=utf-8"}),implicit:({base_url:e,auth_endpoint:t,app_id:n,clearHash:r})=>new tD({base_url:e,auth_endpoint:t,app_id:n,clearHash:r})};class mF extends o.Component{constructor(...e){super(...e),pF(this,"state",{}),pF(this,"handleLogin",(e=>{e.preventDefault(),this.auth.authenticate({provider:"gitlab",scope:"api"},((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))}))}componentDidMount(){const{auth_type:e="",base_url:t="https://gitlab.com",auth_endpoint:n="oauth/authorize",app_id:r=""}=this.props.config.backend;hF[e]?(this.auth=hF[e]({base_url:t,auth_endpoint:n,app_id:r,auth_token_endpoint:"oauth/token",clearHash:this.props.clearHash}),this.auth.completeAuth(((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))):this.auth=new kR({base_url:this.props.base_url,site_id:"localhost"===document.location.host.split(":")[0]?"demo.decapcms.org":this.props.siteId,auth_endpoint:this.props.authEndpoint})}render(){const{inProgress:e,config:t,t:n}=this.props;return xn(fD,{onLogin:this.handleLogin,loginDisabled:e,loginErrorMessage:this.state.loginError,logoUrl:t.logo_url,siteUrl:t.site_url,renderButtonContent:()=>xn(o.Fragment,null,xn(fF,{type:"gitlab"})," ",n(e?"auth.loggingIn":"auth.loginWithGitLab")),t:n})}}function gF(e){return t=>Promise.resolve(t).then(e)}pF(mF,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool,base_url:ie().string,siteId:ie().string,authEndpoint:ie().string,config:ie().object.isRequired,clearHash:ie().func,t:ie().func.isRequired}),Symbol("filterPromiseSymbol");const vF=sj`
query files($repo: ID!, $branch: String!, $path: String!, $recursive: Boolean!, $cursor: String) {
project(fullPath: $repo) {
repository {
tree(ref: $branch, path: $path, recursive: $recursive) {
blobs(after: $cursor) {
nodes {
type
id: sha
path
name
}
pageInfo {
endCursor
hasNextPage
}
}
}
}
}
}
`,yF=sj`
query blobs($repo: ID!, $branch: String!, $paths: [String!]!) {
project(fullPath: $repo) {
repository {
blobs(ref: $branch, paths: $paths) {
nodes {
id
data: rawBlob
}
}
}
}
}
`;function bF(e){return sj`
query lastCommits($repo: ID!, $branch: String!) {
project(fullPath: $repo) {
repository {
${e.map(((e,t)=>gs`
tree${t}: tree(ref: $branch, path: "${e}") {
lastCommit {
authorName
authoredDate
author {
id
username
name
publicEmail
}
}
}
`)).join("\n")}
}
}
}
`}function wF(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function _F(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?wF(Object(n),!0).forEach((function(t){xF(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):wF(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function xF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const kF="no-cache",EF="GitLab";var CF=function(e){return e.CREATE="create",e.DELETE="delete",e.MOVE="move",e.UPDATE="update",e}(CF||{}),SF=function(e){return e.Pending="pending",e.Running="running",e.Success="success",e.Failed="failed",e.Canceled="canceled",e}(SF||{});function AF(e,t,n){for(let r=0;r<e.length;r+=t)n(e.slice(r,r+t))}class TF{constructor(e){xF(this,"apiRoot",void 0),xF(this,"graphQLAPIRoot",void 0),xF(this,"token",void 0),xF(this,"branch",void 0),xF(this,"useOpenAuthoring",void 0),xF(this,"repo",void 0),xF(this,"repoURL",void 0),xF(this,"commitAuthor",void 0),xF(this,"squashMerges",void 0),xF(this,"initialWorkflowStatus",void 0),xF(this,"cmsLabelPrefix",void 0),xF(this,"graphQLClient",void 0),xF(this,"withAuthorizationHeaders",(e=>{const t=mo.withHeaders(this.token?{Authorization:`Bearer ${this.token}`}:{},e);return Promise.resolve(t)})),xF(this,"buildRequest",(async e=>{const t=mo.withRoot(this.apiRoot)(e),n=await this.withAuthorizationHeaders(t);return n.has("cache")?n:mo.withNoCache(n)})),xF(this,"request",(async e=>{try{return BP(this,e)}catch(e){throw new vo(e.message,null,EF)}})),xF(this,"responseToJSON",_o({format:"json",apiName:EF})),xF(this,"responseToBlob",_o({format:"blob",apiName:EF})),xF(this,"responseToText",_o({format:"text",apiName:EF})),xF(this,"requestJSON",(e=>this.request(e).then(this.responseToJSON))),xF(this,"requestText",(e=>this.request(e).then(this.responseToText))),xF(this,"user",(()=>this.requestJSON("/user"))),xF(this,"WRITE_ACCESS",30),xF(this,"MAINTAINER_ACCESS",40),xF(this,"hasWriteAccess",(async()=>{const{shared_with_groups:e,permissions:t}=await this.requestJSON(this.repoURL),{project_access:n,group_access:r}=t;if(n&&n.access_level>=this.WRITE_ACCESS)return!0;if(r&&r.access_level>=this.WRITE_ACCESS)return!0;if(e&&e.length>0){const t=(i=e).reduce(((e,t)=>t.group_access_level>e.group_access_level?t:e),i[0]);if(t.group_access_level>=this.MAINTAINER_ACCESS)return!0;if(t.group_access_level>=this.WRITE_ACCESS)try{const e=await this.getDefaultBranch();if(e.developers_can_merge&&e.developers_can_push)return!0}catch(e){console.log("Failed getting default branch",e)}}var i;return!1})),xF(this,"readFile",(async(e,t,{parseText:n=!0,branch:r=this.branch}={})=>await $P(t,(async()=>await this.request({url:`${this.repoURL}/repository/files/${encodeURIComponent(e)}/raw`,params:{ref:r},cache:"no-store"}).then(n?this.responseToText:this.responseToBlob)),Fo,n))),xF(this,"getCursorFromHeaders",(e=>{const t=parseInt(e.get("X-Page"),10),n=parseInt(e.get("X-Total-Pages"),10),r=parseInt(e.get("X-Per-Page"),10),i=parseInt(e.get("X-Total"),10),o=xo(e.get("Link")),a=(0,kr.Map)(o).keySeq().flatMap((e=>"prev"===e&&t>1||"next"===e&&t<n||"first"===e&&t>1||"last"===e&&t<n?[e]:[]));return Mo.create({actions:a,meta:{page:t,count:i,pageSize:r,pageCount:n},data:{links:o}})})),xF(this,"getCursor",(({headers:e})=>this.getCursorFromHeaders(e))),xF(this,"fetchCursor",(e=>Hi()([mo.withMethod("HEAD"),this.request,gF(this.getCursor)])(e))),xF(this,"fetchCursorAndEntries",(e=>Hi()([mo.withMethod("GET"),this.request,e=>Promise.all([e.then(this.getCursor),e.then(this.responseToJSON).catch((e=>{if(404===e.status)return[];throw e}))]),gF((([e,t])=>({cursor:e,entries:t})))])(e))),xF(this,"listFiles",(async(e,t=!1)=>{const{entries:n,cursor:r}=await this.fetchCursorAndEntries({url:`${this.repoURL}/repository/tree`,params:{path:e,ref:this.branch,recursive:t}});return{files:n.filter((({type:e})=>"blob"===e)),cursor:r}})),xF(this,"traverseCursor",(async(e,t)=>{const n=e.data.getIn(["links",t]),{entries:r,cursor:i}=await this.fetchCursorAndEntries(n);return{entries:r.filter((({type:e})=>"blob"===e)),cursor:i}})),xF(this,"listAllFilesGraphQL",(async(e,t,n)=>{const r=[];let i,o;do{i=await this.graphQLClient.query({query:vF,variables:{repo:this.repo,branch:n,path:e,recursive:t,cursor:o}}),r.push(...i.data.project.repository.tree.blobs.nodes),o=i.data.project.repository.tree.blobs.pageInfo.endCursor}while(i.data.project.repository.tree.blobs.pageInfo.hasNextPage);return r})),xF(this,"readFilesGraphQL",(async e=>{const t=e.map((({path:e})=>e)),n=[];AF(t,90,(e=>{n.push(this.graphQLClient.query({query:yF,variables:{repo:this.repo,branch:this.branch,paths:e},fetchPolicy:"cache-first"}))}));const r=[];AF(t,8,(e=>{r.push(this.graphQLClient.query({query:bF(e),variables:{repo:this.repo,branch:this.branch},fetchPolicy:"cache-first"}))}));const[i,o]=await Promise.all([(await Promise.all(n)).map((e=>e.data.project.repository.blobs.nodes)),(await Promise.all(r)).map((e=>Object.values(e.data.project.repository).map((({lastCommit:e})=>e)).filter(Boolean)))]),a=i.flat().map((e=>e.data)),s=o.flat().map((({author:e,authoredDate:t,authorName:n})=>({author:e?e.name||e.username||e.publicEmail:n,updatedOn:t})));return e.map(((e,t)=>({file:_F(_F({},e),s[t]),data:a[t]})))})),xF(this,"listAllFiles",(async(e,t=!1,n=this.branch)=>{if(this.graphQLClient)return await this.listAllFilesGraphQL(e,t,n);const r=[];let{cursor:i,entries:o}=await this.fetchCursorAndEntries({url:`${this.repoURL}/repository/tree`,params:{path:e,ref:n,per_page:100,recursive:t}});for(r.push(...o);i&&i.actions.has("next");){const e=i.data.getIn(["links","next"]),{cursor:t,entries:n}=await this.fetchCursorAndEntries(e);r.push(...n),i=t}return r.filter((({type:e})=>"blob"===e))})),xF(this,"toBase64",(e=>Promise.resolve(rR.encode(e)))),xF(this,"fromBase64",(e=>rR.decode(e))),xF(this,"deleteFiles",((e,t)=>{const n={commit_message:t,branch:this.branch};if(this.commitAuthor){const{name:e,email:t}=this.commitAuthor;n.author_name=e,n.author_email=t}const r=e.map((e=>({path:e,action:CF.DELETE})));return this.uploadAndCommit(r,{commitMessage:t})})),this.apiRoot=e.apiRoot||"https://gitlab.com/api/v4",this.graphQLAPIRoot=e.graphQLAPIRoot||"https://gitlab.com/api/graphql",this.token=e.token||!1,this.branch=e.branch||"master",this.repo=e.repo||"",this.repoURL=`/projects/${encodeURIComponent(this.repo)}`,this.squashMerges=e.squashMerges,this.initialWorkflowStatus=e.initialWorkflowStatus,this.cmsLabelPrefix=e.cmsLabelPrefix,!0===e.useGraphQL&&(this.graphQLClient=this.getApolloClient())}getApolloClient(){const e=tN(((e,{headers:t})=>({headers:_F(_F({"Content-Type":"application/json; charset=utf-8"},t),{},{authorization:this.token?`token ${this.token}`:""})}))),t=eN({uri:this.graphQLAPIRoot});return new zM({link:e.concat(t),cache:new VL,defaultOptions:{watchQuery:{fetchPolicy:kF,errorPolicy:"ignore"},query:{fetchPolicy:kF,errorPolicy:"all"}}})}reset(){var e;return null===(e=this.graphQLClient)||void 0===e?void 0:e.resetStore()}async readFileMetadata(e,t){return await WP(t,(async()=>{try{const t=(await this.requestJSON({url:`${this.repoURL}/repository/commits`,params:{path:e,ref_name:this.branch}}))[0];return{author:t.author_name||t.author_email,updatedOn:t.authored_date}}catch(e){return{author:"",updatedOn:""}}}),Fo)}async getBranch(e){return await this.requestJSON(`${this.repoURL}/repository/branches/${encodeURIComponent(e)}`)}async uploadAndCommit(e,{commitMessage:t="",branch:n=this.branch,newBranch:r=!1}){const i=e.map((e=>_F(_F({action:e.action,file_path:e.path},e.oldPath?{previous_path:e.oldPath}:{}),void 0!==e.base64Content?{content:e.base64Content,encoding:"base64"}:{}))),o=_F({branch:n,commit_message:t,actions:i},r?{start_branch:this.branch}:{});if(this.commitAuthor){const{name:e,email:t}=this.commitAuthor;o.author_name=e,o.author_email=t}try{return await this.requestJSON({url:`${this.repoURL}/repository/commits`,method:"POST",headers:{"Content-Type":"application/json; charset=utf-8"},body:JSON.stringify(o)})}catch(e){const t=e.message||"";throw r&&t.includes(`Could not update ${n}`)&&await KP(n,(e=>this.getBranch(e)),EF),e}}async getCommitItems(e,t){const n=await Promise.all(e.map((async e=>{const[n,r]=await Promise.all([yD()(e,"toBase64",gC()(this.toBase64,e.raw)),this.isFileExists(e.path,t)]);let i,o=CF.CREATE,a=il()(e.path,"/");return r&&(i=e.newPath&&a,o=e.newPath&&e.newPath!==i?CF.MOVE:CF.UPDATE,a=e.newPath?il()(e.newPath,"/"):a),{action:o,base64Content:n,path:a,oldPath:i}})));for(const e of n.filter((e=>e.oldPath&&e.action===CF.MOVE))){const r=(0,sa.dirname)(e.oldPath),i=(0,sa.dirname)(e.path);(await this.listAllFiles(r,!0,t)).filter((t=>t.path!==e.oldPath)).forEach((e=>{n.push({action:CF.MOVE,path:e.path.replace(r,i),oldPath:e.path})}))}return n}async persistFiles(e,t,n){const r=[...e,...t];if(n.useWorkflow){const t=e[0].slug;return this.editorialWorkflowGit(r,t,n)}{const e=await this.getCommitItems(r,this.branch);return this.uploadAndCommit(e,{commitMessage:n.commitMessage})}}async getMergeRequests(e){return(await this.requestJSON({url:`${this.repoURL}/merge_requests`,params:_F({state:"opened",labels:"Any",per_page:100,target_branch:this.branch},e?{source_branch:e}:{})})).filter((e=>e.source_branch.startsWith(xP)&&e.labels.some((e=>AP(e,this.cmsLabelPrefix)))))}async listUnpublishedBranches(){return console.log("%c Checking for Unpublished entries","line-height: 30px;text-align: center;font-weight: bold"),(await this.getMergeRequests()).map((e=>e.source_branch))}async getFileId(e,t){return(await this.request({method:"HEAD",url:`${this.repoURL}/repository/files/${encodeURIComponent(e)}`,params:{ref:t}})).headers.get("X-Gitlab-Blob-Id")}async isFileExists(e,t){return await this.requestText({method:"HEAD",url:`${this.repoURL}/repository/files/${encodeURIComponent(e)}`,params:{ref:t}}).then((()=>!0)).catch((e=>{if(e instanceof vo&&404===e.status)return!1;throw e}))}async getBranchMergeRequest(e){const t=await this.getMergeRequests(e);if(t.length<=0)throw new Oo("content is not under editorial workflow",!0);return t[0]}async getDifferences(e,t=this.branch){if(e===t)return[];const n=await this.requestJSON({url:`${this.repoURL}/repository/compare`,params:{from:t,to:e}});if(n.diffs.length>=1e3)throw new vo("Diff limit reached",null,EF);return n.diffs.map((e=>{let t="modified";return e.new_file?t="added":e.deleted_file?t="deleted":e.renamed_file&&(t="renamed"),{status:t,oldPath:e.old_path,newPath:e.new_path,newFile:e.new_file,path:e.new_path||e.old_path,binary:e.diff.startsWith("Binary")||/.svg$/.test(e.new_path)}}))}async retrieveUnpublishedEntryData(e){const{collection:t,slug:n}=DP(e),r=IP(e),i=await this.getBranchMergeRequest(r),o=await this.getDifferences(i.sha),a=await Promise.all(o.map((async e=>{const{path:t,newFile:n}=e;return{id:await this.getFileId(t,r),path:t,newFile:n}}))),s=i.labels.find((e=>AP(e,this.cmsLabelPrefix)));return{collection:t,slug:n,status:TP(s,this.cmsLabelPrefix),diffs:a,updatedAt:i.updated_at,pullRequestAuthor:i.author.name}}async rebaseMergeRequest(e){let t=await this.requestJSON({method:"PUT",url:`${this.repoURL}/merge_requests/${e.iid}/rebase?skip_ci=true`}),n=1;for(;t.rebase_in_progress&&(await new Promise((e=>setTimeout(e,1e3))),t=await this.requestJSON({url:`${this.repoURL}/merge_requests/${e.iid}`,params:{include_rebase_in_progress:!0}}),t.rebase_in_progress&&!(n>30));)n++;if(t.rebase_in_progress)throw new vo("Timed out rebasing merge request",null,EF);if(t.merge_error)throw new vo(`Rebase error: ${t.merge_error}`,null,EF)}async createMergeRequest(e,t,n){await this.requestJSON({method:"POST",url:`${this.repoURL}/merge_requests`,params:{source_branch:e,target_branch:this.branch,title:t,description:kP,labels:OP(n,this.cmsLabelPrefix),remove_source_branch:!0,squash:this.squashMerges}})}async editorialWorkflowGit(e,t,n){const r=IP(PP(n.collectionName,t));if(n.unpublished){const t=await this.getBranchMergeRequest(r);await this.rebaseMergeRequest(t);const[i,o]=await Promise.all([this.getCommitItems(e,r),this.getDifferences(r)]);for(const e of o.filter((e=>e.binary)))i.some((t=>t.path===e.path))||i.push({action:CF.DELETE,path:e.newPath});await this.uploadAndCommit(i,{commitMessage:n.commitMessage,branch:r})}else{const t=await this.getCommitItems(e,this.branch);await this.uploadAndCommit(t,{commitMessage:n.commitMessage,branch:r,newBranch:!0}),await this.createMergeRequest(r,n.commitMessage,n.status||this.initialWorkflowStatus)}}async updateMergeRequestLabels(e,t){await this.requestJSON({method:"PUT",url:`${this.repoURL}/merge_requests/${e.iid}`,params:{labels:t.join(",")}})}async updateUnpublishedEntryStatus(e,t,n){const r=IP(PP(e,t)),i=await this.getBranchMergeRequest(r),o=[...i.labels.filter((e=>!AP(e,this.cmsLabelPrefix))),OP(n,this.cmsLabelPrefix)];await this.updateMergeRequestLabels(i,o)}async mergeMergeRequest(e){await this.requestJSON({method:"PUT",url:`${this.repoURL}/merge_requests/${e.iid}/merge`,params:{merge_commit_message:EP,squash_commit_message:EP,squash:this.squashMerges,should_remove_source_branch:!0}})}async publishUnpublishedEntry(e,t){const n=IP(PP(e,t)),r=await this.getBranchMergeRequest(n);await this.mergeMergeRequest(r)}async closeMergeRequest(e){await this.requestJSON({method:"PUT",url:`${this.repoURL}/merge_requests/${e.iid}`,params:{state_event:"close"}})}async getDefaultBranch(){return await this.getBranch(this.branch)}async isShaExistsInBranch(e,t){return(await this.requestJSON({url:`${this.repoURL}/repository/commits/${t}/refs`,params:{type:"branch"}})).some((t=>t.name===e))}async deleteBranch(e){await this.request({method:"DELETE",url:`${this.repoURL}/repository/branches/${encodeURIComponent(e)}`})}async deleteUnpublishedEntry(e,t){const n=IP(PP(e,t)),r=await this.getBranchMergeRequest(n);await this.closeMergeRequest(r),await this.deleteBranch(n)}async getMergeRequestStatues(e,t){return await this.requestJSON({url:`${this.repoURL}/repository/commits/${e.sha}/statuses`,params:{ref:t}})}async getStatuses(e,t){const n=IP(PP(e,t)),r=await this.getBranchMergeRequest(n);return(await this.getMergeRequestStatues(r,n)).map((({name:e,status:t,target_url:n})=>({context:e,state:t===SF.Success?VP.Success:VP.Other,target_url:n})))}async getUnpublishedEntrySha(e,t){const n=IP(PP(e,t));return(await this.getBranchMergeRequest(n)).sha}}function OF(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function PF(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?OF(Object(n),!0).forEach((function(t){DF(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):OF(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function DF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class RF{constructor(e,t={}){if(DF(this,"lock",void 0),DF(this,"api",void 0),DF(this,"options",void 0),DF(this,"repo",void 0),DF(this,"isBranchConfigured",void 0),DF(this,"branch",void 0),DF(this,"apiRoot",void 0),DF(this,"token",void 0),DF(this,"squashMerges",void 0),DF(this,"cmsLabelPrefix",void 0),DF(this,"mediaFolder",void 0),DF(this,"previewContext",void 0),DF(this,"useGraphQL",void 0),DF(this,"graphQLAPIRoot",void 0),DF(this,"_mediaDisplayURLSem",void 0),this.options=PF({proxied:!1,API:null,initialWorkflowStatus:""},t),!this.options.proxied&&(null===e.backend.repo||void 0===e.backend.repo))throw new Error('The GitLab backend needs a "repo" in the backend configuration.');this.api=this.options.API||null,this.repo=e.backend.repo||"",this.branch=e.backend.branch||"master",this.isBranchConfigured=!!e.backend.branch,this.apiRoot=e.backend.api_root||"https://gitlab.com/api/v4",this.token="",this.squashMerges=e.backend.squash_merges||!1,this.cmsLabelPrefix=e.backend.cms_label_prefix||"",this.mediaFolder=e.media_folder,this.previewContext=e.backend.preview_context||"",this.useGraphQL=e.backend.use_graphql||!1,this.graphQLAPIRoot=e.backend.graphql_api_root||"https://gitlab.com/api/graphql",this.lock=So()}isGitBackend(){return!0}async status(){var e;const t=await(null===(e=this.api)||void 0===e?void 0:e.user().then((e=>!!e)).catch((e=>(console.warn("Failed getting GitLab user",e),!1))))||!1;return{auth:{status:t},api:{status:!0,statusPage:""}}}authComponent(){return mF}restoreUser(e){return this.authenticate(e)}async authenticate(e){this.token=e.token,this.api=new TF({token:this.token,branch:this.branch,repo:this.repo,apiRoot:this.apiRoot,squashMerges:this.squashMerges,cmsLabelPrefix:this.cmsLabelPrefix,initialWorkflowStatus:this.options.initialWorkflowStatus,useGraphQL:this.useGraphQL,graphQLAPIRoot:this.graphQLAPIRoot});const t=await this.api.user();if(!await this.api.hasWriteAccess().catch((e=>{throw e.message=vs`
Repo "${this.repo}" not found.
Please ensure the repo information is spelled correctly.
If the repo is private, make sure you're logged into a GitLab account with access.
`,e})))throw new Error("Your GitLab user account does not have access to this repo.");if(!this.isBranchConfigured){const e=await async function(e){let t;const{token:n,backend:r,repo:i,apiRoot:o}=e;switch(r){case"gitlab":t=`/projects/${encodeURIComponent(i)}`;break;case"bitbucket":t=`/repositories/${i}`;break;default:t=`/repos/${i}`}const a=await qP(t,{token:n,backend:r,apiRoot:o});let s;if("bitbucket"===r){const{mainbranch:{name:e}}=a;s=e}else{const{default_branch:e}=a;s=e}return s}({backend:"gitlab",repo:this.repo,token:this.token,apiRoot:this.apiRoot});e&&(this.branch=e)}return PF(PF({},t),{},{login:t.username,token:e.token})}async logout(){this.token=null}getToken(){return Promise.resolve(this.token)}filterFile(e,t,n,r){const i=Oi()(t.path.split(e)[1]||"/","/");return yo(t,n)&&i.split("/").length<=r}async entriesByFolder(e,t,n){let r;const i=await Zo((()=>this.api.listFiles(e,n>1).then((({files:i,cursor:o})=>(r=o.mergeMeta({folder:e,extension:t,depth:n}),i.filter((r=>this.filterFile(e,r,t,n))))))),this.api.readFile.bind(this.api),this.api.readFileMetadata.bind(this.api),EF);return i[Lo]=r,i}async listAllFiles(e,t,n){return(await this.api.listAllFiles(e,n>1)).filter((r=>this.filterFile(e,r,t,n)))}async allEntriesByFolder(e,t,n){const r=await aa({listAllFiles:()=>this.listAllFiles(e,t,n),readFile:this.api.readFile.bind(this.api),readFileMetadata:this.api.readFileMetadata.bind(this.api),apiName:EF,branch:this.branch,localForage:Fo,folder:e,extension:t,depth:n,getDefaultBranch:()=>this.api.getDefaultBranch().then((e=>({name:e.name,sha:e.commit.id}))),isShaExistsInBranch:this.api.isShaExistsInBranch.bind(this.api),getDifferences:(e,t)=>this.api.getDifferences(e,t),getFileId:e=>this.api.getFileId(e,this.branch),filterFile:r=>this.filterFile(e,r,t,n),customFetch:this.useGraphQL?e=>this.api.readFilesGraphQL(e):void 0});return r}entriesByFiles(e){return Xo(e,this.api.readFile.bind(this.api),this.api.readFileMetadata.bind(this.api),EF)}getEntry(e){return this.api.readFile(e).then((t=>({file:{path:e,id:null},data:t})))}getMedia(e=this.mediaFolder){return this.api.listAllFiles(e).then((e=>e.map((({id:e,name:t,path:n})=>({id:e,name:t,path:n,displayURL:{id:e,name:t,path:n}})))))}getMediaDisplayURL(e){return this._mediaDisplayURLSem=this._mediaDisplayURLSem||Co()(10),ta(e,this.api.readFile.bind(this.api),this._mediaDisplayURLSem)}async getMediaFile(e){const t=Wo(e),n=await ea(e,null,this.api.readFile.bind(this.api)),r=Jo(t,n),i=URL.createObjectURL(r);return{id:await Xu(n),displayURL:i,path:e,name:t,size:r.size,file:r,url:i}}async persistEntry(e,t){return na(this.lock,(()=>this.api.persistFiles(e.dataFiles,e.assets,t)),"Failed to acquire persist entry lock")}async persistMedia(e,t){const n=e.fileObj,[r]=await Promise.all([Xu(n),this.api.persistFiles([],[e],t)]),{path:i}=e,o=URL.createObjectURL(n);return{displayURL:o,path:il()(i,"/"),name:n.name,size:n.size,file:n,url:o,id:r}}deleteFiles(e,t){return this.api.deleteFiles(e,t)}traverseCursor(e,t){return this.api.traverseCursor(e,t).then((async({entries:t,cursor:n})=>{var r,i,o;const[a,s,l]=[null===(r=e.meta)||void 0===r?void 0:r.get("folder"),null===(i=e.meta)||void 0===i?void 0:i.get("depth"),null===(o=e.meta)||void 0===o?void 0:o.get("extension")];return a&&s&&l&&(t=t.filter((e=>this.filterFile(a,e,l,s))),n=n.mergeMeta({folder:a,extension:l,depth:s})),{entries:await Xo(t,this.api.readFile.bind(this.api),this.api.readFileMetadata.bind(this.api),EF),cursor:n}}))}loadMediaFile(e,t){return ea(t.path,null,((t,n,{parseText:r})=>this.api.readFile(t,n,{branch:e,parseText:r}))).then((e=>{const n=Wo(t.path),r=Jo(n,e);return{id:t.path,displayURL:URL.createObjectURL(r),path:t.path,name:n,size:r.size,file:r}}))}async loadEntryMediaFiles(e,t){return await Promise.all(t.map((t=>this.loadMediaFile(e,t))))}async unpublishedEntries(){const e=await Qo((()=>this.api.listUnpublishedBranches().then((e=>e.map((e=>RP(e)))))));return e}async unpublishedEntry({id:e,collection:t,slug:n}){if(e)return await this.api.retrieveUnpublishedEntryData(e);if(t&&n){const e=PP(t,n);return await this.api.retrieveUnpublishedEntryData(e)}throw new Error("Missing unpublished entry id or collection and slug")}getBranch(e,t){return IP(PP(e,t))}async unpublishedEntryDataFile(e,t,n,r){const i=this.getBranch(e,t);return await this.api.readFile(n,r,{branch:i})}async unpublishedEntryMediaFile(e,t,n,r){const i=this.getBranch(e,t);return await this.loadMediaFile(i,{path:n,id:r})}async updateUnpublishedEntryStatus(e,t,n){return na(this.lock,(()=>this.api.updateUnpublishedEntryStatus(e,t,n)),"Failed to acquire update entry status lock")}async deleteUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.deleteUnpublishedEntry(e,t)),"Failed to acquire delete entry lock")}async publishUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.publishUnpublishedEntry(e,t)),"Failed to acquire publish entry lock")}async getDeployPreview(e,t){try{const n=GP(await this.api.getStatuses(e,t),this.previewContext);if(n){const{target_url:e,state:t}=n;return{url:e,status:t}}return null}catch(e){return null}}}function IF(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function MF(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?IF(Object(n),!0).forEach((function(t){LF(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):IF(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function LF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const NF="Gitea";var jF=function(e){return e.CREATE="create",e.DELETE="delete",e.UPDATE="update",e}(jF||{});class FF{constructor(e){LF(this,"apiRoot",void 0),LF(this,"token",void 0),LF(this,"branch",void 0),LF(this,"repo",void 0),LF(this,"originRepo",void 0),LF(this,"repoOwner",void 0),LF(this,"repoName",void 0),LF(this,"originRepoOwner",void 0),LF(this,"originRepoName",void 0),LF(this,"repoURL",void 0),LF(this,"originRepoURL",void 0),LF(this,"_userPromise",void 0),LF(this,"_metadataSemaphore",void 0),LF(this,"commitAuthor",void 0),this.apiRoot=e.apiRoot||"https://try.gitea.io/api/v1",this.token=e.token||"",this.branch=e.branch||"master",this.repo=e.repo||"",this.originRepo=e.originRepo||this.repo,this.repoURL=`/repos/${this.repo}`,this.originRepoURL=`/repos/${this.originRepo}`;const[t,n]=[this.repo.split("/"),this.originRepo.split("/")];this.repoOwner=t[0],this.repoName=t[1],this.originRepoOwner=n[0],this.originRepoName=n[1]}user(){return this._userPromise||(this._userPromise=this.getUser()),this._userPromise}getUser(){return this.request("/user")}async hasWriteAccess(){try{const e=await this.request(this.repoURL);return this.repoOwner=e.owner.login,e.permissions.push}catch(e){throw console.error("Problem fetching repo data from Gitea"),e}}reset(){}requestHeaders(e={}){const t=MF({"Content-Type":"application/json; charset=utf-8"},e);return this.token?(t.Authorization=`token ${this.token}`,Promise.resolve(t)):Promise.resolve(t)}async parseJsonResponse(e){const t=await e.json();return e.ok?t:Promise.reject(t)}urlFor(e,t){const n=[];if(t.params)for(const e in t.params)n.push(`${e}=${encodeURIComponent(t.params[e])}`);return n.length&&(e+=`?${n.join("&")}`),this.apiRoot+e}parseResponse(e){const t=e.headers.get("Content-Type");return t&&t.match(/json/)?this.parseJsonResponse(e):e.text().then((t=>e.ok?t:Promise.reject(t)))}handleRequestError(e,t){throw new vo(e.message,t,NF)}buildRequest(e){return e}async request(e,t={},n=(e=>this.parseResponse(e))){t=MF({cache:"no-cache"},t);const r=await this.requestHeaders(t.headers||{}),i=this.urlFor(e,t);let o=500;try{const e=mo.fromFetchArguments(i,MF(MF({},t),{},{headers:r})),a=await BP(this,e);return o=a.status,await n(a)}catch(e){return this.handleRequestError(e,o)}}nextUrlProcessor(){return e=>e}async requestAllPages(e,t={}){t=MF({cache:"no-cache"},t);const n=await this.requestHeaders(t.headers||{}),r=this.urlFor(e,t),i=await ko(r,MF(MF({},t),{},{headers:n}),"next",this.nextUrlProcessor()),o=await Promise.all(i.map((e=>this.parseResponse(e))));return[].concat(...o)}generateContentKey(e,t){return PP(e,t)}parseContentKey(e){return DP(e)}async readFile(e,t,{branch:n=this.branch,repoURL:r=this.repoURL,parseText:i=!0}={}){return t||(t=await this.getFileSha(e,{repoURL:r,branch:n})),await this.fetchBlobContent({sha:t,repoURL:r,parseText:i})}async readFileMetadata(e,t){const n=await WP(t,(async()=>{try{const t=await this.request(`${this.originRepoURL}/commits`,{params:{path:e,sha:this.branch,stat:"false"}}),{commit:n}=t[0];return{author:n.author.name||n.author.email,updatedOn:n.author.date}}catch(e){return{author:"",updatedOn:""}}}),Fo);return n}async fetchBlobContent({sha:e,repoURL:t,parseText:n}){const r=await this.request(`${t}/git/blobs/${e}`,{cache:"force-cache"});if(n)return rR.decode(r.content);{const e=rR.atob(r.content),t=new Uint8Array(e.length);for(let n=0;n<e.length;n++)t[n]=e.charCodeAt(n);return new Blob([t])}}async listFiles(e,{repoURL:t=this.repoURL,branch:n=this.branch,depth:r=1}={},i){const o=Oi()(e,"/");try{return(await this.request(`${t}/git/trees/${n}:${encodeURIComponent(o)}`,{params:r>1?{recursive:1}:{}})).tree.filter((e=>(!!i||"blob"===e.type)&&decodeURIComponent(e.path).split("/").length<=r)).map((e=>({type:e.type,id:e.sha,name:Wo(e.path),path:`${o}/${e.path}`,size:e.size})))}catch(e){if(e&&404===e.status)return console.info("[StaticCMS] This 404 was expected and handled appropriately."),[];throw e}}async persistFiles(e,t,n){const r=t.concat(e),i=await this.getChangeFileOperations(r,this.branch);return this.changeFiles(i,n)}async changeFiles(e,t){return await this.request(`${this.repoURL}/contents`,{method:"POST",body:JSON.stringify({branch:this.branch,files:e,message:t.commitMessage})})}async getChangeFileOperations(e,t){return await Promise.all(e.map((async e=>{const n=await yD()(e,"toBase64",gC()(this.toBase64,e.raw));let r,i,o,a=il()(e.path,"/");try{r=await this.getFileSha(e.path,{branch:t}),i=jF.UPDATE,o=e.newPath&&a,a=e.newPath?il()(e.newPath,"/"):a}catch{r=void 0,i=jF.CREATE}return{operation:i,content:n,path:a,from_path:o,sha:r}})))}async getFileSha(e,{repoURL:t=this.repoURL,branch:n=this.branch}={}){const r=e.split("/"),i=DR()(r),o=IR()(r).join("/"),a=`${t}/git/trees/${n}:${encodeURIComponent(o)}`,s=(await this.request(a)).tree.find((e=>e.path===i));if(s)return s.sha;throw new vo("Not Found",404,NF)}async deleteFiles(e,t){const n=await Promise.all(e.map((async e=>{const t=await this.getFileSha(e);return{operation:jF.DELETE,path:e,sha:t}})));this.changeFiles(n,{commitMessage:t})}toBase64(e){return Promise.resolve(rR.encode(e))}}function BF(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}LF(FF,"DEFAULT_COMMIT_MESSAGE","Automatically generated by Static CMS");const zF=gb(O_,{target:"es1j68m0",label:"LoginButtonIcon"})({name:"1gnqu05",styles:"margin-right:18px"});class UF extends o.Component{constructor(...e){super(...e),BF(this,"state",{}),BF(this,"handleLogin",(e=>{e.preventDefault(),this.auth.authenticate({scope:"repository"},((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))}))}componentDidMount(){const{base_url:e="https://try.gitea.io",app_id:t=""}=this.props.config.backend;this.auth=new sF({base_url:e,auth_endpoint:"login/oauth/authorize",app_id:t,auth_token_endpoint:"login/oauth/access_token",auth_token_endpoint_content_type:"application/json; charset=utf-8"}),this.auth.completeAuth(((e,t)=>{e?this.setState({loginError:e.toString()}):t&&this.props.onLogin(t)}))}render(){const{inProgress:e,config:t,t:n}=this.props;return xn(fD,{onLogin:this.handleLogin,loginDisabled:e,loginErrorMessage:this.state.loginError,logoUrl:t.logo_url,siteUrl:t.site_url,renderButtonContent:()=>xn(o.Fragment,null,xn(zF,{type:"gitea"})," ",n(e?"auth.loggingIn":"auth.loginWithGitea")),t:n})}}function qF(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function $F(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}BF(UF,"propTypes",{inProgress:ie().bool,config:ie().object.isRequired,onLogin:ie().func.isRequired,t:ie().func.isRequired});const{fetchWithTimeout:WF}=mo;var HF=__webpack_require__(30898),VF=__webpack_require__.n(HF),GF=__webpack_require__(13888),KF=__webpack_require__.n(GF),YF=__webpack_require__(28005);function ZF(e){this.message=e}ZF.prototype=new Error,ZF.prototype.name="InvalidCharacterError";var XF="undefined"!=typeof window&&window.atob&&window.atob.bind(window)||function(e){var t=String(e).replace(/=+$/,"");if(t.length%4==1)throw new ZF("'atob' failed: The string to be decoded is not correctly encoded.");for(var n,r,i=0,o=0,a="";r=t.charAt(o++);~r&&(n=i%4?64*n+r:r,i++%4)?a+=String.fromCharCode(255&n>>(-2*i&6)):0)r="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=".indexOf(r);return a};function QF(e){this.message=e}QF.prototype=new Error,QF.prototype.name="InvalidTokenError";var JF=__webpack_require__(52939),eB=__webpack_require__.n(JF);class tB extends(function(e){function t(){var t=Reflect.construct(e,Array.from(arguments));return Object.setPrototypeOf(t,Object.getPrototypeOf(this)),t}return t.prototype=Object.create(e.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),Object.setPrototypeOf?Object.setPrototypeOf(t,e):t.__proto__=e,t}(Error)){constructor(e){super(e),function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(this,"message",void 0),this.message=e,this.name="ACCESS_TOKEN_ERROR"}}var nB=__webpack_require__(23162),rB=__webpack_require__.n(nB),iB=__webpack_require__(10094),oB=__webpack_require__.n(iB),aB=__webpack_require__(84256),sB=__webpack_require__.n(aB);const lB=["size","oid"];function uB(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function cB(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?uB(Object(n),!0).forEach((function(t){dB(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):uB(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function dB(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function pB(e){return e.split("\n")}function fB(e){return e.split(/\s+/g)}const hB=oB()([Ui()((e=>e.trim())),sB()((function(e){return""!==e}))]),mB=oB()([pB,hB,Ui()(fB),rB(),e=>{let{size:t,oid:n}=e,r=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,lB);return cB({size:parseInt(t),sha:null==n?void 0:n.split(":")[1]},r)}]),gB=oB()([Ui()((function(e){return e.includes("=")?e.split("="):e.startsWith("-")?[e.slice(1),!1]:[e,!0]})),rB()]),vB=oB()([fB,([e,...t])=>[e,gB(t)]]),yB=oB()([pB,Ui()((function(e){return e.split("#")[0]})),hB,Ui()(vB)]),bB=oB()([yB,sB()((([,e])=>"lfs"===e.filter&&"lfs"===e.diff&&"lfs"===e.merge)),Ui()((([e])=>e))]);async function wB(e,t,n){const{name:r,size:i}=t,o=await Xu(t);await e.uploadResource({sha:o,size:i},t);const a=function({size:e,sha:t}){return`version https://git-lfs.github.com/spec/v1\noid sha256:${t}\nsize ${e}\n`}({sha:o,size:i}),s=new Blob([a]),l=new File([s],r,{type:"text/plain"}),u=await Xu(l);return{fileObj:l,size:s.size,sha:u,raw:a,path:n}}async function _B(e,t){return await Promise.all(t.map((async t=>{const{fileObj:n,path:r}=t,i=r.startsWith("/")?r.slice(1):r;if(!e.matchPath(i))return t;const o=await wB(e,n,r);return cB(cB({},t),o)})))}var xB=__webpack_require__(99776);function kB(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function EB(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var CB=function(e){return e.MERGED="MERGED",e.SUPERSEDED="SUPERSEDED",e.OPEN="OPEN",e.DECLINED="DECLINED",e}(CB||{}),SB=function(e){return e.Successful="SUCCESSFUL",e.Failed="FAILED",e.InProgress="INPROGRESS",e.Stopped="STOPPED",e}(SB||{});const AB="Bitbucket",TB="application/json; charset=utf-8";function OB(e){return e&&404===e.status?(console.log("This 404 was expected and handled appropriately."),{size:0,values:[]}):Promise.reject(e)}class PB{constructor(e){EB(this,"apiRoot",void 0),EB(this,"branch",void 0),EB(this,"repo",void 0),EB(this,"requestFunction",void 0),EB(this,"repoURL",void 0),EB(this,"commitAuthor",void 0),EB(this,"mergeStrategy",void 0),EB(this,"initialWorkflowStatus",void 0),EB(this,"cmsLabelPrefix",void 0),EB(this,"buildRequest",(e=>{const t=mo.withRoot(this.apiRoot)(e);return t.has("cache")?t:mo.withNoCache(t)})),EB(this,"request",(e=>{try{return BP(this,e)}catch(e){throw new vo(e.message,null,AB)}})),EB(this,"responseToJSON",_o({format:"json",apiName:AB})),EB(this,"responseToBlob",_o({format:"blob",apiName:AB})),EB(this,"responseToText",_o({format:"text",apiName:AB})),EB(this,"requestJSON",(e=>this.request(e).then(this.responseToJSON))),EB(this,"requestText",(e=>this.request(e).then(this.responseToText))),EB(this,"user",(()=>this.requestJSON("/user"))),EB(this,"hasWriteAccess",(async()=>{const e=await this.request(this.repoURL);if(404===e.status)throw Error("Repo not found");return e.ok})),EB(this,"getBranch",(async e=>await this.requestJSON(`${this.repoURL}/refs/branches/${e}`))),EB(this,"branchCommitSha",(async e=>{const{target:{hash:t}}=await this.getBranch(e);return t})),EB(this,"defaultBranchCommitSha",(()=>this.branchCommitSha(this.branch))),EB(this,"isFile",(({type:e})=>"commit_file"===e)),EB(this,"getFileId",((e,t)=>`${e}/${t}`)),EB(this,"processFile",(e=>function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?kB(Object(n),!0).forEach((function(t){EB(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):kB(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({id:e.id,type:e.type,path:e.path,name:Wo(e.path)},e.commit&&e.commit.hash?{id:this.getFileId(e.commit.hash,e.path)}:{}))),EB(this,"processFiles",(e=>e.filter(this.isFile).map(this.processFile))),EB(this,"readFile",(async(e,t,{parseText:n=!0,branch:r=this.branch,head:i=""}={})=>{const o=await $P(t,(async()=>{const t=i||await this.branchCommitSha(r);return await this.request({url:`${this.repoURL}/src/${t}/${e}`,cache:"no-store"}).then(n?this.responseToText:this.responseToBlob)}),Fo,n);return o})),EB(this,"getEntriesAndCursor",(e=>{const{size:t,page:n,pagelen:r,next:i,previous:o,values:a}=e,s=r&&t?Math.ceil(t/r):void 0;return{entries:a,cursor:Mo.create({actions:[...i?["next"]:[],...o?["prev"]:[]],meta:{page:n,count:t,pageSize:r,pageCount:s},data:{links:{next:i,prev:o}}})}})),EB(this,"listFiles",(async(e,t=1,n,r)=>{const i=await this.branchCommitSha(r),o=await this.requestJSON({url:`${this.repoURL}/src/${i}/${e}`,params:{max_depth:t,pagelen:n}}).catch(OB),{entries:a,cursor:s}=this.getEntriesAndCursor(o);return{entries:this.processFiles(a),cursor:s}})),EB(this,"traverseCursor",(async(e,t)=>Hi()([this.requestJSON,gF(this.getEntriesAndCursor),gF((({cursor:e,entries:t})=>({cursor:e,entries:this.processFiles(t)})))])(e.data.getIn(["links",t])))),EB(this,"listAllFiles",(async(e,t,n)=>{const{cursor:r,entries:i}=await this.listFiles(e,t,100,n),o=[...i];let a=r;for(;a&&a.actions.has("next");){const{cursor:e,entries:t}=await this.traverseCursor(a,"next");o.push(...t),a=e}return this.processFiles(o)})),EB(this,"deleteFiles",((e,t)=>{const n=new FormData;if(e.forEach((e=>{n.append("files",e)})),n.append("branch",this.branch),t&&n.append("message",t),this.commitAuthor){const{name:e,email:t}=this.commitAuthor;n.append("author",`${e} <${t}>`)}return Hi()([mo.withMethod("POST"),mo.withBody(n),this.request])(`${this.repoURL}/src`)})),this.apiRoot=e.apiRoot||"https://api.bitbucket.org/2.0",this.branch=e.branch||"master",this.repo=e.repo||"",this.requestFunction=e.requestFunction||mo.performRequest,this.hasWriteAccess=e.hasWriteAccess||this.hasWriteAccess,this.repoURL=this.repo?`/repositories/${this.repo}`:"",this.mergeStrategy=e.squashMerges?"squash":"merge_commit",this.initialWorkflowStatus=e.initialWorkflowStatus,this.cmsLabelPrefix=e.cmsLabelPrefix}async readFileMetadata(e,t){return await WP(t,(async()=>{try{const{values:t}=await this.requestJSON({url:`${this.repoURL}/commits`,params:{path:e,include:this.branch}}),n=t[0];return{author:n.author.user?n.author.user.display_name||n.author.user.nickname:n.author.raw,updatedOn:n.date}}catch(e){return{author:"",updatedOn:""}}}),Fo)}async isShaExistsInBranch(e,t){const{values:n}=await this.requestJSON({url:`${this.repoURL}/commits`,params:{include:e,pagelen:100}}).catch((t=>(console.log(`Failed getting commits for branch '${e}'`,t),[])));return n.some((e=>e.hash===t))}async uploadFiles(e,{commitMessage:t,branch:n,parentSha:r}){const i=new FormData,o=[];e.forEach((e=>{if(e.delete)i.append("files",e.path);else if(e.newPath){const t=Ci()(e,"fileObj",new Blob([e.raw]));o.push({from:e.path,to:e.newPath,contentBlob:t})}else{const t=Ci()(e,"fileObj",new Blob([e.raw]));i.append(e.path,t,Wo(e.path))}}));for(const{from:e,to:t,contentBlob:a}of o){const o=(0,sa.dirname)(e),s=(0,sa.dirname)(t),l=r?this.branch:n,u=await this.listAllFiles(o,100,l);for(const t of u){i.append("files",t.path);const n=t.path===e?a:await this.readFile(t.path,null,{branch:l,parseText:!1});i.append(t.path.replace(o,s),n,Wo(t.path))}}if(t&&i.append("message",t),this.commitAuthor){const{name:e,email:t}=this.commitAuthor;i.append("author",`${e} <${t}>`)}i.append("branch",n),r&&i.append("parents",r);try{await this.requestText({url:`${this.repoURL}/src`,method:"POST",body:i})}catch(e){const t=e.message||"";throw r&&t.includes("Something went wrong")&&await KP(n,(e=>this.getBranch(e)),AB),e}return e}async persistFiles(e,t,n){const r=[...e,...t];if(n.useWorkflow){const t=e[0].slug;return this.editorialWorkflowGit(r,t,n)}return this.uploadFiles(r,{commitMessage:n.commitMessage,branch:this.branch})}async addPullRequestComment(e,t){await this.requestJSON({method:"POST",url:`${this.repoURL}/pullrequests/${e.id}/comments`,headers:{"Content-Type":TB},body:JSON.stringify({content:{raw:t}})})}async getPullRequestLabel(e){const t=await this.requestJSON({url:`${this.repoURL}/pullrequests/${e}/comments`,params:{pagelen:100}});return t.values.map((e=>e.content.raw))[t.values.length-1]}async createPullRequest(e,t,n){const r=await this.requestJSON({method:"POST",url:`${this.repoURL}/pullrequests`,headers:{"Content-Type":TB},body:JSON.stringify({title:t,source:{branch:{name:e}},destination:{branch:{name:this.branch}},description:kP,close_source_branch:!0})});await this.addPullRequestComment(r,OP(n,this.cmsLabelPrefix))}async getDifferences(e,t=this.branch){if(e===t)return[];const n=await this.requestText({url:`${this.repoURL}/diff/${e}..${t}`,params:{binary:!1}}),r=(0,xB.parse)(n).map((e=>{var t,n;const r=(null===(t=e.oldPath)||void 0===t?void 0:t.replace(/b\//,""))||"",i=(null===(n=e.newPath)||void 0===n?void 0:n.replace(/b\//,""))||"",o=i||r;return{oldPath:r,newPath:i,status:e.status,newFile:"added"===e.status,path:o,binary:e.binary||/.svg$/.test(o)}}));return r}async editorialWorkflowGit(e,t,n){const r=IP(PP(n.collectionName,t));if(n.unpublished){const t=await this.getDifferences(r),i=[];for(const n of t.filter((e=>e.binary&&"deleted"!==e.status)))e.some((e=>e.path===n.path))||i.push({path:n.path,delete:!0});await this.uploadFiles([...e,...i],{commitMessage:n.commitMessage,branch:r})}else{const t=await this.branchCommitSha(this.branch);await this.uploadFiles(e,{commitMessage:n.commitMessage,branch:r,parentSha:t}),await this.createPullRequest(r,n.commitMessage,n.status||this.initialWorkflowStatus)}}async getPullRequests(e){const t=e?`source.branch.name = "${e}"`:`source.branch.name ~ "${xP}/"`,n=await this.requestJSON({url:`${this.repoURL}/pullrequests`,params:{pagelen:50,q:gs`
source.repository.full_name = "${this.repo}"
AND state = "${CB.OPEN}"
AND destination.branch.name = "${this.branch}"
AND comment_count > 0
AND ${t}
`}}),r=await Promise.all(n.values.map((e=>this.getPullRequestLabel(e.id))));return n.values.filter(((e,t)=>AP(r[t],this.cmsLabelPrefix)))}async getBranchPullRequest(e){const t=await this.getPullRequests(e);if(t.length<=0)throw new Oo("content is not under editorial workflow",!0);return t[0]}async listUnpublishedBranches(){return console.log("%c Checking for Unpublished entries","line-height: 30px;text-align: center;font-weight: bold"),(await this.getPullRequests()).map((e=>e.source.branch.name))}async retrieveUnpublishedEntryData(e){const{collection:t,slug:n}=DP(e),r=IP(e),i=await this.getBranchPullRequest(r),o=await this.getDifferences(r),a=TP(await this.getPullRequestLabel(i.id),this.cmsLabelPrefix),s=i.updated_on,l=i.author.display_name;return{collection:t,slug:n,status:a,diffs:o.filter((e=>"deleted"!==e.status)).map((e=>({path:e.path,newFile:e.newFile,id:""}))),updatedAt:s,pullRequestAuthor:l}}async updateUnpublishedEntryStatus(e,t,n){const r=IP(PP(e,t)),i=await this.getBranchPullRequest(r);await this.addPullRequestComment(i,OP(n,this.cmsLabelPrefix))}async mergePullRequest(e){await this.requestJSON({method:"POST",url:`${this.repoURL}/pullrequests/${e.id}/merge`,headers:{"Content-Type":TB},body:JSON.stringify({message:EP,close_source_branch:!0,merge_strategy:this.mergeStrategy})})}async publishUnpublishedEntry(e,t){const n=IP(PP(e,t)),r=await this.getBranchPullRequest(n);await this.mergePullRequest(r)}async declinePullRequest(e){await this.requestJSON({method:"POST",url:`${this.repoURL}/pullrequests/${e.id}/decline`})}async deleteBranch(e){await this.request({method:"DELETE",url:`${this.repoURL}/refs/branches/${e}`})}async deleteUnpublishedEntry(e,t){const n=IP(PP(e,t)),r=await this.getBranchPullRequest(n);await this.declinePullRequest(r),await this.deleteBranch(n)}async getPullRequestStatuses(e){return(await this.requestJSON({url:`${this.repoURL}/pullrequests/${e.id}/statuses`,params:{pagelen:100}})).values}async getStatuses(e,t){const n=IP(PP(e,t)),r=await this.getBranchPullRequest(n);return(await this.getPullRequestStatuses(r)).map((({key:e,state:t,url:n})=>({context:e,state:t===SB.Successful?VP.Success:VP.Other,target_url:n})))}async getUnpublishedEntrySha(e,t){const n=IP(PP(e,t));return(await this.getBranchPullRequest(n)).destination.commit.hash}}function DB(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const RB=gb(O_,{target:"e15sc0jo0",label:"LoginButtonIcon"})({name:"1gnqu05",styles:"margin-right:18px"});class IB extends o.Component{constructor(...e){super(...e),DB(this,"state",{}),DB(this,"handleLogin",(e=>{e.preventDefault(),this.auth.authenticate(this.authSettings,((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)}))}))}componentDidMount(){const{auth_type:e=""}=this.props.config.backend;if("implicit"===e){const{base_url:e="https://bitbucket.org",auth_endpoint:t="site/oauth2/authorize",app_id:n=""}=this.props.config.backend;this.auth=new tD({base_url:e,auth_endpoint:t,app_id:n,clearHash:this.props.clearHash}),this.auth.completeAuth(((e,t)=>{e?this.setState({loginError:e.toString()}):this.props.onLogin(t)})),this.authSettings={scope:"repository:write"}}else this.auth=new kR({base_url:this.props.base_url,site_id:"localhost"===document.location.host.split(":")[0]?"demo.decapcms.org":this.props.siteId,auth_endpoint:this.props.authEndpoint}),this.authSettings={provider:"bitbucket",scope:"repo"}}render(){const{inProgress:e,config:t,t:n}=this.props;return xn(fD,{onLogin:this.handleLogin,loginDisabled:e,loginErrorMessage:this.state.loginError,logoUrl:t.logo_url,siteUrl:t.site_url,renderButtonContent:()=>xn(o.Fragment,null,xn(RB,{type:"bitbucket"}),n(e?"auth.loggingIn":"auth.loginWithBitbucket")),t:n})}}DB(IB,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool,base_url:ie().string,siteId:ie().string,authEndpoint:ie().string,config:ie().object.isRequired,clearHash:ie().func,t:ie().func.isRequired});var MB=__webpack_require__(77339),LB=__webpack_require__.n(MB);const NB=["sha"];function jB(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function FB(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?jB(Object(n),!0).forEach((function(t){BB(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):jB(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function BB(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class zB{constructor(e,t,n,r){this.enabled=e,this.rootURL=t,this.patterns=n,this.makeAuthorizedRequest=r}matchPath(e){return this.patterns.some((t=>LB()(e,t,{matchBase:!0})))}async uploadResource(e,t){const n=await this.getResourceUploadRequests([e]);for(const e of n)await this.doUpload(e.actions.upload,t),e.actions.verify&&await this.doVerify(e.actions.verify,e);return e.sha}async doUpload(e,t){await mo.fetchWithTimeout(decodeURI(e.href),{method:"PUT",body:t,headers:e.header})}async doVerify(e,t){this.makeAuthorizedRequest({url:decodeURI(e.href),method:"POST",headers:FB(FB({},zB.defaultContentHeaders),e.header),body:JSON.stringify({oid:t.oid,size:t.size})})}async getResourceUploadRequests(e){const t=await this.makeAuthorizedRequest({url:`${this.rootURL}/objects/batch`,method:"POST",headers:zB.defaultContentHeaders,body:JSON.stringify({operation:"upload",transfers:["basic"],objects:e.map((e=>{let{sha:t}=e;return FB(FB({},function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,NB)),{},{oid:t})}))})});return(await t.json()).objects.filter((e=>"error"in e?(console.error(e.error),!1):e.actions))}}function UB(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function qB(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?UB(Object(n),!0).forEach((function(t){$B(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):UB(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function $B(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}BB(zB,"defaultContentHeaders",{Accept:"application/vnd.git-lfs+json","Content-Type":"application/vnd.git-lfs+json"});const WB="https://bitbucket.status.atlassian.com",HB=`${WB}/api/v2/components.json`,VB=["API","Authentication and user management","Git LFS"],{fetchWithTimeout:GB}=mo;class KB{constructor(e,t={}){if($B(this,"lock",void 0),$B(this,"api",void 0),$B(this,"updateUserCredentials",void 0),$B(this,"options",void 0),$B(this,"repo",void 0),$B(this,"isBranchConfigured",void 0),$B(this,"branch",void 0),$B(this,"apiRoot",void 0),$B(this,"baseUrl",void 0),$B(this,"siteId",void 0),$B(this,"token",void 0),$B(this,"mediaFolder",void 0),$B(this,"refreshToken",void 0),$B(this,"refreshedTokenPromise",void 0),$B(this,"authenticator",void 0),$B(this,"_mediaDisplayURLSem",void 0),$B(this,"squashMerges",void 0),$B(this,"cmsLabelPrefix",void 0),$B(this,"previewContext",void 0),$B(this,"largeMediaURL",void 0),$B(this,"_largeMediaClientPromise",void 0),$B(this,"authType",void 0),$B(this,"requestFunction",(async e=>{const t=await this.getToken(),n=mo.withHeaders({Authorization:`Bearer ${t}`},e);return mo.performRequest(n)})),$B(this,"apiRequestFunction",(async e=>{const t=this.refreshedTokenPromise?await this.refreshedTokenPromise:this.token,n=mo.withHeaders({Authorization:`Bearer ${t}`},e),r=await mo.performRequest(n);if(401===r.status){const t=await r.json().catch((()=>null));if(t&&"error"===t.type&&/^access token expired/i.test(t.error.message)){const t=await this.getRefreshedAccessToken(),n=mo.withHeaders({Authorization:`Bearer ${t}`},e);return mo.performRequest(n)}}return r})),this.options=qB({proxied:!1,API:null,updateUserCredentials:async()=>null,initialWorkflowStatus:""},t),!this.options.proxied&&(null===e.backend.repo||void 0===e.backend.repo))throw new Error('The BitBucket backend needs a "repo" in the backend configuration.');this.api=this.options.API||null,this.updateUserCredentials=this.options.updateUserCredentials,this.repo=e.backend.repo||"",this.branch=e.backend.branch||"master",this.isBranchConfigured=!!e.backend.branch,this.apiRoot=e.backend.api_root||"https://api.bitbucket.org/2.0",this.baseUrl=e.base_url||"",this.siteId=e.site_id||"",this.largeMediaURL=e.backend.large_media_url||`https://bitbucket.org/${e.backend.repo}/info/lfs`,this.token="",this.mediaFolder=e.media_folder,this.squashMerges=e.backend.squash_merges||!1,this.cmsLabelPrefix=e.backend.cms_label_prefix||"",this.previewContext=e.backend.preview_context||"",this.lock=So(),this.authType=e.backend.auth_type||""}isGitBackend(){return!0}async status(){const e=await GB(HB).then((e=>e.json())).then((e=>e.components.filter((e=>VB.includes(e.name))).every((e=>"operational"===e.status)))).catch((e=>(console.warn("Failed getting BitBucket status",e),!0)));let t=!1;var n;return e&&(t=await(null===(n=this.api)||void 0===n?void 0:n.user().then((e=>!!e)).catch((e=>(console.warn("Failed getting Bitbucket user",e),!1))))||!1),{auth:{status:t},api:{status:e,statusPage:WB}}}authComponent(){return IB}setUser(e){this.token=e.token,this.api=new PB({requestFunction:this.apiRequestFunction,branch:this.branch,repo:this.repo,squashMerges:this.squashMerges,cmsLabelPrefix:this.cmsLabelPrefix,initialWorkflowStatus:this.options.initialWorkflowStatus})}restoreUser(e){return this.authenticate(e)}async authenticate(e){if(this.token=e.token,!this.isBranchConfigured){const e=await GB(`${this.apiRoot}/repositories/${this.repo}`,{headers:{Authorization:`token ${this.token}`}}).then((e=>e.json())).catch((()=>null));e&&(this.branch=e.mainbranch.name)}if(this.refreshToken=e.refresh_token,this.api=new PB({requestFunction:this.apiRequestFunction,branch:this.branch,repo:this.repo,apiRoot:this.apiRoot,squashMerges:this.squashMerges,cmsLabelPrefix:this.cmsLabelPrefix,initialWorkflowStatus:this.options.initialWorkflowStatus}),!await this.api.hasWriteAccess().catch((e=>{throw e.message=vs`
Repo "${this.repo}" not found.
Please ensure the repo information is spelled correctly.
If the repo is private, make sure you're logged into a Bitbucket account with access.
`,e})))throw new Error("Your BitBucket user account does not have access to this repo.");const t=await this.api.user();return qB(qB({},t),{},{name:t.display_name,login:t.username,token:e.token,avatar_url:t.links.avatar.href,refresh_token:e.refresh_token})}getRefreshedAccessToken(){if("implicit"===this.authType)throw new tB("Can't refresh access token when using implicit auth");if(this.refreshedTokenPromise)return this.refreshedTokenPromise;if(!this.authenticator){const e={base_url:this.baseUrl,site_id:this.siteId};this.authenticator=new kR(e)}return this.refreshedTokenPromise=this.authenticator.refresh({provider:"bitbucket",refresh_token:this.refreshToken}).then((({token:e,refresh_token:t})=>(this.token=e,this.refreshToken=t,this.refreshedTokenPromise=void 0,this.updateUserCredentials({token:e,refresh_token:t}),e))),this.refreshedTokenPromise}logout(){this.token=null}getToken(){return this.refreshedTokenPromise?this.refreshedTokenPromise:Promise.resolve(this.token)}async entriesByFolder(e,t,n){let r;const i=await this.api.defaultBranchCommitSha(),o=await Zo((()=>this.api.listFiles(e,n,20,this.branch).then((({entries:e,cursor:n})=>(r=n.mergeMeta({extension:t}),e.filter((e=>yo(e,t))))))),((e,t)=>this.api.readFile(e,t,{head:i})),this.api.readFileMetadata.bind(this.api),AB);return o[Lo]=r,o}async listAllFiles(e,t,n){return(await this.api.listAllFiles(e,n,this.branch)).filter((e=>yo(e,t)))}async allEntriesByFolder(e,t,n){const r=await this.api.defaultBranchCommitSha();return await aa({listAllFiles:()=>this.listAllFiles(e,t,n),readFile:(e,t)=>this.api.readFile(e,t,{head:r}),readFileMetadata:this.api.readFileMetadata.bind(this.api),apiName:AB,branch:this.branch,localForage:Fo,folder:e,extension:t,depth:n,getDefaultBranch:()=>Promise.resolve({name:this.branch,sha:r}),isShaExistsInBranch:this.api.isShaExistsInBranch.bind(this.api),getDifferences:(e,t)=>this.api.getDifferences(e,t),getFileId:e=>Promise.resolve(this.api.getFileId(r,e)),filterFile:e=>yo(e,t)})}async entriesByFiles(e){const t=await this.api.defaultBranchCommitSha();return Xo(e,((e,n)=>this.api.readFile(e,n,{head:t})),this.api.readFileMetadata.bind(this.api),AB)}getEntry(e){return this.api.readFile(e).then((t=>({file:{path:e,id:null},data:t})))}getMedia(e=this.mediaFolder){return this.api.listAllFiles(e,1,this.branch).then((e=>e.map((({id:e,name:t,path:n})=>({id:e,name:t,path:n,displayURL:{id:e,path:n}})))))}getLargeMediaClient(){return this._largeMediaClientPromise||(this._largeMediaClientPromise=(async()=>{const e=await this.api.readFile(".gitattributes").then((e=>bB(e))).catch((e=>(404===e.status?console.log("This 404 was expected and handled appropriately."):console.error(e),[])));return new zB(!!(this.largeMediaURL&&e.length>0),this.largeMediaURL,e,this.requestFunction)})()),this._largeMediaClientPromise}getMediaDisplayURL(e){return this._mediaDisplayURLSem=this._mediaDisplayURLSem||Co()(10),ta(e,this.api.readFile.bind(this.api),this._mediaDisplayURLSem)}async getMediaFile(e){const t=Wo(e),n=Jo(t,await ea(e,null,this.api.readFile.bind(this.api))),r=URL.createObjectURL(n);return{id:await Xu(n),displayURL:r,path:e,name:t,size:n.size,file:n,url:r}}async persistEntry(e,t){const n=await this.getLargeMediaClient();return na(this.lock,(async()=>this.api.persistFiles(e.dataFiles,n.enabled?await _B(n,e.assets):e.assets,t)),"Failed to acquire persist entry lock")}async persistMedia(e,t){const{fileObj:n,path:r}=e,i=n?URL.createObjectURL(n):"",o=await this.getLargeMediaClient(),a=r.startsWith("/")?r.slice(1):r;if(!o.enabled||!o.matchPath(a))return this._persistMedia(e,t);const s=await wB(o,n,r);return qB(qB({},await this._persistMedia(s,t)),{},{displayURL:i})}async _persistMedia(e,t){const n=e.fileObj,[r]=await Promise.all([Xu(n),this.api.persistFiles([],[e],t)]),i=URL.createObjectURL(n);return{displayURL:i,path:il()(e.path,"/k"),name:n.name,size:n.size,id:r,file:n,url:i}}deleteFiles(e,t){return this.api.deleteFiles(e,t)}traverseCursor(e,t){return this.api.traverseCursor(e,t).then((async({entries:t,cursor:n})=>{var r;const i=null===(r=e.meta)||void 0===r?void 0:r.get("extension");i&&(t=t.filter((e=>yo(e,i))),n=n.mergeMeta({extension:i}));const o=await this.api.defaultBranchCommitSha();return{entries:await Xo(t,((e,t)=>this.api.readFile(e,t,{head:o})),this.api.readFileMetadata.bind(this.api),AB),cursor:n}}))}async loadMediaFile(e,t,{branch:n}){const r=await ea(e,t,(async(e,t,{parseText:r})=>await this.api.readFile(e,t,{branch:n,parseText:r}))),i=Wo(e),o=Jo(i,r);return{id:e,displayURL:URL.createObjectURL(o),path:e,name:i,size:o.size,file:o}}async unpublishedEntries(){const e=await Qo((()=>this.api.listUnpublishedBranches().then((e=>e.map((e=>RP(e)))))));return e}async unpublishedEntry({id:e,collection:t,slug:n}){if(e)return await this.api.retrieveUnpublishedEntryData(e);if(t&&n){const e=PP(t,n);return await this.api.retrieveUnpublishedEntryData(e)}throw new Error("Missing unpublished entry id or collection and slug")}getBranch(e,t){return IP(PP(e,t))}async unpublishedEntryDataFile(e,t,n,r){const i=this.getBranch(e,t);return await this.api.readFile(n,r,{branch:i})}async unpublishedEntryMediaFile(e,t,n,r){const i=this.getBranch(e,t);return await this.loadMediaFile(n,r,{branch:i})}async updateUnpublishedEntryStatus(e,t,n){return na(this.lock,(()=>this.api.updateUnpublishedEntryStatus(e,t,n)),"Failed to acquire update entry status lock")}async deleteUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.deleteUnpublishedEntry(e,t)),"Failed to acquire delete entry lock")}async publishUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.publishUnpublishedEntry(e,t)),"Failed to acquire publish entry lock")}async getDeployPreview(e,t){try{const n=GP(await this.api.getStatuses(e,t),this.previewContext);if(n){const{target_url:e,state:t}=n;return{url:e,status:t}}return null}catch(e){return null}}}function YB(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function ZB(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?YB(Object(n),!0).forEach((function(t){XB(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):YB(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function XB(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class QB extends WR{constructor(e){super(ZB({getUser:()=>Promise.reject("Never used")},e)),XB(this,"tokenPromise",void 0),XB(this,"commitAuthor",void 0),XB(this,"isLargeMedia",void 0),this.apiRoot=e.apiRoot,this.tokenPromise=e.tokenPromise,this.commitAuthor=e.commitAuthor,this.isLargeMedia=e.isLargeMedia,this.repoURL="",this.originRepoURL=""}hasWriteAccess(){return this.getDefaultBranch().then((()=>!0)).catch((e=>{if(401===e.status){if("Bad credentials"===e.message)throw new vo("Git Gateway Error: Please ask your site administrator to reissue the Git Gateway token.",e.status,"Git Gateway");return!1}throw 404!==e.status||void 0!==e.message&&"Unable to locate site configuration"!==e.message?(console.error("Problem fetching repo data from Git Gateway"),e):new vo("Git Gateway Error: Please make sure Git Gateway is enabled on your site.",e.status,"Git Gateway")}))}requestHeaders(e={}){return this.tokenPromise().then((t=>ZB({Authorization:`Bearer ${t}`,"Content-Type":"application/json; charset=utf-8"},e)))}handleRequestError(e,t){throw new vo(e.message||e.msg,t,"Git Gateway")}user(){return Promise.resolve(ZB({login:""},this.commitAuthor))}async getHeadReference(e){if(!this.repoOwner){const e=(await this.getDefaultBranch())._links.self,t=new RegExp("https?://.+?/repos/(.+?)/"),n=e.match(t);this.repoOwner=n?n[1]:""}return super.getHeadReference(e)}commit(e,t){const n={message:e,tree:t.sha,parents:t.parentSha?[t.parentSha]:[]};return this.commitAuthor&&(n.author=ZB(ZB({},this.commitAuthor),{},{date:(new Date).toISOString()})),this.request("/git/commits",{method:"POST",body:JSON.stringify(n)})}nextUrlProcessor(){return e=>e.replace(/^(?:[a-z]+:\/\/.+?\/.+?\/.+?\/)/,`${this.apiRoot}/`)}async diffFromFile(e){const t=await super.diffFromFile(e);return ZB(ZB({},t),{},{binary:t.binary||await this.isLargeMedia(e.filename)})}}function JB(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}class ez extends TF{constructor(e){super(e),JB(this,"tokenPromise",void 0),JB(this,"withAuthorizationHeaders",(async e=>{const t=await this.tokenPromise();return mo.withHeaders({Authorization:`Bearer ${t}`},e)})),JB(this,"hasWriteAccess",(()=>Promise.resolve(!0))),this.tokenPromise=e.tokenPromise,this.commitAuthor=e.commitAuthor,this.repoURL=""}}function tz(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function nz(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?tz(Object(n),!0).forEach((function(t){rz(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):tz(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function rz(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const iz=gb("button",{target:"e1fncu5t3",label:"LoginButton"})(cr.button,";",tr,";",cr.default,";",cr.gray,";padding:0 30px;display:block;margin-top:20px;margin-left:auto;"),oz=gb("form",{target:"e1fncu5t2",label:"AuthForm"})({name:"bjv45a",styles:"width:350px;margin-top:-80px"}),az=gb("input",{target:"e1fncu5t1",label:"AuthInput"})("background-color:",Rn,";border-radius:",Yn.borderRadius,";font-size:14px;padding:10px;margin-bottom:15px;margin-top:6px;width:100%;position:relative;z-index:",hr.zIndex1,";&:focus{outline:none;box-shadow:inset 0 0 0 2px ",Kn.active,";}"),sz=gb("p",{target:"e1fncu5t0",label:"ErrorMessage"})("color:",Kn.errorText,";");let lz=null;window.netlifyIdentity&&(window.netlifyIdentity.on("login",(e=>{lz&&lz.handleIdentityLogin(e)})),window.netlifyIdentity.on("logout",(()=>{lz&&lz.handleIdentityLogout()})),window.netlifyIdentity.on("error",(e=>{lz&&lz.handleIdentityError(e)})));class uz extends o.Component{constructor(e){super(e),rz(this,"handleIdentityLogin",(e=>{this.props.onLogin(e),window.netlifyIdentity.close()})),rz(this,"handleIdentityLogout",(()=>{window.netlifyIdentity.open()})),rz(this,"handleIdentityError",(e=>{var t;null!=e&&null!==(t=e.message)&&void 0!==t&&t.match(/^Failed to load settings from.+\.netlify\/identity$/)&&(window.netlifyIdentity.close(),this.setState({errors:{identity:this.props.t("auth.errors.identitySettings")}}))})),rz(this,"handleIdentity",(()=>{const e=window.netlifyIdentity.currentUser();e?this.props.onLogin(e):window.netlifyIdentity.open()})),rz(this,"state",{email:"",password:"",errors:{}}),rz(this,"handleChange",((e,t)=>{this.setState(nz(nz({},this.state),{},{[e]:t.target.value}))})),rz(this,"handleLogin",(async e=>{e.preventDefault();const{email:t,password:n}=this.state,{t:r}=this.props,i={};if(t||(i.email=r("auth.errors.email")),n||(i.password=r("auth.errors.password")),Object.keys(i).length>0)this.setState({errors:i});else try{const e=await uz.authClient(),t=await e.login(this.state.email,this.state.password,!0);this.props.onLogin(t)}catch(e){this.setState({errors:{server:e.description||e.msg||e},loggingIn:!1})}})),lz=this}componentDidMount(){!this.loggedIn&&window.netlifyIdentity&&window.netlifyIdentity.currentUser()&&(this.props.onLogin(window.netlifyIdentity.currentUser()),window.netlifyIdentity.close())}componentWillUnmount(){lz=null}render(){const{errors:e}=this.state,{error:t,inProgress:n,config:r,t:i}=this.props;return window.netlifyIdentity?e.identity?xn(fD,{logoUrl:r.logo_url,siteUrl:r.site_url,onLogin:this.handleIdentity,renderPageContent:()=>xn("a",{href:"https://docs.netlify.com/visitor-access/git-gateway/#setup-and-settings",target:"_blank",rel:"noopener noreferrer"},e.identity),t:i}):xn(fD,{logoUrl:r.logo_url,siteUrl:r.site_url,onLogin:this.handleIdentity,renderButtonContent:()=>i("auth.loginWithNetlifyIdentity"),t:i}):xn(fD,{logoUrl:r.logo_url,siteUrl:r.site_url,renderPageContent:()=>xn(oz,{onSubmit:this.handleLogin},t?xn(sz,null,t):null,e.server?xn(sz,null,String(e.server)):null,xn(sz,null,e.email||null),xn(az,{type:"text",name:"email",placeholder:"Email",value:this.state.email,onChange:gC()(this.handleChange,"email")}),xn(sz,null,e.password||null),xn(az,{type:"password",name:"password",placeholder:"Password",value:this.state.password,onChange:gC()(this.handleChange,"password")}),xn(iz,{disabled:n},i(n?"auth.loggingIn":"auth.login"))),t:i})}}rz(uz,"authClient",void 0),rz(uz,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool.isRequired,error:ie().node,config:ie().object.isRequired,t:ie().func.isRequired});var cz=__webpack_require__(97030),dz=__webpack_require__.n(cz);const pz=["sha"];function fz(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function hz(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?fz(Object(n),!0).forEach((function(t){mz(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):fz(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function mz(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const gz={Accept:"application/vnd.git-lfs+json","Content-Type":"application/vnd.git-lfs+json"};async function vz({rootURL:e,makeAuthorizedRequest:t},{sha:n,size:r}){const i=await t({url:`${e}/verify`,method:"POST",headers:gz,body:JSON.stringify({oid:n,size:r})});return!!i.ok||404!==i.status&&void 0}function yz(e){return{operation:"upload",transfers:["basic"],objects:e.map((e=>{let{sha:t}=e;return hz(hz({},function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,pz)),{},{oid:t})}))}}async function bz({rootURL:e,makeAuthorizedRequest:t},n){const r=await t({url:`${e}/objects/batch`,method:"POST",headers:gz,body:JSON.stringify(yz(n))}),{objects:i}=await r.json(),o=i.map((e=>{if(e.error)throw new Error(e.error.message);return e.actions.upload.href}));return o}function wz(e,t){return(...n)=>t(e,...n)}const _z={resourceExists:vz,getResourceUploadURLs:bz,getDownloadURL:async function({rootURL:e,transformImages:t,makeAuthorizedRequest:n},{sha:r}){try{const i=function(e){if(dz()(e)&&!nl()(e)){const{nf_resize:t,w:n,h:r}=e;return`?nf_resize=${t}&w=${n}&h=${r}`}return""}(t),o=n(`${e}/origin/${r}${i}`),[a,s]=await Promise.all([o,i?n(`${e}/origin/${r}`):o]);if(!a.ok){const e=await a.json();throw new Error(`Failed getting large media for sha '${r}': '${e.code} - ${e.msg}'`)}const l=await a.blob();return{url:URL.createObjectURL(l),blob:i?await s.blob():l}}catch(e){return console.error(e),{url:"",blob:new Blob}}},uploadResource:async function(e,{sha:t,size:n},r){if(await vz(e,{sha:t,size:n}))return t;const[i]=await bz(e,[{sha:t,size:n}]);return await function(e,t){return mo.fetchWithTimeout(e,{method:"PUT",body:t})}(i,r),t},matchPath:function({patterns:e},t){return e.some((e=>LB()(t,e,{matchBase:!0})))}};function xz(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function kz(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?xz(Object(n),!0).forEach((function(t){Ez(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):xz(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Ez(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Cz="https://www.netlifystatus.com",Sz=`${Cz}/api/v2/components.json`,Az=["Git Gateway"],Tz={localhost:!0,"127.0.0.1":!0,"0.0.0.0":!0};function Oz(e,t){if(Tz[document.location.host.split(":").shift()]&&t&&e.match(/^\/\.netlify\//)){const n=[];return t&&(n.push(t),t.match(/\/$/)||n.push("/")),n.push(e.replace(/^\//,"")),n.join("")}return e}let Pz=Promise.resolve();if(window.netlifyIdentity){let e=!1;Pz=Promise.race([new Promise((t=>{var n;null===(n=window.netlifyIdentity)||void 0===n||n.on("init",(()=>{e=!0,t()}))})),new Promise((e=>setTimeout(e,2500))).then((()=>{var t;e||(console.log("Manually initializing identity widget"),null===(t=window.netlifyIdentity)||void 0===t||t.init())}))])}async function Dz(e){return await fetch(`https://api.netlify.com/api/v1/sites/${e}`).then((e=>e.json()))}var Rz=__webpack_require__(17195),Iz=__webpack_require__.n(Rz),Mz=__webpack_require__(85701),Lz=__webpack_require__.n(Mz);function Nz(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const jz=gb("section",{target:"e141mjkc2",label:"StyledAuthenticationPage"})({name:"14ts7qn",styles:"display:flex;flex-flow:column nowrap;align-items:center;justify-content:center;gap:50px;height:100vh"}),Fz=gb(O_,{target:"e141mjkc1",label:"PageLogoIcon"})({name:"pit38s",styles:"height:auto"}),Bz=gb("button",{target:"e141mjkc0",label:"LoginButton"})(cr.button,";",tr,";",cr.default,";",cr.gray,";padding:0 30px;margin-top:0;display:flex;align-items:center;position:relative;",O_,"{margin-right:18px;}");class zz extends o.Component{constructor(...e){super(...e),Nz(this,"handleLogin",(e=>{e.preventDefault(),this.props.onLogin(this.state)}))}componentDidMount(){!1===this.props.config.backend.login&&this.props.onLogin(this.state)}render(){const{config:e,inProgress:t,t:n}=this.props;return xn(jz,null,xn(Fz,{size:"300px",type:"decap-cms"}),xn(Bz,{disabled:t,onClick:this.handleLogin},n(t?"auth.loggingIn":"auth.login")),e.site_url&&xn(iD,{href:e.site_url,t:n}))}}function Uz(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function qz(e,t){const n=e.split("/");let r=t;for(;r&&n.length;)r=r[n.shift()];return r||{}}function $z(e,t,n){const r=e.split("/");let i=n;for(;r.length>1;){const e=r.shift();i[e]=i[e]||{},i=i[e]}i[r.shift()]={content:t,path:e}}function Wz(e,t){Iz()(t,e.split("/"))}Nz(zz,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool,config:ie().object.isRequired,t:ie().func.isRequired}),window.repoFiles=window.repoFiles||{},window.repoFilesUnpublished=window.repoFilesUnpublished||[];const Hz=10;function Vz(e,t,n,r,i){const o=n.length,a=Math.floor(o/Hz);return Mo.create({actions:[...r<a?["next","last"]:[],...r>0?["prev","first"]:[]],meta:{index:r,count:o,pageSize:Hz,pageCount:a},data:{folder:e,extension:t,index:r,pageCount:a,depth:i}})}function Gz(e,t,n,r,i=[],o=t){return r<=0||Object.keys(e[t]||{}).forEach((a=>{if(!(0,sa.extname)(a))return Gz(e[t],a,n,r-1,i,`${o}/${a}`);{const r=e[t][a];n&&!a.endsWith(`.${n}`)||i.unshift({content:r.content,path:`${o}/${a}`})}})),i}function Kz(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Yz=gb("section",{target:"e1ektlme1",label:"StyledAuthenticationPage"})({name:"8azftg",styles:"display:flex;flex-flow:column nowrap;align-items:center;justify-content:center;height:100vh"}),Zz=gb("button",{target:"e1ektlme0",label:"LoginButton"})(cr.button,";",tr,";",cr.default,";",cr.gray,";padding:0 30px;margin-top:0;display:flex;align-items:center;position:relative;",O_,"{margin-right:18px;}");class Xz extends o.Component{constructor(...e){super(...e),Kz(this,"handleLogin",(e=>{e.preventDefault(),this.props.onLogin(this.state)}))}render(){const{config:e,inProgress:t,t:n}=this.props;return xn(Yz,null,cD(e.logo_url),xn(Zz,{disabled:t,onClick:this.handleLogin},n(t?"auth.loggingIn":"auth.login")),e.site_url&&xn(iD,{href:e.site_url,t:n}))}}function Qz(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Jz(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Qz(Object(n),!0).forEach((function(t){eU(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Qz(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function eU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}async function tU(e){const t=await e.toBase64();return{path:e.path,content:t,encoding:"base64"}}function nU({id:e,content:t,encoding:n,path:r,name:i}){let o=new Uint8Array(0);if("base64"!==n)console.error(`Unsupported encoding '${n}' for file '${r}'`);else{const e=atob(t);o=new Uint8Array(e.length);for(let t=0;t<e.length;t++)o[t]=e.charCodeAt(t)}const a=Jo(i,new Blob([o])),s=URL.createObjectURL(a);return{id:e,name:i,path:r,file:a,size:a.size,url:s,displayURL:s}}function rU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}Kz(Xz,"propTypes",{onLogin:ie().func.isRequired,inProgress:ie().bool,config:ie().object.isRequired,t:ie().func.isRequired});class iU extends o.Component{constructor(...e){super(...e),rU(this,"_sel",0),rU(this,"_el",null),rU(this,"handleChange",(e=>{this._sel=e.target.selectionStart,this.props.onChange(e.target.value)}))}componentDidUpdate(){this._el&&this._el.selectionStart!==this._sel&&this._el.setSelectionRange(this._sel,this._sel)}render(){const{forID:e,value:t,classNameWrapper:n,setActiveStyle:r,setInactiveStyle:i}=this.props;return xn("input",{ref:e=>{this._el=e},type:"text",id:e,className:n,value:t||"",onChange:this.handleChange,onFocus:r,onBlur:i})}}rU(iU,"propTypes",{onChange:ie().func.isRequired,forID:ie().string,value:ie().node,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired}),rU(iU,"defaultProps",{value:""});const oU=gb("div",{target:"es44anv0",label:"WidgetPreviewContainer"})({name:"1wf5os2",styles:"margin:15px 2px"});function aU({value:e}){return xn(oU,null,e)}aU.propTypes={value:ie().node};const sU=aU;function lU(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function uU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const cU={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?lU(Object(n),!0).forEach((function(t){uU(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):lU(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"string",controlComponent:iU,previewComponent:sU},e)},controlComponent:iU,previewComponent:sU},dU=cU;function pU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const fU="RANGE";class hU extends o.Component{constructor(...e){super(...e),pU(this,"handleChange",(e=>{const t=this.props.field.get("value_type"),{onChange:n}=this.props,r="float"===t?parseFloat(e.target.value):parseInt(e.target.value,10);isNaN(r)?n(""):n(r)})),pU(this,"isValid",(()=>{const{field:e,value:t,t:n}=this.props,r=!!e.get("pattern",!1),i=e.get("min",!1),o=e.get("max",!1);if(r)return!0;const a=function(e,t,n,r,i){let o;switch(!0){case""!==e&&!1!==t&&!1!==n&&(e<t||e>n):o={type:fU,message:i("editor.editorControlPane.widget.range",{fieldLabel:r.get("label",r.get("name")),minValue:t,maxValue:n})};break;case""!==e&&!1!==t&&e<t:o={type:fU,message:i("editor.editorControlPane.widget.min",{fieldLabel:r.get("label",r.get("name")),minValue:t})};break;case""!==e&&!1!==n&&e>n:o={type:fU,message:i("editor.editorControlPane.widget.max",{fieldLabel:r.get("label",r.get("name")),maxValue:n})};break;default:o=null}return o}(t,i,o,e,n);return!a||{error:a}}))}render(){const{field:e,value:t,classNameWrapper:n,forID:r,setActiveStyle:i,setInactiveStyle:o}=this.props,a=e.get("min",""),s=e.get("max",""),l=e.get("step","int"===e.get("value_type")?1:"");return xn("input",{type:"number",id:r,className:n,onFocus:i,onBlur:o,value:t||(0===t?t:""),step:l,min:a,max:s,onChange:this.handleChange})}}function mU({value:e}){return xn(oU,null,e)}pU(hU,"propTypes",{field:Q_().map.isRequired,onChange:ie().func.isRequired,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,value:ie().node,forID:ie().string,valueType:ie().string,step:ie().number,min:ie().number,max:ie().number,t:ie().func.isRequired}),pU(hU,"defaultProps",{value:""}),mU.propTypes={value:ie().node};const gU=mU,vU={properties:{step:{type:"number"},value_type:{type:"string"},min:{type:"number"},max:{type:"number"}}};function yU(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function bU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const wU={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?yU(Object(n),!0).forEach((function(t){bU(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):yU(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"number",controlComponent:hU,previewComponent:gU,schema:vU},e)},controlComponent:hU,previewComponent:gU},_U=wU,xU=o.useLayoutEffect;var kU=function(e,t){"function"!=typeof e?e.current=t:e(t)};var EU={"min-height":"0","max-height":"none",height:"0",visibility:"hidden",overflow:"hidden",position:"absolute","z-index":"-1000",top:"0",right:"0"},CU=function(e){Object.keys(EU).forEach((function(t){e.style.setProperty(t,EU[t],"important")}))},SU=null,AU=function(e,t){var n=e.scrollHeight;return"border-box"===t.sizingStyle.boxSizing?n+t.borderSize:n-t.paddingSize},TU=function(){},OU=["borderBottomWidth","borderLeftWidth","borderRightWidth","borderTopWidth","boxSizing","fontFamily","fontSize","fontStyle","fontWeight","letterSpacing","lineHeight","paddingBottom","paddingLeft","paddingRight","paddingTop","tabSize","textIndent","textRendering","textTransform","width","wordBreak"],PU=!!document.documentElement.currentStyle;function DU(e,t,n){var r,i,a=(r=n,i=o.useRef(r),xU((function(){i.current=r})),i);o.useLayoutEffect((function(){var n=function(e){return a.current(e)};if(e)return e.addEventListener(t,n),function(){return e.removeEventListener(t,n)}}),[])}var RU=["cacheMeasurements","maxRows","minRows","onChange","onHeightChange"],IU=function(e,t){var n,r=e.cacheMeasurements,i=e.maxRows,a=e.minRows,s=e.onChange,l=void 0===s?TU:s,u=e.onHeightChange,c=void 0===u?TU:u,d=g(e,RU),p=void 0!==d.value,f=o.useRef(null),h=function(e,t){var n=(0,o.useRef)();return(0,o.useCallback)((function(r){e.current=r,n.current&&kU(n.current,null),n.current=t,t&&kU(t,r)}),[t])}(f,t),v=o.useRef(0),y=o.useRef(),b=function(){var e=f.current,t=r&&y.current?y.current:function(e){var t=window.getComputedStyle(e);if(null===t)return null;var n,r=(n=t,OU.reduce((function(e,t){return e[t]=n[t],e}),{})),i=r.boxSizing;return""===i?null:(PU&&"border-box"===i&&(r.width=parseFloat(r.width)+parseFloat(r.borderRightWidth)+parseFloat(r.borderLeftWidth)+parseFloat(r.paddingRight)+parseFloat(r.paddingLeft)+"px"),{sizingStyle:r,paddingSize:parseFloat(r.paddingBottom)+parseFloat(r.paddingTop),borderSize:parseFloat(r.borderBottomWidth)+parseFloat(r.borderTopWidth)})}(e);if(t){y.current=t;var n=function(e,t,n,r){void 0===n&&(n=1),void 0===r&&(r=1/0),SU||((SU=document.createElement("textarea")).setAttribute("tabindex","-1"),SU.setAttribute("aria-hidden","true"),CU(SU)),null===SU.parentNode&&document.body.appendChild(SU);var i=e.paddingSize,o=e.borderSize,a=e.sizingStyle,s=a.boxSizing;Object.keys(a).forEach((function(e){var t=e;SU.style[t]=a[t]})),CU(SU),SU.value=t;var l=AU(SU,e);SU.value=t,l=AU(SU,e),SU.value="x";var u=SU.scrollHeight-i,c=u*n;"border-box"===s&&(c=c+i+o),l=Math.max(c,l);var d=u*r;return"border-box"===s&&(d=d+i+o),[l=Math.min(d,l),u]}(t,e.value||e.placeholder||"x",a,i),o=n[0],s=n[1];v.current!==o&&(v.current=o,e.style.setProperty("height",o+"px","important"),c(o,{rowHeight:s}))}};return o.useLayoutEffect(b),DU(window,"resize",b),n=b,DU(document.fonts,"loadingdone",n),o.createElement("textarea",m({},d,{onChange:function(e){p||b(),l(e)},ref:h}))},MU=o.forwardRef(IU);function LU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var NU={name:"1mnv7s1-TextControl",styles:"font-family:inherit;label:TextControl;"};class jU extends o.Component{shouldComponentUpdate(){return!0}render(){const{forID:e,value:t,onChange:n,classNameWrapper:r,setActiveStyle:i,setInactiveStyle:o}=this.props;return xn(MU,{id:e,value:t||"",className:r,onFocus:i,onBlur:o,minRows:5,css:NU,onChange:e=>n(e.target.value)})}}function FU({value:e}){return xn(oU,null,e)}LU(jU,"propTypes",{onChange:ie().func.isRequired,forID:ie().string,value:ie().node,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired}),LU(jU,"defaultProps",{value:""}),FU.propTypes={value:ie().node};const BU=FU;function zU(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function UU(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const qU={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?zU(Object(n),!0).forEach((function(t){UU(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):zU(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"text",controlComponent:jU,previewComponent:BU},e)},controlComponent:jU,previewComponent:BU},$U=qU,WU="undefined"!=typeof window&&void 0!==window.document&&void 0!==window.document.createElement;function HU(e){const t=Object.prototype.toString.call(e);return"[object Window]"===t||"[object global]"===t}function VU(e){return"nodeType"in e}function GU(e){var t,n;return e?HU(e)?e:VU(e)&&null!=(t=null==(n=e.ownerDocument)?void 0:n.defaultView)?t:window:window}function KU(e){const{Document:t}=GU(e);return e instanceof t}function YU(e){return!HU(e)&&e instanceof GU(e).HTMLElement}function ZU(e){return e?HU(e)?e.document:VU(e)?KU(e)?e:YU(e)?e.ownerDocument:document:document:document}const XU=WU?o.useLayoutEffect:o.useEffect;function QU(e){const t=(0,o.useRef)(e);return XU((()=>{t.current=e})),(0,o.useCallback)((function(){for(var e=arguments.length,n=new Array(e),r=0;r<e;r++)n[r]=arguments[r];return null==t.current?void 0:t.current(...n)}),[])}function JU(e,t){void 0===t&&(t=[e]);const n=(0,o.useRef)(e);return XU((()=>{n.current!==e&&(n.current=e)}),t),n}function eq(e,t){const n=(0,o.useRef)();return(0,o.useMemo)((()=>{const t=e(n.current);return n.current=t,t}),[...t])}function tq(e){const t=QU(e),n=(0,o.useRef)(null),r=(0,o.useCallback)((e=>{e!==n.current&&(null==t||t(e,n.current)),n.current=e}),[]);return[n,r]}function nq(e){const t=(0,o.useRef)();return(0,o.useEffect)((()=>{t.current=e}),[e]),t.current}let rq={};function iq(e,t){return(0,o.useMemo)((()=>{if(t)return t;const n=null==rq[e]?0:rq[e]+1;return rq[e]=n,e+"-"+n}),[e,t])}function oq(e){return function(t){for(var n=arguments.length,r=new Array(n>1?n-1:0),i=1;i<n;i++)r[i-1]=arguments[i];return r.reduce(((t,n)=>{const r=Object.entries(n);for(const[n,i]of r){const r=t[n];null!=r&&(t[n]=r+e*i)}return t}),{...t})}}const aq=oq(1),sq=oq(-1);function lq(e){if(!e)return!1;const{KeyboardEvent:t}=GU(e.target);return t&&e instanceof t}function uq(e){if(function(e){if(!e)return!1;const{TouchEvent:t}=GU(e.target);return t&&e instanceof t}(e)){if(e.touches&&e.touches.length){const{clientX:t,clientY:n}=e.touches[0];return{x:t,y:n}}if(e.changedTouches&&e.changedTouches.length){const{clientX:t,clientY:n}=e.changedTouches[0];return{x:t,y:n}}}return function(e){return"clientX"in e&&"clientY"in e}(e)?{x:e.clientX,y:e.clientY}:null}const cq=Object.freeze({Translate:{toString(e){if(!e)return;const{x:t,y:n}=e;return"translate3d("+(t?Math.round(t):0)+"px, "+(n?Math.round(n):0)+"px, 0)"}},Scale:{toString(e){if(!e)return;const{scaleX:t,scaleY:n}=e;return"scaleX("+t+") scaleY("+n+")"}},Transform:{toString(e){if(e)return[cq.Translate.toString(e),cq.Scale.toString(e)].join(" ")}},Transition:{toString(e){let{property:t,duration:n,easing:r}=e;return t+" "+n+"ms "+r}}}),dq="a,frame,iframe,input:not([type=hidden]):not(:disabled),select:not(:disabled),textarea:not(:disabled),button:not(:disabled),*[tabindex]";function pq(e){return e.matches(dq)?e:e.querySelector(dq)}const fq={display:"none"};function hq(e){let{id:t,value:n}=e;return o.createElement("div",{id:t,style:fq},n)}const mq={position:"fixed",width:1,height:1,margin:-1,border:0,padding:0,overflow:"hidden",clip:"rect(0 0 0 0)",clipPath:"inset(100%)",whiteSpace:"nowrap"};function gq(e){let{id:t,announcement:n}=e;return o.createElement("div",{id:t,style:mq,role:"status","aria-live":"assertive","aria-atomic":!0},n)}const vq=(0,o.createContext)(null),yq={draggable:"\n To pick up a draggable item, press the space bar.\n While dragging, use the arrow keys to move the item.\n Press space again to drop the item in its new position, or press escape to cancel.\n "},bq={onDragStart(e){let{active:t}=e;return"Picked up draggable item "+t.id+"."},onDragOver(e){let{active:t,over:n}=e;return n?"Draggable item "+t.id+" was moved over droppable area "+n.id+".":"Draggable item "+t.id+" is no longer over a droppable area."},onDragEnd(e){let{active:t,over:n}=e;return n?"Draggable item "+t.id+" was dropped over droppable area "+n.id:"Draggable item "+t.id+" was dropped."},onDragCancel(e){let{active:t}=e;return"Dragging was cancelled. Draggable item "+t.id+" was dropped."}};function wq(e){let{announcements:t=bq,container:n,hiddenTextDescribedById:r,screenReaderInstructions:i=yq}=e;const{announce:a,announcement:s}=function(){const[e,t]=(0,o.useState)("");return{announce:(0,o.useCallback)((e=>{null!=e&&t(e)}),[]),announcement:e}}(),l=iq("DndLiveRegion"),[u,c]=(0,o.useState)(!1);if((0,o.useEffect)((()=>{c(!0)}),[]),function(e){const t=(0,o.useContext)(vq);(0,o.useEffect)((()=>{if(!t)throw new Error("useDndMonitor must be used within a children of <DndContext>");return t(e)}),[e,t])}((0,o.useMemo)((()=>({onDragStart(e){let{active:n}=e;a(t.onDragStart({active:n}))},onDragMove(e){let{active:n,over:r}=e;t.onDragMove&&a(t.onDragMove({active:n,over:r}))},onDragOver(e){let{active:n,over:r}=e;a(t.onDragOver({active:n,over:r}))},onDragEnd(e){let{active:n,over:r}=e;a(t.onDragEnd({active:n,over:r}))},onDragCancel(e){let{active:n,over:r}=e;a(t.onDragCancel({active:n,over:r}))}})),[a,t])),!u)return null;const d=o.createElement(o.Fragment,null,o.createElement(hq,{id:r,value:i.draggable}),o.createElement(gq,{id:l,announcement:s}));return n?(0,ee.createPortal)(d,n):d}var _q;function xq(){}function kq(e,t){return(0,o.useMemo)((()=>({sensor:e,options:null!=t?t:{}})),[e,t])}function Eq(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return(0,o.useMemo)((()=>[...t].filter((e=>null!=e))),[...t])}!function(e){e.DragStart="dragStart",e.DragMove="dragMove",e.DragEnd="dragEnd",e.DragCancel="dragCancel",e.DragOver="dragOver",e.RegisterDroppable="registerDroppable",e.SetDroppableDisabled="setDroppableDisabled",e.UnregisterDroppable="unregisterDroppable"}(_q||(_q={}));const Cq=Object.freeze({x:0,y:0});function Sq(e,t){return Math.sqrt(Math.pow(e.x-t.x,2)+Math.pow(e.y-t.y,2))}function Aq(e,t){let{data:{value:n}}=e,{data:{value:r}}=t;return n-r}function Tq(e,t){let{data:{value:n}}=e,{data:{value:r}}=t;return r-n}function Oq(e,t,n){return void 0===t&&(t=e.left),void 0===n&&(n=e.top),{x:t+.5*e.width,y:n+.5*e.height}}const Pq=e=>{let{collisionRect:t,droppableRects:n,droppableContainers:r}=e;const i=Oq(t,t.left,t.top),o=[];for(const e of r){const{id:t}=e,r=n.get(t);if(r){const n=Sq(Oq(r),i);o.push({id:t,data:{droppableContainer:e,value:n}})}}return o.sort(Aq)};function Dq(e,t){const n=Math.max(t.top,e.top),r=Math.max(t.left,e.left),i=Math.min(t.left+t.width,e.left+e.width),o=Math.min(t.top+t.height,e.top+e.height),a=i-r,s=o-n;if(r<i&&n<o){const n=t.width*t.height,r=e.width*e.height,i=a*s;return Number((i/(n+r-i)).toFixed(4))}return 0}const Rq=e=>{let{collisionRect:t,droppableRects:n,droppableContainers:r}=e;const i=[];for(const e of r){const{id:r}=e,o=n.get(r);if(o){const n=Dq(o,t);n>0&&i.push({id:r,data:{droppableContainer:e,value:n}})}}return i.sort(Tq)};function Iq(e,t){return e&&t?{x:e.left-t.left,y:e.top-t.top}:Cq}function Mq(e){return function(t){for(var n=arguments.length,r=new Array(n>1?n-1:0),i=1;i<n;i++)r[i-1]=arguments[i];return r.reduce(((t,n)=>({...t,top:t.top+e*n.y,bottom:t.bottom+e*n.y,left:t.left+e*n.x,right:t.right+e*n.x})),{...t})}}const Lq=Mq(1);const Nq={ignoreTransform:!1};function jq(e,t){void 0===t&&(t=Nq);let n=e.getBoundingClientRect();if(t.ignoreTransform){const{transform:t,transformOrigin:r}=GU(e).getComputedStyle(e);t&&(n=function(e,t,n){const r=function(e){if(e.startsWith("matrix3d(")){const t=e.slice(9,-1).split(/, /);return{x:+t[12],y:+t[13],scaleX:+t[0],scaleY:+t[5]}}if(e.startsWith("matrix(")){const t=e.slice(7,-1).split(/, /);return{x:+t[4],y:+t[5],scaleX:+t[0],scaleY:+t[3]}}return null}(t);if(!r)return e;const{scaleX:i,scaleY:o,x:a,y:s}=r,l=e.left-a-(1-i)*parseFloat(n),u=e.top-s-(1-o)*parseFloat(n.slice(n.indexOf(" ")+1)),c=i?e.width/i:e.width,d=o?e.height/o:e.height;return{width:c,height:d,top:u,right:l+c,bottom:u+d,left:l}}(n,t,r))}const{top:r,left:i,width:o,height:a,bottom:s,right:l}=n;return{top:r,left:i,width:o,height:a,bottom:s,right:l}}function Fq(e){return jq(e,{ignoreTransform:!0})}function Bq(e,t){const n=[];return e?function r(i){if(null!=t&&n.length>=t)return n;if(!i)return n;if(KU(i)&&null!=i.scrollingElement&&!n.includes(i.scrollingElement))return n.push(i.scrollingElement),n;if(!YU(i)||function(e){return e instanceof GU(e).SVGElement}(i))return n;if(n.includes(i))return n;const o=GU(e).getComputedStyle(i);return i!==e&&function(e,t){void 0===t&&(t=GU(e).getComputedStyle(e));const n=/(auto|scroll|overlay)/;return["overflow","overflowX","overflowY"].some((e=>{const r=t[e];return"string"==typeof r&&n.test(r)}))}(i,o)&&n.push(i),function(e,t){return void 0===t&&(t=GU(e).getComputedStyle(e)),"fixed"===t.position}(i,o)?n:r(i.parentNode)}(e):n}function zq(e){const[t]=Bq(e,1);return null!=t?t:null}function Uq(e){return WU&&e?HU(e)?e:VU(e)?KU(e)||e===ZU(e).scrollingElement?window:YU(e)?e:null:null:null}function qq(e){return HU(e)?e.scrollX:e.scrollLeft}function $q(e){return HU(e)?e.scrollY:e.scrollTop}function Wq(e){return{x:qq(e),y:$q(e)}}var Hq;function Vq(e){return!(!WU||!e)&&e===document.scrollingElement}function Gq(e){const t={x:0,y:0},n=Vq(e)?{height:window.innerHeight,width:window.innerWidth}:{height:e.clientHeight,width:e.clientWidth},r={x:e.scrollWidth-n.width,y:e.scrollHeight-n.height};return{isTop:e.scrollTop<=t.y,isLeft:e.scrollLeft<=t.x,isBottom:e.scrollTop>=r.y,isRight:e.scrollLeft>=r.x,maxScroll:r,minScroll:t}}!function(e){e[e.Forward=1]="Forward",e[e.Backward=-1]="Backward"}(Hq||(Hq={}));const Kq={x:.2,y:.2};function Yq(e,t,n,r,i){let{top:o,left:a,right:s,bottom:l}=n;void 0===r&&(r=10),void 0===i&&(i=Kq);const{isTop:u,isBottom:c,isLeft:d,isRight:p}=Gq(e),f={x:0,y:0},h={x:0,y:0},m=t.height*i.y,g=t.width*i.x;return!u&&o<=t.top+m?(f.y=Hq.Backward,h.y=r*Math.abs((t.top+m-o)/m)):!c&&l>=t.bottom-m&&(f.y=Hq.Forward,h.y=r*Math.abs((t.bottom-m-l)/m)),!p&&s>=t.right-g?(f.x=Hq.Forward,h.x=r*Math.abs((t.right-g-s)/g)):!d&&a<=t.left+g&&(f.x=Hq.Backward,h.x=r*Math.abs((t.left+g-a)/g)),{direction:f,speed:h}}function Zq(e){if(e===document.scrollingElement){const{innerWidth:e,innerHeight:t}=window;return{top:0,left:0,right:e,bottom:t,width:e,height:t}}const{top:t,left:n,right:r,bottom:i}=e.getBoundingClientRect();return{top:t,left:n,right:r,bottom:i,width:e.clientWidth,height:e.clientHeight}}function Xq(e){return e.reduce(((e,t)=>aq(e,Wq(t))),Cq)}const Qq=[["x",["left","right"],function(e){return e.reduce(((e,t)=>e+qq(t)),0)}],["y",["top","bottom"],function(e){return e.reduce(((e,t)=>e+$q(t)),0)}]];class Jq{constructor(e,t){this.rect=void 0,this.width=void 0,this.height=void 0,this.top=void 0,this.bottom=void 0,this.right=void 0,this.left=void 0;const n=Bq(t),r=Xq(n);this.rect={...e},this.width=e.width,this.height=e.height;for(const[e,t,i]of Qq)for(const o of t)Object.defineProperty(this,o,{get:()=>{const t=i(n),a=r[e]-t;return this.rect[o]+a},enumerable:!0});Object.defineProperty(this,"rect",{enumerable:!1})}}class e${constructor(e){this.target=void 0,this.listeners=[],this.removeAll=()=>{this.listeners.forEach((e=>{var t;return null==(t=this.target)?void 0:t.removeEventListener(...e)}))},this.target=e}add(e,t,n){var r;null==(r=this.target)||r.addEventListener(e,t,n),this.listeners.push([e,t,n])}}function t$(e,t){const n=Math.abs(e.x),r=Math.abs(e.y);return"number"==typeof t?Math.sqrt(n**2+r**2)>t:"x"in t&&"y"in t?n>t.x&&r>t.y:"x"in t?n>t.x:"y"in t&&r>t.y}var n$,r$,i$;function o$(e){e.preventDefault()}function a$(e){e.stopPropagation()}!function(e){e.Click="click",e.DragStart="dragstart",e.Keydown="keydown",e.ContextMenu="contextmenu",e.Resize="resize",e.SelectionChange="selectionchange",e.VisibilityChange="visibilitychange"}(n$||(n$={})),(i$=r$||(r$={})).Space="Space",i$.Down="ArrowDown",i$.Right="ArrowRight",i$.Left="ArrowLeft",i$.Up="ArrowUp",i$.Esc="Escape",i$.Enter="Enter";const s$={start:[r$.Space,r$.Enter],cancel:[r$.Esc],end:[r$.Space,r$.Enter]},l$=(e,t)=>{let{currentCoordinates:n}=t;switch(e.code){case r$.Right:return{...n,x:n.x+25};case r$.Left:return{...n,x:n.x-25};case r$.Down:return{...n,y:n.y+25};case r$.Up:return{...n,y:n.y-25}}};class u${constructor(e){this.props=void 0,this.autoScrollEnabled=!1,this.referenceCoordinates=void 0,this.listeners=void 0,this.windowListeners=void 0,this.props=e;const{event:{target:t}}=e;this.props=e,this.listeners=new e$(ZU(t)),this.windowListeners=new e$(GU(t)),this.handleKeyDown=this.handleKeyDown.bind(this),this.handleCancel=this.handleCancel.bind(this),this.attach()}attach(){this.handleStart(),this.windowListeners.add(n$.Resize,this.handleCancel),this.windowListeners.add(n$.VisibilityChange,this.handleCancel),setTimeout((()=>this.listeners.add(n$.Keydown,this.handleKeyDown)))}handleStart(){const{activeNode:e,onStart:t}=this.props,n=e.node.current;n&&function(e,t){if(void 0===t&&(t=jq),!e)return;const{top:n,left:r,bottom:i,right:o}=t(e);zq(e)&&(i<=0||o<=0||n>=window.innerHeight||r>=window.innerWidth)&&e.scrollIntoView({block:"center",inline:"center"})}(n),t(Cq)}handleKeyDown(e){if(lq(e)){const{active:t,context:n,options:r}=this.props,{keyboardCodes:i=s$,coordinateGetter:o=l$,scrollBehavior:a="smooth"}=r,{code:s}=e;if(i.end.includes(s))return void this.handleEnd(e);if(i.cancel.includes(s))return void this.handleCancel(e);const{collisionRect:l}=n.current,u=l?{x:l.left,y:l.top}:Cq;this.referenceCoordinates||(this.referenceCoordinates=u);const c=o(e,{active:t,context:n.current,currentCoordinates:u});if(c){const t=sq(c,u),r={x:0,y:0},{scrollableAncestors:i}=n.current;for(const n of i){const i=e.code,{isTop:o,isRight:s,isLeft:l,isBottom:u,maxScroll:d,minScroll:p}=Gq(n),f=Zq(n),h={x:Math.min(i===r$.Right?f.right-f.width/2:f.right,Math.max(i===r$.Right?f.left:f.left+f.width/2,c.x)),y:Math.min(i===r$.Down?f.bottom-f.height/2:f.bottom,Math.max(i===r$.Down?f.top:f.top+f.height/2,c.y))},m=i===r$.Right&&!s||i===r$.Left&&!l,g=i===r$.Down&&!u||i===r$.Up&&!o;if(m&&h.x!==c.x){const e=n.scrollLeft+t.x,o=i===r$.Right&&e<=d.x||i===r$.Left&&e>=p.x;if(o&&!t.y)return void n.scrollTo({left:e,behavior:a});r.x=o?n.scrollLeft-e:i===r$.Right?n.scrollLeft-d.x:n.scrollLeft-p.x,r.x&&n.scrollBy({left:-r.x,behavior:a});break}if(g&&h.y!==c.y){const e=n.scrollTop+t.y,o=i===r$.Down&&e<=d.y||i===r$.Up&&e>=p.y;if(o&&!t.x)return void n.scrollTo({top:e,behavior:a});r.y=o?n.scrollTop-e:i===r$.Down?n.scrollTop-d.y:n.scrollTop-p.y,r.y&&n.scrollBy({top:-r.y,behavior:a});break}}this.handleMove(e,aq(sq(c,this.referenceCoordinates),r))}}}handleMove(e,t){const{onMove:n}=this.props;e.preventDefault(),n(t)}handleEnd(e){const{onEnd:t}=this.props;e.preventDefault(),this.detach(),t()}handleCancel(e){const{onCancel:t}=this.props;e.preventDefault(),this.detach(),t()}detach(){this.listeners.removeAll(),this.windowListeners.removeAll()}}function c$(e){return Boolean(e&&"distance"in e)}function d$(e){return Boolean(e&&"delay"in e)}u$.activators=[{eventName:"onKeyDown",handler:(e,t,n)=>{let{keyboardCodes:r=s$,onActivation:i}=t,{active:o}=n;const{code:a}=e.nativeEvent;if(r.start.includes(a)){const t=o.activatorNode.current;return!(t&&e.target!==t||(e.preventDefault(),null==i||i({event:e.nativeEvent}),0))}return!1}}];class p${constructor(e,t,n){var r;void 0===n&&(n=function(e){const{EventTarget:t}=GU(e);return e instanceof t?e:ZU(e)}(e.event.target)),this.props=void 0,this.events=void 0,this.autoScrollEnabled=!0,this.document=void 0,this.activated=!1,this.initialCoordinates=void 0,this.timeoutId=null,this.listeners=void 0,this.documentListeners=void 0,this.windowListeners=void 0,this.props=e,this.events=t;const{event:i}=e,{target:o}=i;this.props=e,this.events=t,this.document=ZU(o),this.documentListeners=new e$(this.document),this.listeners=new e$(n),this.windowListeners=new e$(GU(o)),this.initialCoordinates=null!=(r=uq(i))?r:Cq,this.handleStart=this.handleStart.bind(this),this.handleMove=this.handleMove.bind(this),this.handleEnd=this.handleEnd.bind(this),this.handleCancel=this.handleCancel.bind(this),this.handleKeydown=this.handleKeydown.bind(this),this.removeTextSelection=this.removeTextSelection.bind(this),this.attach()}attach(){const{events:e,props:{options:{activationConstraint:t}}}=this;if(this.listeners.add(e.move.name,this.handleMove,{passive:!1}),this.listeners.add(e.end.name,this.handleEnd),this.windowListeners.add(n$.Resize,this.handleCancel),this.windowListeners.add(n$.DragStart,o$),this.windowListeners.add(n$.VisibilityChange,this.handleCancel),this.windowListeners.add(n$.ContextMenu,o$),this.documentListeners.add(n$.Keydown,this.handleKeydown),t){if(c$(t))return;if(d$(t))return void(this.timeoutId=setTimeout(this.handleStart,t.delay))}this.handleStart()}detach(){this.listeners.removeAll(),this.windowListeners.removeAll(),setTimeout(this.documentListeners.removeAll,50),null!==this.timeoutId&&(clearTimeout(this.timeoutId),this.timeoutId=null)}handleStart(){const{initialCoordinates:e}=this,{onStart:t}=this.props;e&&(this.activated=!0,this.documentListeners.add(n$.Click,a$,{capture:!0}),this.removeTextSelection(),this.documentListeners.add(n$.SelectionChange,this.removeTextSelection),t(e))}handleMove(e){var t;const{activated:n,initialCoordinates:r,props:i}=this,{onMove:o,options:{activationConstraint:a}}=i;if(!r)return;const s=null!=(t=uq(e))?t:Cq,l=sq(r,s);if(!n&&a){if(d$(a))return t$(l,a.tolerance)?this.handleCancel():void 0;if(c$(a))return null!=a.tolerance&&t$(l,a.tolerance)?this.handleCancel():t$(l,a.distance)?this.handleStart():void 0}e.cancelable&&e.preventDefault(),o(s)}handleEnd(){const{onEnd:e}=this.props;this.detach(),e()}handleCancel(){const{onCancel:e}=this.props;this.detach(),e()}handleKeydown(e){e.code===r$.Esc&&this.handleCancel()}removeTextSelection(){var e;null==(e=this.document.getSelection())||e.removeAllRanges()}}const f$={move:{name:"pointermove"},end:{name:"pointerup"}};class h$ extends p${constructor(e){const{event:t}=e,n=ZU(t.target);super(e,f$,n)}}h$.activators=[{eventName:"onPointerDown",handler:(e,t)=>{let{nativeEvent:n}=e,{onActivation:r}=t;return!(!n.isPrimary||0!==n.button||(null==r||r({event:n}),0))}}];const m$={move:{name:"mousemove"},end:{name:"mouseup"}};var g$;!function(e){e[e.RightClick=2]="RightClick"}(g$||(g$={}));class v$ extends p${constructor(e){super(e,m$,ZU(e.event.target))}}v$.activators=[{eventName:"onMouseDown",handler:(e,t)=>{let{nativeEvent:n}=e,{onActivation:r}=t;return n.button!==g$.RightClick&&(null==r||r({event:n}),!0)}}];const y$={move:{name:"touchmove"},end:{name:"touchend"}};class b$ extends p${constructor(e){super(e,y$)}static setup(){return window.addEventListener(y$.move.name,e,{capture:!1,passive:!1}),function(){window.removeEventListener(y$.move.name,e)};function e(){}}}var w$,_$;b$.activators=[{eventName:"onTouchStart",handler:(e,t)=>{let{nativeEvent:n}=e,{onActivation:r}=t;const{touches:i}=n;return!(i.length>1||(null==r||r({event:n}),0))}}],function(e){e[e.Pointer=0]="Pointer",e[e.DraggableRect=1]="DraggableRect"}(w$||(w$={})),function(e){e[e.TreeOrder=0]="TreeOrder",e[e.ReversedTreeOrder=1]="ReversedTreeOrder"}(_$||(_$={}));const x$={x:{[Hq.Backward]:!1,[Hq.Forward]:!1},y:{[Hq.Backward]:!1,[Hq.Forward]:!1}};var k$,E$;!function(e){e[e.Always=0]="Always",e[e.BeforeDragging=1]="BeforeDragging",e[e.WhileDragging=2]="WhileDragging"}(k$||(k$={})),function(e){e.Optimized="optimized"}(E$||(E$={}));const C$=new Map;function S$(e,t){return eq((n=>e?n||("function"==typeof t?t(e):e):null),[t,e])}function A$(e){let{callback:t,disabled:n}=e;const r=QU(t),i=(0,o.useMemo)((()=>{if(n||"undefined"==typeof window||void 0===window.ResizeObserver)return;const{ResizeObserver:e}=window;return new e(r)}),[n]);return(0,o.useEffect)((()=>()=>null==i?void 0:i.disconnect()),[i]),i}function T$(e){return new Jq(jq(e),e)}function O$(e,t,n){void 0===t&&(t=T$);const[r,i]=(0,o.useReducer)((function(r){if(!e)return null;var i;if(!1===e.isConnected)return null!=(i=null!=r?r:n)?i:null;const o=t(e);return JSON.stringify(r)===JSON.stringify(o)?r:o}),null),a=function(e){let{callback:t,disabled:n}=e;const r=QU(t),i=(0,o.useMemo)((()=>{if(n||"undefined"==typeof window||void 0===window.MutationObserver)return;const{MutationObserver:e}=window;return new e(r)}),[r,n]);return(0,o.useEffect)((()=>()=>null==i?void 0:i.disconnect()),[i]),i}({callback(t){if(e)for(const n of t){const{type:t,target:r}=n;if("childList"===t&&r instanceof HTMLElement&&r.contains(e)){i();break}}}}),s=A$({callback:i});return XU((()=>{i(),e?(null==s||s.observe(e),null==a||a.observe(document.body,{childList:!0,subtree:!0})):(null==s||s.disconnect(),null==a||a.disconnect())}),[e]),r}const P$=[];function D$(e,t){void 0===t&&(t=[]);const n=(0,o.useRef)(null);return(0,o.useEffect)((()=>{n.current=null}),t),(0,o.useEffect)((()=>{const t=e!==Cq;t&&!n.current&&(n.current=e),!t&&n.current&&(n.current=null)}),[e]),n.current?sq(e,n.current):Cq}function R$(e){return(0,o.useMemo)((()=>e?function(e){const t=e.innerWidth,n=e.innerHeight;return{top:0,left:0,right:t,bottom:n,width:t,height:n}}(e):null),[e])}const I$=[];const M$=[{sensor:h$,options:{}},{sensor:u$,options:{}}],L$={current:{}},N$={draggable:{measure:Fq},droppable:{measure:Fq,strategy:k$.WhileDragging,frequency:E$.Optimized},dragOverlay:{measure:jq}};class j$ extends Map{get(e){var t;return null!=e&&null!=(t=super.get(e))?t:void 0}toArray(){return Array.from(this.values())}getEnabled(){return this.toArray().filter((e=>{let{disabled:t}=e;return!t}))}getNodeFor(e){var t,n;return null!=(t=null==(n=this.get(e))?void 0:n.node.current)?t:void 0}}const F$={activatorEvent:null,active:null,activeNode:null,activeNodeRect:null,collisions:null,containerNodeRect:null,draggableNodes:new Map,droppableRects:new Map,droppableContainers:new j$,over:null,dragOverlay:{nodeRef:{current:null},rect:null,setRef:xq},scrollableAncestors:[],scrollableAncestorRects:[],measuringConfiguration:N$,measureDroppableContainers:xq,windowRect:null,measuringScheduled:!1},B$={activatorEvent:null,activators:[],active:null,activeNodeRect:null,ariaDescribedById:{draggable:""},dispatch:xq,draggableNodes:new Map,over:null,measureDroppableContainers:xq},z$=(0,o.createContext)(B$),U$=(0,o.createContext)(F$);function q$(){return{draggable:{active:null,initialCoordinates:{x:0,y:0},nodes:new Map,translate:{x:0,y:0}},droppable:{containers:new j$}}}function $$(e,t){switch(t.type){case _q.DragStart:return{...e,draggable:{...e.draggable,initialCoordinates:t.initialCoordinates,active:t.active}};case _q.DragMove:return e.draggable.active?{...e,draggable:{...e.draggable,translate:{x:t.coordinates.x-e.draggable.initialCoordinates.x,y:t.coordinates.y-e.draggable.initialCoordinates.y}}}:e;case _q.DragEnd:case _q.DragCancel:return{...e,draggable:{...e.draggable,active:null,initialCoordinates:{x:0,y:0},translate:{x:0,y:0}}};case _q.RegisterDroppable:{const{element:n}=t,{id:r}=n,i=new j$(e.droppable.containers);return i.set(r,n),{...e,droppable:{...e.droppable,containers:i}}}case _q.SetDroppableDisabled:{const{id:n,key:r,disabled:i}=t,o=e.droppable.containers.get(n);if(!o||r!==o.key)return e;const a=new j$(e.droppable.containers);return a.set(n,{...o,disabled:i}),{...e,droppable:{...e.droppable,containers:a}}}case _q.UnregisterDroppable:{const{id:n,key:r}=t,i=e.droppable.containers.get(n);if(!i||r!==i.key)return e;const o=new j$(e.droppable.containers);return o.delete(n),{...e,droppable:{...e.droppable,containers:o}}}default:return e}}function W$(e){let{disabled:t}=e;const{active:n,activatorEvent:r,draggableNodes:i}=(0,o.useContext)(z$),a=nq(r),s=nq(null==n?void 0:n.id);return(0,o.useEffect)((()=>{if(!t&&!r&&a&&null!=s){if(!lq(a))return;if(document.activeElement===a.target)return;const e=i.get(s);if(!e)return;const{activatorNode:t,node:n}=e;if(!t.current&&!n.current)return;requestAnimationFrame((()=>{for(const e of[t.current,n.current]){if(!e)continue;const t=pq(e);if(t){t.focus();break}}}))}}),[r,t,i,s,a]),null}const H$=(0,o.createContext)({...Cq,scaleX:1,scaleY:1});var V$;!function(e){e[e.Uninitialized=0]="Uninitialized",e[e.Initializing=1]="Initializing",e[e.Initialized=2]="Initialized"}(V$||(V$={}));const G$=(0,o.memo)((function(e){var t,n,r,i;let{id:a,accessibility:s,autoScroll:l=!0,children:u,sensors:c=M$,collisionDetection:d=Rq,measuring:p,modifiers:f,...h}=e;const m=(0,o.useReducer)($$,void 0,q$),[g,v]=m,[y,b]=function(){const[e]=(0,o.useState)((()=>new Set)),t=(0,o.useCallback)((t=>(e.add(t),()=>e.delete(t))),[e]),n=(0,o.useCallback)((t=>{let{type:n,event:r}=t;e.forEach((e=>{var t;return null==(t=e[n])?void 0:t.call(e,r)}))}),[e]);return[n,t]}(),[w,_]=(0,o.useState)(V$.Uninitialized),x=w===V$.Initialized,{draggable:{active:k,nodes:E,translate:C},droppable:{containers:S}}=g,A=k?E.get(k):null,T=(0,o.useRef)({initial:null,translated:null}),O=(0,o.useMemo)((()=>{var e;return null!=k?{id:k,data:null!=(e=null==A?void 0:A.data)?e:L$,rect:T}:null}),[k,A]),P=(0,o.useRef)(null),[D,R]=(0,o.useState)(null),[I,M]=(0,o.useState)(null),L=JU(h,Object.values(h)),N=iq("DndDescribedBy",a),j=(0,o.useMemo)((()=>S.getEnabled()),[S]),F=(V=p,(0,o.useMemo)((()=>({draggable:{...N$.draggable,...null==V?void 0:V.draggable},droppable:{...N$.droppable,...null==V?void 0:V.droppable},dragOverlay:{...N$.dragOverlay,...null==V?void 0:V.dragOverlay}})),[null==V?void 0:V.draggable,null==V?void 0:V.droppable,null==V?void 0:V.dragOverlay])),{droppableRects:B,measureDroppableContainers:z,measuringScheduled:U}=function(e,t){let{dragging:n,dependencies:r,config:i}=t;const[a,s]=(0,o.useState)(null),{frequency:l,measure:u,strategy:c}=i,d=(0,o.useRef)(e),p=function(){switch(c){case k$.Always:return!1;case k$.BeforeDragging:return n;default:return!n}}(),f=JU(p),h=(0,o.useCallback)((function(e){void 0===e&&(e=[]),f.current||s((t=>null===t?e:t.concat(e.filter((e=>!t.includes(e))))))}),[f]),m=(0,o.useRef)(null),g=eq((t=>{if(p&&!n)return C$;if(!t||t===C$||d.current!==e||null!=a){const t=new Map;for(let n of e){if(!n)continue;if(a&&a.length>0&&!a.includes(n.id)&&n.rect.current){t.set(n.id,n.rect.current);continue}const e=n.node.current,r=e?new Jq(u(e),e):null;n.rect.current=r,r&&t.set(n.id,r)}return t}return t}),[e,a,n,p,u]);return(0,o.useEffect)((()=>{d.current=e}),[e]),(0,o.useEffect)((()=>{p||h()}),[n,p]),(0,o.useEffect)((()=>{a&&a.length>0&&s(null)}),[JSON.stringify(a)]),(0,o.useEffect)((()=>{p||"number"!=typeof l||null!==m.current||(m.current=setTimeout((()=>{h(),m.current=null}),l))}),[l,p,h,...r]),{droppableRects:g,measureDroppableContainers:h,measuringScheduled:null!=a}}(j,{dragging:x,dependencies:[C.x,C.y],config:F.droppable}),q=function(e,t){const n=null!==t?e.get(t):void 0,r=n?n.node.current:null;return eq((e=>{var n;return null===t?null:null!=(n=null!=r?r:e)?n:null}),[r,t])}(E,k),$=(0,o.useMemo)((()=>I?uq(I):null),[I]),W=function(){const e=!1===(null==D?void 0:D.autoScrollEnabled),t="object"==typeof l?!1===l.enabled:!1===l,n=x&&!e&&!t;return"object"==typeof l?{...l,enabled:n}:{enabled:n}}(),H=function(e,t){return S$(e,t)}(q,F.draggable.measure);var V;!function(e){let{activeNode:t,measure:n,initialRect:r,config:i=!0}=e;const a=(0,o.useRef)(!1),{x:s,y:l}="boolean"==typeof i?{x:i,y:i}:i;XU((()=>{if(!s&&!l||!t)return void(a.current=!1);if(a.current||!r)return;const e=null==t?void 0:t.node.current;if(!e||!1===e.isConnected)return;const i=Iq(n(e),r);if(s||(i.x=0),l||(i.y=0),a.current=!0,Math.abs(i.x)>0||Math.abs(i.y)>0){const t=zq(e);t&&t.scrollBy({top:i.y,left:i.x})}}),[t,s,l,r,n])}({activeNode:k?E.get(k):null,config:W.layoutShiftCompensation,initialRect:H,measure:F.draggable.measure});const G=O$(q,F.draggable.measure,H),K=O$(q?q.parentElement:null),Y=(0,o.useRef)({activatorEvent:null,active:null,activeNode:q,collisionRect:null,collisions:null,droppableRects:B,draggableNodes:E,draggingNode:null,draggingNodeRect:null,droppableContainers:S,over:null,scrollableAncestors:[],scrollAdjustedTranslate:null}),Z=S.getNodeFor(null==(t=Y.current.over)?void 0:t.id),X=function(e){let{measure:t}=e;const[n,r]=(0,o.useState)(null),i=(0,o.useCallback)((e=>{for(const{target:n}of e)if(YU(n)){r((e=>{const r=t(n);return e?{...e,width:r.width,height:r.height}:r}));break}}),[t]),a=A$({callback:i}),s=(0,o.useCallback)((e=>{const n=function(e){if(!e)return null;if(e.children.length>1)return e;const t=e.children[0];return YU(t)?t:e}(e);null==a||a.disconnect(),n&&(null==a||a.observe(n)),r(n?t(n):null)}),[t,a]),[l,u]=tq(s);return(0,o.useMemo)((()=>({nodeRef:l,rect:n,setRef:u})),[n,l,u])}({measure:F.dragOverlay.measure}),Q=null!=(n=X.nodeRef.current)?n:q,J=x?null!=(r=X.rect)?r:G:null,te=Boolean(X.nodeRef.current&&X.rect),ne=Iq(re=te?null:G,S$(re));var re;const ie=R$(Q?GU(Q):null),oe=function(e){const t=(0,o.useRef)(e),n=eq((n=>e?n&&n!==P$&&e&&t.current&&e.parentNode===t.current.parentNode?n:Bq(e):P$),[e]);return(0,o.useEffect)((()=>{t.current=e}),[e]),n}(x?null!=Z?Z:q:null),ae=function(e,t){void 0===t&&(t=jq);const[n]=e,r=R$(n?GU(n):null),[i,a]=(0,o.useReducer)((function(){return e.length?e.map((e=>Vq(e)?r:new Jq(t(e),e))):I$}),I$),s=A$({callback:a});return e.length>0&&i===I$&&a(),XU((()=>{e.length?e.forEach((e=>null==s?void 0:s.observe(e))):(null==s||s.disconnect(),a())}),[e]),i}(oe),se=function(e,t){let{transform:n,...r}=t;return null!=e&&e.length?e.reduce(((e,t)=>t({transform:e,...r})),n):n}(f,{transform:{x:C.x-ne.x,y:C.y-ne.y,scaleX:1,scaleY:1},activatorEvent:I,active:O,activeNodeRect:G,containerNodeRect:K,draggingNodeRect:J,over:Y.current.over,overlayNodeRect:X.rect,scrollableAncestors:oe,scrollableAncestorRects:ae,windowRect:ie}),le=$?aq($,C):null,ue=function(e){const[t,n]=(0,o.useState)(null),r=(0,o.useRef)(e),i=(0,o.useCallback)((e=>{const t=Uq(e.target);t&&n((e=>e?(e.set(t,Wq(t)),new Map(e)):null))}),[]);return(0,o.useEffect)((()=>{const t=r.current;if(e!==t){o(t);const a=e.map((e=>{const t=Uq(e);return t?(t.addEventListener("scroll",i,{passive:!0}),[t,Wq(t)]):null})).filter((e=>null!=e));n(a.length?new Map(a):null),r.current=e}return()=>{o(e),o(t)};function o(e){e.forEach((e=>{const t=Uq(e);null==t||t.removeEventListener("scroll",i)}))}}),[i,e]),(0,o.useMemo)((()=>e.length?t?Array.from(t.values()).reduce(((e,t)=>aq(e,t)),Cq):Xq(e):Cq),[e,t])}(oe),ce=D$(ue),de=D$(ue,[G]),pe=aq(se,ce),fe=J?Lq(J,se):null,he=O&&fe?d({active:O,collisionRect:fe,droppableRects:B,droppableContainers:j,pointerCoordinates:le}):null,me=function(e,t){if(!e||0===e.length)return null;const[n]=e;return n.id}(he),[ge,ve]=(0,o.useState)(null),ye=function(e,t,n){return{...e,scaleX:t&&n?t.width/n.width:1,scaleY:t&&n?t.height/n.height:1}}(te?se:aq(se,de),null!=(i=null==ge?void 0:ge.rect)?i:null,G),be=(0,o.useCallback)(((e,t)=>{let{sensor:n,options:r}=t;if(null==P.current)return;const i=E.get(P.current);if(!i)return;const o=e.nativeEvent,a=new n({active:P.current,activeNode:i,event:o,options:r,context:Y,onStart(e){const t=P.current;if(null==t)return;const n=E.get(t);if(!n)return;const{onDragStart:r}=L.current,i={active:{id:t,data:n.data,rect:T}};(0,ee.unstable_batchedUpdates)((()=>{null==r||r(i),_(V$.Initializing),v({type:_q.DragStart,initialCoordinates:e,active:t}),y({type:"onDragStart",event:i})}))},onMove(e){v({type:_q.DragMove,coordinates:e})},onEnd:s(_q.DragEnd),onCancel:s(_q.DragCancel)});function s(e){return async function(){const{active:t,collisions:n,over:r,scrollAdjustedTranslate:i}=Y.current;let a=null;if(t&&i){const{cancelDrop:s}=L.current;a={activatorEvent:o,active:t,collisions:n,delta:i,over:r},e===_q.DragEnd&&"function"==typeof s&&await Promise.resolve(s(a))&&(e=_q.DragCancel)}P.current=null,(0,ee.unstable_batchedUpdates)((()=>{v({type:e}),_(V$.Uninitialized),ve(null),R(null),M(null);const t=e===_q.DragEnd?"onDragEnd":"onDragCancel";if(a){const e=L.current[t];null==e||e(a),y({type:t,event:a})}}))}}(0,ee.unstable_batchedUpdates)((()=>{R(a),M(e.nativeEvent)}))}),[E]),we=(0,o.useCallback)(((e,t)=>(n,r)=>{const i=n.nativeEvent,o=E.get(r);if(null!==P.current||!o||i.dndKit||i.defaultPrevented)return;const a={active:o};!0===e(n,t.options,a)&&(i.dndKit={capturedBy:t.sensor},P.current=r,be(n,t))}),[E,be]),_e=function(e,t){return(0,o.useMemo)((()=>e.reduce(((e,n)=>{const{sensor:r}=n;return[...e,...r.activators.map((e=>({eventName:e.eventName,handler:t(e.handler,n)})))]}),[])),[e,t])}(c,we);!function(e){(0,o.useEffect)((()=>{if(!WU)return;const t=e.map((e=>{let{sensor:t}=e;return null==t.setup?void 0:t.setup()}));return()=>{for(const e of t)null==e||e()}}),e.map((e=>{let{sensor:t}=e;return t})))}(c),XU((()=>{G&&w===V$.Initializing&&_(V$.Initialized)}),[G,w]),(0,o.useEffect)((()=>{const{onDragMove:e}=L.current,{active:t,activatorEvent:n,collisions:r,over:i}=Y.current;if(!t||!n)return;const o={active:t,activatorEvent:n,collisions:r,delta:{x:pe.x,y:pe.y},over:i};(0,ee.unstable_batchedUpdates)((()=>{null==e||e(o),y({type:"onDragMove",event:o})}))}),[pe.x,pe.y]),(0,o.useEffect)((()=>{const{active:e,activatorEvent:t,collisions:n,droppableContainers:r,scrollAdjustedTranslate:i}=Y.current;if(!e||null==P.current||!t||!i)return;const{onDragOver:o}=L.current,a=r.get(me),s=a&&a.rect.current?{id:a.id,rect:a.rect.current,data:a.data,disabled:a.disabled}:null,l={active:e,activatorEvent:t,collisions:n,delta:{x:i.x,y:i.y},over:s};(0,ee.unstable_batchedUpdates)((()=>{ve(s),null==o||o(l),y({type:"onDragOver",event:l})}))}),[me]),XU((()=>{Y.current={activatorEvent:I,active:O,activeNode:q,collisionRect:fe,collisions:he,droppableRects:B,draggableNodes:E,draggingNode:Q,draggingNodeRect:J,droppableContainers:S,over:ge,scrollableAncestors:oe,scrollAdjustedTranslate:pe},T.current={initial:J,translated:fe}}),[O,q,he,fe,E,Q,J,B,S,ge,oe,pe]),function(e){let{acceleration:t,activator:n=w$.Pointer,canScroll:r,draggingRect:i,enabled:a,interval:s=5,order:l=_$.TreeOrder,pointerCoordinates:u,scrollableAncestors:c,scrollableAncestorRects:d,delta:p,threshold:f}=e;const h=function(e){let{delta:t,disabled:n}=e;const r=nq(t);return eq((e=>{if(n||!r||!e)return x$;const i=Math.sign(t.x-r.x),o=Math.sign(t.y-r.y);return{x:{[Hq.Backward]:e.x[Hq.Backward]||-1===i,[Hq.Forward]:e.x[Hq.Forward]||1===i},y:{[Hq.Backward]:e.y[Hq.Backward]||-1===o,[Hq.Forward]:e.y[Hq.Forward]||1===o}}}),[n,t,r])}({delta:p,disabled:!a}),[m,g]=function(){const e=(0,o.useRef)(null);return[(0,o.useCallback)(((t,n)=>{e.current=setInterval(t,n)}),[]),(0,o.useCallback)((()=>{null!==e.current&&(clearInterval(e.current),e.current=null)}),[])]}(),v=(0,o.useRef)({x:0,y:0}),y=(0,o.useRef)({x:0,y:0}),b=(0,o.useMemo)((()=>{switch(n){case w$.Pointer:return u?{top:u.y,bottom:u.y,left:u.x,right:u.x}:null;case w$.DraggableRect:return i}}),[n,i,u]),w=(0,o.useRef)(null),_=(0,o.useCallback)((()=>{const e=w.current;if(!e)return;const t=v.current.x*y.current.x,n=v.current.y*y.current.y;e.scrollBy(t,n)}),[]),x=(0,o.useMemo)((()=>l===_$.TreeOrder?[...c].reverse():c),[l,c]);(0,o.useEffect)((()=>{if(a&&c.length&&b){for(const e of x){if(!1===(null==r?void 0:r(e)))continue;const n=c.indexOf(e),i=d[n];if(!i)continue;const{direction:o,speed:a}=Yq(e,i,b,t,f);for(const e of["x","y"])h[e][o[e]]||(a[e]=0,o[e]=0);if(a.x>0||a.y>0)return g(),w.current=e,m(_,s),v.current=a,void(y.current=o)}v.current={x:0,y:0},y.current={x:0,y:0},g()}else g()}),[t,_,r,g,a,s,JSON.stringify(b),JSON.stringify(h),m,c,x,d,JSON.stringify(f)])}({...W,delta:C,draggingRect:fe,pointerCoordinates:le,scrollableAncestors:oe,scrollableAncestorRects:ae});const xe=(0,o.useMemo)((()=>({active:O,activeNode:q,activeNodeRect:G,activatorEvent:I,collisions:he,containerNodeRect:K,dragOverlay:X,draggableNodes:E,droppableContainers:S,droppableRects:B,over:ge,measureDroppableContainers:z,scrollableAncestors:oe,scrollableAncestorRects:ae,measuringConfiguration:F,measuringScheduled:U,windowRect:ie})),[O,q,G,I,he,K,X,E,S,B,ge,z,oe,ae,F,U,ie]),ke=(0,o.useMemo)((()=>({activatorEvent:I,activators:_e,active:O,activeNodeRect:G,ariaDescribedById:{draggable:N},dispatch:v,draggableNodes:E,over:ge,measureDroppableContainers:z})),[I,_e,O,G,v,N,E,ge,z]);return o.createElement(vq.Provider,{value:b},o.createElement(z$.Provider,{value:ke},o.createElement(U$.Provider,{value:xe},o.createElement(H$.Provider,{value:ye},u)),o.createElement(W$,{disabled:!1===(null==s?void 0:s.restoreFocus)})),o.createElement(wq,{...s,hiddenTextDescribedById:N}))})),K$=(0,o.createContext)(null),Y$="button",Z$="Droppable";const X$="Droppable",Q$={timeout:25};function J$(e,t,n){const r=e.slice();return r.splice(n<0?r.length+n:n,0,r.splice(t,1)[0]),r}function eW(e,t){return e.reduce(((e,n,r)=>{const i=t.get(n);return i&&(e[r]=i),e}),Array(e.length))}function tW(e){return null!==e&&e>=0}const nW={scaleX:1,scaleY:1},rW=e=>{var t;let{rects:n,activeNodeRect:r,activeIndex:i,overIndex:o,index:a}=e;const s=null!=(t=n[i])?t:r;if(!s)return null;const l=function(e,t,n){const r=e[t],i=e[t-1],o=e[t+1];return r&&(i||o)?n<t?i?r.left-(i.left+i.width):o.left-(r.left+r.width):o?o.left-(r.left+r.width):r.left-(i.left+i.width):0}(n,a,i);if(a===i){const e=n[o];return e?{x:i<o?e.left+e.width-(s.left+s.width):e.left-s.left,y:0,...nW}:null}return a>i&&a<=o?{x:-s.width-l,y:0,...nW}:a<i&&a>=o?{x:s.width+l,y:0,...nW}:{x:0,y:0,...nW}},iW=e=>{let{rects:t,activeIndex:n,overIndex:r,index:i}=e;const o=J$(t,r,n),a=t[i],s=o[i];return s&&a?{x:s.left-a.left,y:s.top-a.top,scaleX:s.width/a.width,scaleY:s.height/a.height}:null},oW="Sortable",aW=o.createContext({activeIndex:-1,containerId:oW,disableTransforms:!1,items:[],overIndex:-1,useDragOverlay:!1,sortedRects:[],strategy:iW,disabled:{draggable:!1,droppable:!1}});function sW(e){let{children:t,id:n,items:r,strategy:i=iW,disabled:a=!1}=e;const{active:s,dragOverlay:l,droppableRects:u,over:c,measureDroppableContainers:d}=(0,o.useContext)(U$),p=iq(oW,n),f=Boolean(null!==l.rect),h=(0,o.useMemo)((()=>r.map((e=>"object"==typeof e&&"id"in e?e.id:e))),[r]),m=null!=s,g=s?h.indexOf(s.id):-1,v=c?h.indexOf(c.id):-1,y=(0,o.useRef)(h),b=!function(e,t){if(e===t)return!0;if(e.length!==t.length)return!1;for(let n=0;n<e.length;n++)if(e[n]!==t[n])return!1;return!0}(h,y.current),w=-1!==v&&-1===g||b,_=function(e){return"boolean"==typeof e?{draggable:e,droppable:e}:e}(a);XU((()=>{b&&m&&d(h)}),[b,h,m,d]),(0,o.useEffect)((()=>{y.current=h}),[h]);const x=(0,o.useMemo)((()=>({activeIndex:g,containerId:p,disabled:_,disableTransforms:w,items:h,overIndex:v,useDragOverlay:f,sortedRects:eW(h,u),strategy:i})),[g,p,_.draggable,_.droppable,w,h,v,u,f,i]);return o.createElement(aW.Provider,{value:x},t)}const lW=e=>{let{id:t,items:n,activeIndex:r,overIndex:i}=e;return J$(n,r,i).indexOf(t)},uW=e=>{let{containerId:t,isSorting:n,wasDragging:r,index:i,items:o,newIndex:a,previousItems:s,previousContainerId:l,transition:u}=e;return!(!u||!r||s!==o&&i===a||!n&&(a===i||t!==l))},cW={duration:200,easing:"ease"},dW="transform",pW=cq.Transition.toString({property:dW,duration:0,easing:"linear"}),fW={roleDescription:"sortable"};function hW(e){let{animateLayoutChanges:t=uW,attributes:n,disabled:r,data:i,getNewIndex:a=lW,id:s,strategy:l,resizeObserverConfig:u,transition:c=cW}=e;const{items:d,containerId:p,activeIndex:f,disabled:h,disableTransforms:m,sortedRects:g,overIndex:v,useDragOverlay:y,strategy:b}=(0,o.useContext)(aW),w=function(e,t){var n,r;return"boolean"==typeof e?{draggable:e,droppable:!1}:{draggable:null!=(n=null==e?void 0:e.draggable)?n:t.draggable,droppable:null!=(r=null==e?void 0:e.droppable)?r:t.droppable}}(r,h),_=d.indexOf(s),x=(0,o.useMemo)((()=>({sortable:{containerId:p,index:_,items:d},...i})),[p,i,_,d]),k=(0,o.useMemo)((()=>d.slice(d.indexOf(s))),[d,s]),{rect:E,node:C,isOver:S,setNodeRef:A}=function(e){let{data:t,disabled:n=!1,id:r,resizeObserverConfig:i}=e;const a=iq(X$),{active:s,dispatch:l,over:u,measureDroppableContainers:c}=(0,o.useContext)(z$),d=(0,o.useRef)({disabled:n}),p=(0,o.useRef)(!1),f=(0,o.useRef)(null),h=(0,o.useRef)(null),{disabled:m,updateMeasurementsFor:g,timeout:v}={...Q$,...i},y=JU(null!=g?g:r),b=A$({callback:(0,o.useCallback)((()=>{p.current?(null!=h.current&&clearTimeout(h.current),h.current=setTimeout((()=>{c(Array.isArray(y.current)?y.current:[y.current]),h.current=null}),v)):p.current=!0}),[v]),disabled:m||!s}),w=(0,o.useCallback)(((e,t)=>{b&&(t&&(b.unobserve(t),p.current=!1),e&&b.observe(e))}),[b]),[_,x]=tq(w),k=JU(t);return(0,o.useEffect)((()=>{b&&_.current&&(b.disconnect(),p.current=!1,b.observe(_.current))}),[_,b]),XU((()=>(l({type:_q.RegisterDroppable,element:{id:r,key:a,disabled:n,node:_,rect:f,data:k}}),()=>l({type:_q.UnregisterDroppable,key:a,id:r}))),[r]),(0,o.useEffect)((()=>{n!==d.current.disabled&&(l({type:_q.SetDroppableDisabled,id:r,key:a,disabled:n}),d.current.disabled=n)}),[r,a,n,l]),{active:s,rect:f,isOver:(null==u?void 0:u.id)===r,node:_,over:u,setNodeRef:x}}({id:s,data:x,disabled:w.droppable,resizeObserverConfig:{updateMeasurementsFor:k,...u}}),{active:T,activatorEvent:O,activeNodeRect:P,attributes:D,setNodeRef:R,listeners:I,isDragging:M,over:L,setActivatorNodeRef:N,transform:j}=function(e){let{id:t,data:n,disabled:r=!1,attributes:i}=e;const a=iq(Z$),{activators:s,activatorEvent:l,active:u,activeNodeRect:c,ariaDescribedById:d,draggableNodes:p,over:f}=(0,o.useContext)(z$),{role:h=Y$,roleDescription:m="draggable",tabIndex:g=0}=null!=i?i:{},v=(null==u?void 0:u.id)===t,y=(0,o.useContext)(v?H$:K$),[b,w]=tq(),[_,x]=tq(),k=function(e,t){return(0,o.useMemo)((()=>e.reduce(((e,n)=>{let{eventName:r,handler:i}=n;return e[r]=e=>{i(e,t)},e}),{})),[e,t])}(s,t),E=JU(n);return XU((()=>(p.set(t,{id:t,key:a,node:b,activatorNode:_,data:E}),()=>{const e=p.get(t);e&&e.key===a&&p.delete(t)})),[p,t]),{active:u,activatorEvent:l,activeNodeRect:c,attributes:(0,o.useMemo)((()=>({role:h,tabIndex:g,"aria-disabled":r,"aria-pressed":!(!v||h!==Y$)||void 0,"aria-roledescription":m,"aria-describedby":d.draggable})),[r,h,g,v,m,d.draggable]),isDragging:v,listeners:r?void 0:k,node:b,over:f,setNodeRef:w,setActivatorNodeRef:x,transform:y}}({id:s,data:x,attributes:{...fW,...n},disabled:w.draggable}),F=function(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return(0,o.useMemo)((()=>e=>{t.forEach((t=>t(e)))}),t)}(A,R),B=Boolean(T),z=B&&!m&&tW(f)&&tW(v),U=!y&&M,q=U&&z?j:null,$=z?null!=q?q:(null!=l?l:b)({rects:g,activeNodeRect:P,activeIndex:f,overIndex:v,index:_}):null,W=tW(f)&&tW(v)?a({id:s,items:d,activeIndex:f,overIndex:v}):_,H=null==T?void 0:T.id,V=(0,o.useRef)({activeId:H,items:d,newIndex:W,containerId:p}),G=d!==V.current.items,K=t({active:T,containerId:p,isDragging:M,isSorting:B,id:s,index:_,items:d,newIndex:V.current.newIndex,previousItems:V.current.items,previousContainerId:V.current.containerId,transition:c,wasDragging:null!=V.current.activeId}),Y=function(e){let{disabled:t,index:n,node:r,rect:i}=e;const[a,s]=(0,o.useState)(null),l=(0,o.useRef)(n);return XU((()=>{if(!t&&n!==l.current&&r.current){const e=i.current;if(e){const t=jq(r.current,{ignoreTransform:!0}),n={x:e.left-t.left,y:e.top-t.top,scaleX:e.width/t.width,scaleY:e.height/t.height};(n.x||n.y)&&s(n)}}n!==l.current&&(l.current=n)}),[t,n,r,i]),(0,o.useEffect)((()=>{a&&s(null)}),[a]),a}({disabled:!K,index:_,node:C,rect:E});return(0,o.useEffect)((()=>{B&&V.current.newIndex!==W&&(V.current.newIndex=W),p!==V.current.containerId&&(V.current.containerId=p),d!==V.current.items&&(V.current.items=d)}),[B,W,p,d]),(0,o.useEffect)((()=>{if(H===V.current.activeId)return;if(H&&!V.current.activeId)return void(V.current.activeId=H);const e=setTimeout((()=>{V.current.activeId=H}),50);return()=>clearTimeout(e)}),[H]),{active:T,activeIndex:f,attributes:D,data:x,rect:E,index:_,newIndex:W,items:d,isOver:S,isSorting:B,isDragging:M,listeners:I,node:C,overIndex:v,over:L,setNodeRef:F,setActivatorNodeRef:N,setDroppableNodeRef:A,setDraggableNodeRef:R,transform:null!=Y?Y:$,transition:Y||G&&V.current.newIndex===_?pW:U&&!lq(O)||!c?void 0:B||K?cq.Transition.toString({...c,property:dW}):void 0}}r$.Down,r$.Right,r$.Up,r$.Left;const mW=e=>{let{containerNodeRect:t,draggingNodeRect:n,transform:r}=e;return n&&t?function(e,t,n){const r={...e};return t.top+e.y<=n.top?r.y=n.top-t.top:t.bottom+e.y>=n.top+n.height&&(r.y=n.top+n.height-t.bottom),t.left+e.x<=n.left?r.x=n.left-t.left:t.right+e.x>=n.left+n.width&&(r.x=n.left+n.width-t.right),r}(r,n,t):r};function gW(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function vW(){return vW=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},vW.apply(this,arguments)}const yW=gb("div",{target:"e1hax4ql7",label:"ImageWrapper"})("flex-basis:155px;width:155px;height:100px;margin-right:20px;margin-bottom:20px;border:",Zn.textField,";border-radius:",Yn.borderRadius,";overflow:hidden;",or.checkerboard,";",nr,";cursor:",(e=>e.sortable?"pointer":"auto"),";"),bW=gb("div",{target:"e1hax4ql6",label:"SortableImageButtonsWrapper"})({name:"1ekgmip",styles:"display:flex;justify-content:center;column-gap:10px;margin-right:20px;margin-top:-10px;margin-bottom:10px"}),wW=gb("img",{target:"e1hax4ql5",label:"StyledImage"})({name:"ukfjzf",styles:"width:100%;height:100%;object-fit:contain"});function _W(e){return xn(wW,vW({role:"presentation"},e))}function xW({onRemove:e,onReplace:t}){return xn(bW,null,xn(kA,{size:"small",type:"media",onClick:t}),xn(kA,{size:"small",type:"close",onClick:e}))}function kW(e){const{attributes:t,listeners:n,setNodeRef:r,transform:i,transition:o}=hW({id:e.id}),a={transform:cq.Transform.toString(i),transition:o},{itemValue:s,getAsset:l,field:u,onRemove:c,onReplace:d}=e;return xn("div",vW({ref:r,style:a},t,n),xn(yW,{sortable:!0},xn(_W,{src:l(s,u)||""})),xn(xW,{item:s,onRemove:c,onReplace:d}))}var EW={name:"a42x49-SortableMultiImageWrapper",styles:"display:flex;flex-wrap:wrap;label:SortableMultiImageWrapper;"};function CW({items:e,getAsset:t,field:n,onSortEnd:r,onRemoveOne:i,onReplaceOne:o}){const a={distance:4},s=Eq(kq(v$,{activationConstraint:a}),kq(b$,{activationConstraint:a}));return xn("div",{css:EW},xn(G$,{modifiers:[mW],collisionDetection:Pq,sensors:s,onDragEnd:function({active:t,over:n}){r({oldIndex:e.findIndex((e=>e.id===t.id)),newIndex:e.findIndex((e=>e.id===n.id))})}},xn(sW,{items:e},e.map(((e,r)=>xn(kW,{key:e.id,id:e.id,index:r,itemValue:e.value,getAsset:t,field:n,onRemove:i(r),onReplace:o(r)}))))))}const SW=gb("a",{target:"e1hax4ql4",label:"FileLink"})({name:"7mbjrw",styles:"margin-bottom:20px;font-weight:normal;color:inherit;&:hover,&:active,&:focus{text-decoration:underline;}"}),AW=gb("div",{target:"e1hax4ql3",label:"FileLinks"})({name:"cn3xcj",styles:"margin-bottom:12px"}),TW=gb("ul",{target:"e1hax4ql2",label:"FileLinkList"})({name:"ffhm6p",styles:"list-style-type:none"}),OW=gb("button",{target:"e1hax4ql1",label:"FileWidgetButton"})(cr.button,";",pr.badge,";margin-bottom:12px;"),PW=gb("button",{target:"e1hax4ql0",label:"FileWidgetButtonRemove"})(cr.button,";",pr.badgeDanger,";");function DW(e){return Array.isArray(e)||kr.List.isList(e)}function RW(e){return kr.List.isList(e)?e.toArray():null!=e?e:""}const IW=jl()((e=>console.warn(gs`
Decap CMS config: ${e.get("name")} field: property "options" has been deprecated for the
${e.get("widget")} widget and will be removed in the next major release. Rather than
\`field.options.media_library\`, apply media library options for this widget under
\`field.media_library\`.
`)));function MW({forImage:e}={}){var t;return t=class extends o.Component{constructor(t){super(t),gW(this,"handleChange",(t=>{const{field:n,onOpenMediaLibrary:r,value:i}=this.props;t.preventDefault();const o=this.getMediaLibraryFieldOptions();return r({controlID:this.controlID,forImage:e,privateUpload:n.get("private"),value:RW(i),allowMultiple:!!o.get("allow_multiple",!0),config:o.get("config"),field:n})})),gW(this,"handleUrl",(e=>t=>{t.preventDefault();const n=window.prompt(this.props.t(`editor.editorWidgets.${e}.promptUrl`));if(n)return this.props.onChange(n)})),gW(this,"handleRemove",(e=>(e.preventDefault(),this.props.onClearMediaControl(this.controlID),this.props.onChange("")))),gW(this,"onRemoveOne",(e=>()=>{const t=RW(this.props.value);return t.splice(e,1),this.props.onChange(function(e){return Array.isArray(e)?e.length:kr.List.isList(e)?e.size:e?1:0}(t)>0?[...t]:null)})),gW(this,"onReplaceOne",(t=>()=>{const{field:n,onOpenMediaLibrary:r,value:i}=this.props,o=this.getMediaLibraryFieldOptions();return r({controlID:this.controlID,forImage:e,privateUpload:n.get("private"),value:RW(i),replaceIndex:t,allowMultiple:!1,config:o.get("config"),field:n})})),gW(this,"getMediaLibraryFieldOptions",(()=>{const{field:e}=this.props;return e.hasIn(["options","media_library"])?(IW(e),e.getIn(["options","media_library"],(0,kr.Map)())):e.get("media_library",(0,kr.Map)())})),gW(this,"allowsMultiple",(()=>{const e=this.getMediaLibraryFieldOptions();return e.get("config",!1)&&e.get("config").get("multiple",!1)})),gW(this,"onSortEnd",(({oldIndex:e,newIndex:t})=>{const{value:n}=this.props,r=(i=n,o=e,a=t,function(e,t,n){const r=t<0?e.length+t:t;if(r>=0&&r<e.length){const r=n<0?e.length+n:n,[i]=e.splice(t,1);e.splice(r,0,i)}}(i=[...i],o,a),i);var i,o,a;return this.props.onChange(r)})),gW(this,"getValidateValue",(()=>{const{value:e}=this.props;return e?DW(e)?e.map((e=>Wo(e))):Wo(e):e})),gW(this,"renderFileLink",(e=>{if(!e||e.length<=50)return e;const t=`${e.slice(0,25)}…${e.slice(-24)}`;return xn(SW,{href:e,rel:"noopener",target:"_blank"},t)})),gW(this,"renderFileLinks",(()=>{const{value:e}=this.props;return DW(e)?xn(AW,null,xn(TW,null,e.map((e=>xn("li",{key:e},this.renderFileLink(e)))))):xn(AW,null,this.renderFileLink(e))})),gW(this,"renderImages",(()=>{const{getAsset:e,value:t,field:n}=this.props,r=function(e){return DW(e)?RW(e).map((e=>({id:xl(),value:e}))):e}(t);if(DW(t))return xn(CW,{items:r,onSortEnd:this.onSortEnd,onRemoveOne:this.onRemoveOne,onReplaceOne:this.onReplaceOne,distance:4,getAsset:e,field:n,axis:"xy",lockToContainerEdges:!0});const i=e(t,n);return xn(yW,null,xn(_W,{src:i||""}))})),gW(this,"renderSelection",(t=>{const{t:n,field:r}=this.props,i=this.allowsMultiple();return xn("div",null,e?this.renderImages():null,xn("div",null,e?null:this.renderFileLinks(),xn(OW,{onClick:this.handleChange},n(`editor.editorWidgets.${t}.${this.allowsMultiple()?"addMore":"chooseDifferent"}`)),r.get("choose_url",!0)&&!this.allowsMultiple()?xn(OW,{onClick:this.handleUrl(t)},n(`editor.editorWidgets.${t}.replaceUrl`)):null,xn(PW,{onClick:this.handleRemove},n(`editor.editorWidgets.${t}.remove${i?"All":""}`))))})),gW(this,"renderNoSelection",(e=>{const{t,field:n}=this.props;return xn(o.Fragment,null,xn(OW,{onClick:this.handleChange},t(`editor.editorWidgets.${e}.choose${this.allowsMultiple()?"Multiple":""}`)),n.get("choose_url",!0)?xn(OW,{onClick:this.handleUrl(e)},t(`editor.editorWidgets.${e}.chooseUrl`)):null)})),this.controlID=xl()}shouldComponentUpdate(e){if(this.props.value!==e.value||this.props.getAsset!==e.getAsset)return!0;const t=e.mediaPaths.get(this.controlID);return!(!t||e.value===t)}componentDidUpdate(){const{mediaPaths:e,value:t,onRemoveInsertedMedia:n,onChange:r}=this.props,i=e.get(this.controlID);i&&i!==t?r(i):i&&i===t&&n(this.controlID)}componentWillUnmount(){this.props.onRemoveMediaControl(this.controlID)}render(){const{value:t,classNameWrapper:n}=this.props,r=e?"image":"file";return xn("div",{className:n},xn("span",null,t?this.renderSelection(r):this.renderNoSelection(r)))}},gW(t,"propTypes",{field:ie().object.isRequired,getAsset:ie().func.isRequired,mediaPaths:Q_().map.isRequired,onAddAsset:ie().func.isRequired,onChange:ie().func.isRequired,onRemoveInsertedMedia:ie().func.isRequired,onOpenMediaLibrary:ie().func.isRequired,onClearMediaControl:ie().func.isRequired,onRemoveMediaControl:ie().func.isRequired,classNameWrapper:ie().string.isRequired,value:ie().oneOfType([ie().string,ie().arrayOf(ie().string),Q_().listOf(ie().string)]),t:ie().func.isRequired}),gW(t,"defaultProps",{value:""}),t}const LW=gb((({href:e,path:t})=>xn("a",{href:e,rel:"noopener noreferrer",target:"_blank"},t)),{target:"ecp3s3o0",label:"FileLink"})({name:"4zleql",styles:"display:block"});function NW({values:e,getAsset:t,field:n}){return xn("div",null,e.map((e=>xn(LW,{key:e,path:e,href:t(e,n)}))))}function jW(e){const{value:t,getAsset:n,field:r}=e;return Array.isArray(t)||kr.List.isList(t)?xn(NW,{values:t,getAsset:n,field:r}):xn(LW,{key:t,path:t,href:n(t,r)})}function FW(e){return xn(oU,null,e.value?xn(jW,e):null)}FW.propTypes={getAsset:ie().func.isRequired,value:ie().node};const BW=FW,zW={properties:{allow_multiple:{type:"boolean"}}};function UW(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function qW(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const $W=MW(),WW={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?UW(Object(n),!0).forEach((function(t){qW(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):UW(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"file",controlComponent:$W,previewComponent:BW,schema:zW},e)},controlComponent:$W,previewComponent:BW,withFileControl:MW},HW=WW,VW=gb((({src:e})=>xn("img",{src:e||"",role:"presentation"})),{target:"eeuykvb0",label:"StyledImage"})({name:"waguu7",styles:"display:block;max-width:100%;height:auto"});function GW({getAsset:e,value:t,field:n}){return xn(VW,{src:e(t,n)})}function KW(e){const{value:t,getAsset:n,field:r}=e;return Array.isArray(t)||kr.List.isList(t)?t.map(((e,t)=>xn(GW,{key:t,value:e,getAsset:n,field:r}))):xn(GW,e)}function YW(e){return xn(oU,null,e.value?xn(KW,e):null)}YW.propTypes={getAsset:ie().func.isRequired,value:ie().node};const ZW=YW,XW={properties:{allow_multiple:{type:"boolean"}}};function QW(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function JW(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const eH=HW.withFileControl({forImage:!0}),tH={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?QW(Object(n),!0).forEach((function(t){JW(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):QW(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"image",controlComponent:eH,previewComponent:ZW,schema:XW},e)},controlComponent:eH,previewComponent:ZW},nH=tH;var rH=__webpack_require__(55281),iH=__webpack_require__.n(rH);function oH(e,t){if(null==e)return{};var n,r,i=g(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}var aH=__webpack_require__(86522),sH=__webpack_require__(18726);function lH(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}var uH=__webpack_require__(45850);function cH(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,(0,uH.Z)(r.key),r)}}function dH(e,t,n){return t&&cH(e.prototype,t),n&&cH(e,n),Object.defineProperty(e,"prototype",{writable:!1}),e}function pH(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),Object.defineProperty(e,"prototype",{writable:!1}),t&&te(e,t)}var fH=__webpack_require__(56666);function hH(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function mH(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function gH(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?mH(Object(n),!0).forEach((function(t){hH(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):mH(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function vH(e){return vH=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)},vH(e)}function yH(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var n,r=vH(e);if(t){var i=vH(this).constructor;n=Reflect.construct(r,arguments,i)}else n=r.apply(this,arguments);return function(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}(this,n)}}var bH=function(){};function wH(e,t){return t?"-"===t[0]?e+t:e+"__"+t:e}function _H(e,t,n){var r=[n];if(t&&e)for(var i in t)t.hasOwnProperty(i)&&t[i]&&r.push("".concat(wH(e,i)));return r.filter((function(e){return e})).map((function(e){return String(e).trim()})).join(" ")}var xH=function(e){return Array.isArray(e)?e.filter(Boolean):"object"===(0,aH.Z)(e)&&null!==e?[e]:[]},kH=function(e){return e.className,e.clearValue,e.cx,e.getStyles,e.getValue,e.hasValue,e.isMulti,e.isRtl,e.options,e.selectOption,e.selectProps,e.setValue,e.theme,gH({},oH(e,["className","clearValue","cx","getStyles","getValue","hasValue","isMulti","isRtl","options","selectOption","selectProps","setValue","theme"]))};function EH(e){return[document.documentElement,document.body,window].indexOf(e)>-1}function CH(e){return EH(e)?window.pageYOffset:e.scrollTop}function SH(e,t){EH(e)?window.scrollTo(0,t):e.scrollTop=t}function AH(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:200,r=arguments.length>3&&void 0!==arguments[3]?arguments[3]:bH,i=CH(e),o=t-i,a=0;!function t(){var s=function(e,t,n,r){return n*((e=e/r-1)*e*e+1)+t}(a+=10,i,o,n);SH(e,s),a<n?window.requestAnimationFrame(t):r(e)}()}function TH(){try{return document.createEvent("TouchEvent"),!0}catch(e){return!1}}var OH=!1,PH={get passive(){return OH=!0}},DH="undefined"!=typeof window?window:{};DH.addEventListener&&DH.removeEventListener&&(DH.addEventListener("p",bH,PH),DH.removeEventListener("p",bH,!1));var RH=OH;var IH=function(e){return"auto"===e?"bottom":e},MH=(0,o.createContext)({getPortalPlacement:null}),LH=function(e){pH(n,e);var t=yH(n);function n(){var e;lH(this,n);for(var r=arguments.length,i=new Array(r),o=0;o<r;o++)i[o]=arguments[o];return(e=t.call.apply(t,[this].concat(i))).state={maxHeight:e.props.maxMenuHeight,placement:null},e.getPlacement=function(t){var n=e.props,r=n.minMenuHeight,i=n.maxMenuHeight,o=n.menuPlacement,a=n.menuPosition,s=n.menuShouldScrollIntoView,l=n.theme;if(t){var u="fixed"===a,c=function(e){var t=e.maxHeight,n=e.menuEl,r=e.minHeight,i=e.placement,o=e.shouldScroll,a=e.isFixedPosition,s=e.theme.spacing,l=function(e){var t=getComputedStyle(e),n="absolute"===t.position,r=/(auto|scroll)/,i=document.documentElement;if("fixed"===t.position)return i;for(var o=e;o=o.parentElement;)if(t=getComputedStyle(o),(!n||"static"!==t.position)&&r.test(t.overflow+t.overflowY+t.overflowX))return o;return i}(n),u={placement:"bottom",maxHeight:t};if(!n||!n.offsetParent)return u;var c=l.getBoundingClientRect().height,d=n.getBoundingClientRect(),p=d.bottom,f=d.height,h=d.top,m=n.offsetParent.getBoundingClientRect().top,g=window.innerHeight,v=CH(l),y=parseInt(getComputedStyle(n).marginBottom,10),b=parseInt(getComputedStyle(n).marginTop,10),w=m-b,_=g-h,x=w+v,k=c-v-h,E=p-g+v+y,C=v+h-b,S=160;switch(i){case"auto":case"bottom":if(_>=f)return{placement:"bottom",maxHeight:t};if(k>=f&&!a)return o&&AH(l,E,S),{placement:"bottom",maxHeight:t};if(!a&&k>=r||a&&_>=r)return o&&AH(l,E,S),{placement:"bottom",maxHeight:a?_-y:k-y};if("auto"===i||a){var A=t,T=a?w:x;return T>=r&&(A=Math.min(T-y-s.controlHeight,t)),{placement:"top",maxHeight:A}}if("bottom"===i)return o&&SH(l,E),{placement:"bottom",maxHeight:t};break;case"top":if(w>=f)return{placement:"top",maxHeight:t};if(x>=f&&!a)return o&&AH(l,C,S),{placement:"top",maxHeight:t};if(!a&&x>=r||a&&w>=r){var O=t;return(!a&&x>=r||a&&w>=r)&&(O=a?w-b:x-b),o&&AH(l,C,S),{placement:"top",maxHeight:O}}return{placement:"bottom",maxHeight:t};default:throw new Error('Invalid placement provided "'.concat(i,'".'))}return u}({maxHeight:i,menuEl:t,minHeight:r,placement:o,shouldScroll:s&&!u,isFixedPosition:u,theme:l}),d=e.context.getPortalPlacement;d&&d(c),e.setState(c)}},e.getUpdatedProps=function(){var t=e.props.menuPlacement,n=e.state.placement||IH(t);return gH(gH({},e.props),{},{placement:n,maxHeight:e.state.maxHeight})},e}return dH(n,[{key:"render",value:function(){return(0,this.props.children)({ref:this.getPlacement,placerProps:this.getUpdatedProps()})}}]),n}(o.Component);LH.contextType=MH;var NH=function(e){var t=e.theme,n=t.spacing.baseUnit;return{color:t.colors.neutral40,padding:"".concat(2*n,"px ").concat(3*n,"px"),textAlign:"center"}},jH=NH,FH=NH,BH=function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps;return xn("div",m({css:i("noOptionsMessage",e),className:r({"menu-notice":!0,"menu-notice--no-options":!0},n)},o),t)};BH.defaultProps={children:"No options"};var zH=function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps;return xn("div",m({css:i("loadingMessage",e),className:r({"menu-notice":!0,"menu-notice--loading":!0},n)},o),t)};zH.defaultProps={children:"Loading..."};var UH,qH=function(e){pH(n,e);var t=yH(n);function n(){var e;lH(this,n);for(var r=arguments.length,i=new Array(r),o=0;o<r;o++)i[o]=arguments[o];return(e=t.call.apply(t,[this].concat(i))).state={placement:null},e.getPortalPlacement=function(t){var n=t.placement;n!==IH(e.props.menuPlacement)&&e.setState({placement:n})},e}return dH(n,[{key:"render",value:function(){var e=this.props,t=e.appendTo,n=e.children,r=e.className,i=e.controlElement,o=e.cx,a=e.innerProps,s=e.menuPlacement,l=e.menuPosition,u=e.getStyles,c="fixed"===l;if(!t&&!c||!i)return null;var d=this.state.placement||IH(s),p=function(e){var t=e.getBoundingClientRect();return{bottom:t.bottom,height:t.height,left:t.left,right:t.right,top:t.top,width:t.width}}(i),f=c?0:window.pageYOffset,h=p[d]+f,g=xn("div",m({css:u("menuPortal",{offset:h,position:l,rect:p}),className:o({"menu-portal":!0},r)},a),n);return xn(MH.Provider,{value:{getPortalPlacement:this.getPortalPlacement}},t?(0,ee.createPortal)(g,t):g)}}]),n}(o.Component),$H={name:"8mmkcg",styles:"display:inline-block;fill:currentColor;line-height:1;stroke:currentColor;stroke-width:0"},WH=function(e){var t=e.size,n=oH(e,["size"]);return xn("svg",m({height:t,width:t,viewBox:"0 0 20 20","aria-hidden":"true",focusable:"false",css:$H},n))},HH=function(e){return xn(WH,m({size:20},e),xn("path",{d:"M14.348 14.849c-0.469 0.469-1.229 0.469-1.697 0l-2.651-3.030-2.651 3.029c-0.469 0.469-1.229 0.469-1.697 0-0.469-0.469-0.469-1.229 0-1.697l2.758-3.15-2.759-3.152c-0.469-0.469-0.469-1.228 0-1.697s1.228-0.469 1.697 0l2.652 3.031 2.651-3.031c0.469-0.469 1.228-0.469 1.697 0s0.469 1.229 0 1.697l-2.758 3.152 2.758 3.15c0.469 0.469 0.469 1.229 0 1.698z"}))},VH=function(e){return xn(WH,m({size:20},e),xn("path",{d:"M4.516 7.548c0.436-0.446 1.043-0.481 1.576 0l3.908 3.747 3.908-3.747c0.533-0.481 1.141-0.446 1.574 0 0.436 0.445 0.408 1.197 0 1.615-0.406 0.418-4.695 4.502-4.695 4.502-0.217 0.223-0.502 0.335-0.787 0.335s-0.57-0.112-0.789-0.335c0 0-4.287-4.084-4.695-4.502s-0.436-1.17 0-1.615z"}))},GH=function(e){var t=e.isFocused,n=e.theme,r=n.spacing.baseUnit,i=n.colors;return{label:"indicatorContainer",color:t?i.neutral60:i.neutral20,display:"flex",padding:2*r,transition:"color 150ms",":hover":{color:t?i.neutral80:i.neutral40}}},KH=GH,YH=GH,ZH=Cn(UH||(UH=function(e,t){return t||(t=e.slice(0)),Object.freeze(Object.defineProperties(e,{raw:{value:Object.freeze(t)}}))}(["\n 0%, 80%, 100% { opacity: 0; }\n 40% { opacity: 1; }\n"]))),XH=function(e){var t=e.delay,n=e.offset;return xn("span",{css:En({animation:"".concat(ZH," 1s ease-in-out ").concat(t,"ms infinite;"),backgroundColor:"currentColor",borderRadius:"1em",display:"inline-block",marginLeft:n?"1em":null,height:"1em",verticalAlign:"top",width:"1em"},"","")})},QH=function(e){var t=e.className,n=e.cx,r=e.getStyles,i=e.innerProps,o=e.isRtl;return xn("div",m({css:r("loadingIndicator",e),className:n({indicator:!0,"loading-indicator":!0},t)},i),xn(XH,{delay:0,offset:o}),xn(XH,{delay:160,offset:!0}),xn(XH,{delay:320,offset:!o}))};QH.defaultProps={size:4};var JH=function(e){return{label:"input",background:0,border:0,fontSize:"inherit",opacity:e?0:1,outline:0,padding:0,color:"inherit"}},eV=function(e){var t=e.children,n=e.innerProps;return xn("div",n,t)},tV=eV,nV=eV,rV=function(e){var t=e.children,n=e.className,r=e.components,i=e.cx,o=e.data,a=e.getStyles,s=e.innerProps,l=e.isDisabled,u=e.removeProps,c=e.selectProps,d=r.Container,p=r.Label,f=r.Remove;return xn(Tn,null,(function(r){var h=r.css,m=r.cx;return xn(d,{data:o,innerProps:gH({className:m(h(a("multiValue",e)),i({"multi-value":!0,"multi-value--is-disabled":l},n))},s),selectProps:c},xn(p,{data:o,innerProps:{className:m(h(a("multiValueLabel",e)),i({"multi-value__label":!0},n))},selectProps:c},t),xn(f,{data:o,innerProps:gH({className:m(h(a("multiValueRemove",e)),i({"multi-value__remove":!0},n))},u),selectProps:c}))}))};rV.defaultProps={cropWithEllipsis:!0};var iV={ClearIndicator:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps;return xn("div",m({css:i("clearIndicator",e),className:r({indicator:!0,"clear-indicator":!0},n)},o),t||xn(HH,null))},Control:function(e){var t=e.children,n=e.cx,r=e.getStyles,i=e.className,o=e.isDisabled,a=e.isFocused,s=e.innerRef,l=e.innerProps,u=e.menuIsOpen;return xn("div",m({ref:s,css:r("control",e),className:n({control:!0,"control--is-disabled":o,"control--is-focused":a,"control--menu-is-open":u},i)},l),t)},DropdownIndicator:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps;return xn("div",m({css:i("dropdownIndicator",e),className:r({indicator:!0,"dropdown-indicator":!0},n)},o),t||xn(VH,null))},DownChevron:VH,CrossIcon:HH,Group:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.Heading,a=e.headingProps,s=e.innerProps,l=e.label,u=e.theme,c=e.selectProps;return xn("div",m({css:i("group",e),className:r({group:!0},n)},s),xn(o,m({},a,{selectProps:c,theme:u,getStyles:i,cx:r}),l),xn("div",null,t))},GroupHeading:function(e){var t=e.getStyles,n=e.cx,r=e.className,i=kH(e);i.data;var o=oH(i,["data"]);return xn("div",m({css:t("groupHeading",e),className:n({"group-heading":!0},r)},o))},IndicatorsContainer:function(e){var t=e.children,n=e.className,r=e.cx,i=e.innerProps,o=e.getStyles;return xn("div",m({css:o("indicatorsContainer",e),className:r({indicators:!0},n)},i),t)},IndicatorSeparator:function(e){var t=e.className,n=e.cx,r=e.getStyles,i=e.innerProps;return xn("span",m({},i,{css:r("indicatorSeparator",e),className:n({"indicator-separator":!0},t)}))},Input:function(e){var t=e.className,n=e.cx,r=e.getStyles,i=kH(e),o=i.innerRef,a=i.isDisabled,s=i.isHidden,l=oH(i,["innerRef","isDisabled","isHidden"]);return xn("div",{css:r("input",e)},xn(sH.Z,m({className:n({input:!0},t),inputRef:o,inputStyle:JH(s),disabled:a},l)))},LoadingIndicator:QH,Menu:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerRef,a=e.innerProps;return xn("div",m({css:i("menu",e),className:r({menu:!0},n),ref:o},a),t)},MenuList:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps,a=e.innerRef,s=e.isMulti;return xn("div",m({css:i("menuList",e),className:r({"menu-list":!0,"menu-list--is-multi":s},n),ref:a},o),t)},MenuPortal:qH,LoadingMessage:zH,NoOptionsMessage:BH,MultiValue:rV,MultiValueContainer:tV,MultiValueLabel:nV,MultiValueRemove:function(e){var t=e.children,n=e.innerProps;return xn("div",n,t||xn(HH,{size:14}))},Option:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.isDisabled,a=e.isFocused,s=e.isSelected,l=e.innerRef,u=e.innerProps;return xn("div",m({css:i("option",e),className:r({option:!0,"option--is-disabled":o,"option--is-focused":a,"option--is-selected":s},n),ref:l},u),t)},Placeholder:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps;return xn("div",m({css:i("placeholder",e),className:r({placeholder:!0},n)},o),t)},SelectContainer:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.innerProps,a=e.isDisabled,s=e.isRtl;return xn("div",m({css:i("container",e),className:r({"--is-disabled":a,"--is-rtl":s},n)},o),t)},SingleValue:function(e){var t=e.children,n=e.className,r=e.cx,i=e.getStyles,o=e.isDisabled,a=e.innerProps;return xn("div",m({css:i("singleValue",e),className:r({"single-value":!0,"single-value--is-disabled":o},n)},a),t)},ValueContainer:function(e){var t=e.children,n=e.className,r=e.cx,i=e.innerProps,o=e.isMulti,a=e.getStyles,s=e.hasValue;return xn("div",m({css:a("valueContainer",e),className:r({"value-container":!0,"value-container--is-multi":o,"value-container--has-value":s},n)},i),t)}};function oV(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function aV(e){return function(e){if(Array.isArray(e))return oV(e)}(e)||function(e){if("undefined"!=typeof Symbol&&null!=e[Symbol.iterator]||null!=e["@@iterator"])return Array.from(e)}(e)||function(e,t){if(e){if("string"==typeof e)return oV(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?oV(e,t):void 0}}(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}for(var sV={name:"7pg0cj-a11yText",styles:"label:a11yText;z-index:9999;border:0;clip:rect(1px, 1px, 1px, 1px);height:1px;width:1px;position:absolute;overflow:hidden;padding:0;white-space:nowrap"},lV=function(e){return xn("span",m({css:sV},e))},uV={guidance:function(e){var t=e.isSearchable,n=e.isMulti,r=e.isDisabled,i=e.tabSelectsValue;switch(e.context){case"menu":return"Use Up and Down to choose options".concat(r?"":", press Enter to select the currently focused option",", press Escape to exit the menu").concat(i?", press Tab to select the option and exit the menu":"",".");case"input":return"".concat(e["aria-label"]||"Select"," is focused ").concat(t?",type to refine list":"",", press Down to open the menu, ").concat(n?" press left to focus selected values":"");case"value":return"Use left and right to toggle between focused values, press Backspace to remove the currently focused value";default:return""}},onChange:function(e){var t=e.action,n=e.label,r=void 0===n?"":n,i=e.isDisabled;switch(t){case"deselect-option":case"pop-value":case"remove-value":return"option ".concat(r,", deselected.");case"select-option":return"option ".concat(r,i?" is disabled. Select another option.":", selected.");default:return""}},onFocus:function(e){var t=e.context,n=e.focused,r=void 0===n?{}:n,i=e.options,o=e.label,a=void 0===o?"":o,s=e.selectValue,l=e.isDisabled,u=e.isSelected,c=function(e,t){return e&&e.length?"".concat(e.indexOf(t)+1," of ").concat(e.length):""};if("value"===t&&s)return"value ".concat(a," focused, ").concat(c(s,r),".");if("menu"===t){var d=l?" disabled":"",p="".concat(u?"selected":"focused").concat(d);return"option ".concat(a," ").concat(p,", ").concat(c(i,r),".")}return""},onFilter:function(e){var t=e.inputValue,n=e.resultsMessage;return"".concat(n).concat(t?" for search term "+t:"",".")}},cV=function(e){var t=e.ariaSelection,n=e.focusedOption,r=e.focusedValue,i=e.focusableOptions,a=e.isFocused,s=e.selectValue,l=e.selectProps,u=l.ariaLiveMessages,c=l.getOptionLabel,d=l.inputValue,p=l.isMulti,f=l.isOptionDisabled,h=l.isSearchable,m=l.menuIsOpen,g=l.options,v=l.screenReaderStatus,y=l.tabSelectsValue,b=l["aria-label"],w=l["aria-live"],_=(0,o.useMemo)((function(){return gH(gH({},uV),u||{})}),[u]),x=(0,o.useMemo)((function(){var e,n="";if(t&&_.onChange){var r=t.option,i=t.removedValue,o=t.value,a=i||r||(e=o,Array.isArray(e)?null:e),s=gH({isDisabled:a&&f(a),label:a?c(a):""},t);n=_.onChange(s)}return n}),[t,f,c,_]),k=(0,o.useMemo)((function(){var e="",t=n||r,i=!!(n&&s&&s.includes(n));if(t&&_.onFocus){var o={focused:t,label:c(t),isDisabled:f(t),isSelected:i,options:g,context:t===n?"menu":"value",selectValue:s};e=_.onFocus(o)}return e}),[n,r,c,f,_,g,s]),E=(0,o.useMemo)((function(){var e="";if(m&&g.length&&_.onFilter){var t=v({count:i.length});e=_.onFilter({inputValue:d,resultsMessage:t})}return e}),[i,d,m,_,g,v]),C=(0,o.useMemo)((function(){var e="";if(_.guidance){var t=r?"value":m?"menu":"input";e=_.guidance({"aria-label":b,context:t,isDisabled:n&&f(n),isMulti:p,isSearchable:h,tabSelectsValue:y})}return e}),[b,n,r,p,f,h,m,_,y]),S="".concat(k," ").concat(E," ").concat(C);return xn(lV,{"aria-live":w,"aria-atomic":"false","aria-relevant":"additions text"},a&&xn(o.Fragment,null,xn("span",{id:"aria-selection"},x),xn("span",{id:"aria-context"},S)))},dV=[{base:"A",letters:"AⒶÀÁÂẦẤẪẨÃĀĂẰẮẴẲȦǠÄǞẢÅǺǍȀȂẠẬẶḀĄȺⱯ"},{base:"AA",letters:"Ꜳ"},{base:"AE",letters:"ÆǼǢ"},{base:"AO",letters:"Ꜵ"},{base:"AU",letters:"Ꜷ"},{base:"AV",letters:"ꜸꜺ"},{base:"AY",letters:"Ꜽ"},{base:"B",letters:"BⒷḂḄḆɃƂƁ"},{base:"C",letters:"CⒸĆĈĊČÇḈƇȻꜾ"},{base:"D",letters:"DⒹḊĎḌḐḒḎĐƋƊƉꝹ"},{base:"DZ",letters:"DZDŽ"},{base:"Dz",letters:"DzDž"},{base:"E",letters:"EⒺÈÉÊỀẾỄỂẼĒḔḖĔĖËẺĚȄȆẸỆȨḜĘḘḚƐƎ"},{base:"F",letters:"FⒻḞƑꝻ"},{base:"G",letters:"GⒼǴĜḠĞĠǦĢǤƓꞠꝽꝾ"},{base:"H",letters:"HⒽĤḢḦȞḤḨḪĦⱧⱵꞍ"},{base:"I",letters:"IⒾÌÍÎĨĪĬİÏḮỈǏȈȊỊĮḬƗ"},{base:"J",letters:"JⒿĴɈ"},{base:"K",letters:"KⓀḰǨḲĶḴƘⱩꝀꝂꝄꞢ"},{base:"L",letters:"LⓁĿĹĽḶḸĻḼḺŁȽⱢⱠꝈꝆꞀ"},{base:"LJ",letters:"LJ"},{base:"Lj",letters:"Lj"},{base:"M",letters:"MⓂḾṀṂⱮƜ"},{base:"N",letters:"NⓃǸŃÑṄŇṆŅṊṈȠƝꞐꞤ"},{base:"NJ",letters:"NJ"},{base:"Nj",letters:"Nj"},{base:"O",letters:"OⓄÒÓÔỒỐỖỔÕṌȬṎŌṐṒŎȮȰÖȪỎŐǑȌȎƠỜỚỠỞỢỌỘǪǬØǾƆƟꝊꝌ"},{base:"OI",letters:"Ƣ"},{base:"OO",letters:"Ꝏ"},{base:"OU",letters:"Ȣ"},{base:"P",letters:"PⓅṔṖƤⱣꝐꝒꝔ"},{base:"Q",letters:"QⓆꝖꝘɊ"},{base:"R",letters:"RⓇŔṘŘȐȒṚṜŖṞɌⱤꞦꞂ"},{base:"S",letters:"SⓈẞŚṤŜṠŠṦṢṨȘŞⱾꞨꞄ"},{base:"T",letters:"TⓉṪŤṬȚŢṰṮŦƬƮȾꞆ"},{base:"TZ",letters:"Ꜩ"},{base:"U",letters:"UⓊÙÚÛŨṸŪṺŬÜǛǗǕǙỦŮŰǓȔȖƯỪỨỮỬỰỤṲŲṶṴɄ"},{base:"V",letters:"VⓋṼṾƲꝞɅ"},{base:"VY",letters:"Ꝡ"},{base:"W",letters:"WⓌẀẂŴẆẄẈⱲ"},{base:"X",letters:"XⓍẊẌ"},{base:"Y",letters:"YⓎỲÝŶỸȲẎŸỶỴƳɎỾ"},{base:"Z",letters:"ZⓏŹẐŻŽẒẔƵȤⱿⱫꝢ"},{base:"a",letters:"aⓐẚàáâầấẫẩãāăằắẵẳȧǡäǟảåǻǎȁȃạậặḁąⱥɐ"},{base:"aa",letters:"ꜳ"},{base:"ae",letters:"æǽǣ"},{base:"ao",letters:"ꜵ"},{base:"au",letters:"ꜷ"},{base:"av",letters:"ꜹꜻ"},{base:"ay",letters:"ꜽ"},{base:"b",letters:"bⓑḃḅḇƀƃɓ"},{base:"c",letters:"cⓒćĉċčçḉƈȼꜿↄ"},{base:"d",letters:"dⓓḋďḍḑḓḏđƌɖɗꝺ"},{base:"dz",letters:"dzdž"},{base:"e",letters:"eⓔèéêềếễểẽēḕḗĕėëẻěȅȇẹệȩḝęḙḛɇɛǝ"},{base:"f",letters:"fⓕḟƒꝼ"},{base:"g",letters:"gⓖǵĝḡğġǧģǥɠꞡᵹꝿ"},{base:"h",letters:"hⓗĥḣḧȟḥḩḫẖħⱨⱶɥ"},{base:"hv",letters:"ƕ"},{base:"i",letters:"iⓘìíîĩīĭïḯỉǐȉȋịįḭɨı"},{base:"j",letters:"jⓙĵǰɉ"},{base:"k",letters:"kⓚḱǩḳķḵƙⱪꝁꝃꝅꞣ"},{base:"l",letters:"lⓛŀĺľḷḹļḽḻſłƚɫⱡꝉꞁꝇ"},{base:"lj",letters:"lj"},{base:"m",letters:"mⓜḿṁṃɱɯ"},{base:"n",letters:"nⓝǹńñṅňṇņṋṉƞɲʼnꞑꞥ"},{base:"nj",letters:"nj"},{base:"o",letters:"oⓞòóôồốỗổõṍȭṏōṑṓŏȯȱöȫỏőǒȍȏơờớỡởợọộǫǭøǿɔꝋꝍɵ"},{base:"oi",letters:"ƣ"},{base:"ou",letters:"ȣ"},{base:"oo",letters:"ꝏ"},{base:"p",letters:"pⓟṕṗƥᵽꝑꝓꝕ"},{base:"q",letters:"qⓠɋꝗꝙ"},{base:"r",letters:"rⓡŕṙřȑȓṛṝŗṟɍɽꝛꞧꞃ"},{base:"s",letters:"sⓢßśṥŝṡšṧṣṩșşȿꞩꞅẛ"},{base:"t",letters:"tⓣṫẗťṭțţṱṯŧƭʈⱦꞇ"},{base:"tz",letters:"ꜩ"},{base:"u",letters:"uⓤùúûũṹūṻŭüǜǘǖǚủůűǔȕȗưừứữửựụṳųṷṵʉ"},{base:"v",letters:"vⓥṽṿʋꝟʌ"},{base:"vy",letters:"ꝡ"},{base:"w",letters:"wⓦẁẃŵẇẅẘẉⱳ"},{base:"x",letters:"xⓧẋẍ"},{base:"y",letters:"yⓨỳýŷỹȳẏÿỷẙỵƴɏỿ"},{base:"z",letters:"zⓩźẑżžẓẕƶȥɀⱬꝣ"}],pV=new RegExp("["+dV.map((function(e){return e.letters})).join("")+"]","g"),fV={},hV=0;hV<dV.length;hV++)for(var mV=dV[hV],gV=0;gV<mV.letters.length;gV++)fV[mV.letters[gV]]=mV.base;var vV=function(e){return e.replace(pV,(function(e){return fV[e]}))},yV=hk(vV),bV=function(e){return e.replace(/^\s+|\s+$/g,"")},wV=function(e){return"".concat(e.label," ").concat(e.value)};function _V(e){e.in,e.out,e.onExited,e.appear,e.enter,e.exit;var t=e.innerRef;e.emotion;var n=oH(e,["in","out","onExited","appear","enter","exit","innerRef","emotion"]);return xn("input",m({ref:t},n,{css:En({label:"dummyInput",background:0,border:0,fontSize:"inherit",outline:0,padding:0,width:1,color:"transparent",left:-100,opacity:0,position:"relative",transform:"scale(0)"},"","")}))}var xV=["boxSizing","height","overflow","paddingRight","position"],kV={boxSizing:"border-box",overflow:"hidden",position:"relative",height:"100%"};function EV(e){e.preventDefault()}function CV(e){e.stopPropagation()}function SV(){var e=this.scrollTop,t=this.scrollHeight,n=e+this.offsetHeight;0===e?this.scrollTop=1:n===t&&(this.scrollTop=e-1)}function AV(){return"ontouchstart"in window||navigator.maxTouchPoints}var TV=!("undefined"==typeof window||!window.document||!window.document.createElement),OV=0,PV={capture:!1,passive:!1},DV=function(){return document.activeElement&&document.activeElement.blur()},RV={name:"1kfdb0e",styles:"position:fixed;left:0;bottom:0;right:0;top:0"};function IV(e){var t=e.children,n=e.lockEnabled,r=e.captureEnabled,i=function(e){var t=e.isEnabled,n=e.onBottomArrive,r=e.onBottomLeave,i=e.onTopArrive,a=e.onTopLeave,s=(0,o.useRef)(!1),l=(0,o.useRef)(!1),u=(0,o.useRef)(0),c=(0,o.useRef)(null),d=(0,o.useCallback)((function(e,t){if(null!==c.current){var o=c.current,u=o.scrollTop,d=o.scrollHeight,p=o.clientHeight,f=c.current,h=t>0,m=d-p-u,g=!1;m>t&&s.current&&(r&&r(e),s.current=!1),h&&l.current&&(a&&a(e),l.current=!1),h&&t>m?(n&&!s.current&&n(e),f.scrollTop=d,g=!0,s.current=!0):!h&&-t>u&&(i&&!l.current&&i(e),f.scrollTop=0,g=!0,l.current=!0),g&&function(e){e.preventDefault(),e.stopPropagation()}(e)}}),[]),p=(0,o.useCallback)((function(e){d(e,e.deltaY)}),[d]),f=(0,o.useCallback)((function(e){u.current=e.changedTouches[0].clientY}),[]),h=(0,o.useCallback)((function(e){var t=u.current-e.changedTouches[0].clientY;d(e,t)}),[d]),m=(0,o.useCallback)((function(e){if(e){var t=!!RH&&{passive:!1};"function"==typeof e.addEventListener&&e.addEventListener("wheel",p,t),"function"==typeof e.addEventListener&&e.addEventListener("touchstart",f,t),"function"==typeof e.addEventListener&&e.addEventListener("touchmove",h,t)}}),[h,f,p]),g=(0,o.useCallback)((function(e){e&&("function"==typeof e.removeEventListener&&e.removeEventListener("wheel",p,!1),"function"==typeof e.removeEventListener&&e.removeEventListener("touchstart",f,!1),"function"==typeof e.removeEventListener&&e.removeEventListener("touchmove",h,!1))}),[h,f,p]);return(0,o.useEffect)((function(){if(t){var e=c.current;return m(e),function(){g(e)}}}),[t,m,g]),function(e){c.current=e}}({isEnabled:void 0===r||r,onBottomArrive:e.onBottomArrive,onBottomLeave:e.onBottomLeave,onTopArrive:e.onTopArrive,onTopLeave:e.onTopLeave}),a=function(e){var t=e.isEnabled,n=e.accountForScrollbars,r=void 0===n||n,i=(0,o.useRef)({}),a=(0,o.useRef)(null),s=(0,o.useCallback)((function(e){if(TV){var t=document.body,n=t&&t.style;if(r&&xV.forEach((function(e){var t=n&&n[e];i.current[e]=t})),r&&OV<1){var o=parseInt(i.current.paddingRight,10)||0,a=document.body?document.body.clientWidth:0,s=window.innerWidth-a+o||0;Object.keys(kV).forEach((function(e){var t=kV[e];n&&(n[e]=t)})),n&&(n.paddingRight="".concat(s,"px"))}t&&AV()&&(t.addEventListener("touchmove",EV,PV),e&&(e.addEventListener("touchstart",SV,PV),e.addEventListener("touchmove",CV,PV))),OV+=1}}),[]),l=(0,o.useCallback)((function(e){if(TV){var t=document.body,n=t&&t.style;OV=Math.max(OV-1,0),r&&OV<1&&xV.forEach((function(e){var t=i.current[e];n&&(n[e]=t)})),t&&AV()&&(t.removeEventListener("touchmove",EV,PV),e&&(e.removeEventListener("touchstart",SV,PV),e.removeEventListener("touchmove",CV,PV)))}}),[]);return(0,o.useEffect)((function(){if(t){var e=a.current;return s(e),function(){l(e)}}}),[t,s,l]),function(e){a.current=e}}({isEnabled:n});return xn(o.Fragment,null,n&&xn("div",{onClick:DV,css:RV}),t((function(e){i(e),a(e)})))}var MV={clearIndicator:YH,container:function(e){var t=e.isDisabled;return{label:"container",direction:e.isRtl?"rtl":null,pointerEvents:t?"none":null,position:"relative"}},control:function(e){var t=e.isDisabled,n=e.isFocused,r=e.theme,i=r.colors,o=r.borderRadius,a=r.spacing;return{label:"control",alignItems:"center",backgroundColor:t?i.neutral5:i.neutral0,borderColor:t?i.neutral10:n?i.primary:i.neutral20,borderRadius:o,borderStyle:"solid",borderWidth:1,boxShadow:n?"0 0 0 1px ".concat(i.primary):null,cursor:"default",display:"flex",flexWrap:"wrap",justifyContent:"space-between",minHeight:a.controlHeight,outline:"0 !important",position:"relative",transition:"all 100ms","&:hover":{borderColor:n?i.primary:i.neutral30}}},dropdownIndicator:KH,group:function(e){var t=e.theme.spacing;return{paddingBottom:2*t.baseUnit,paddingTop:2*t.baseUnit}},groupHeading:function(e){var t=e.theme.spacing;return{label:"group",color:"#999",cursor:"default",display:"block",fontSize:"75%",fontWeight:"500",marginBottom:"0.25em",paddingLeft:3*t.baseUnit,paddingRight:3*t.baseUnit,textTransform:"uppercase"}},indicatorsContainer:function(){return{alignItems:"center",alignSelf:"stretch",display:"flex",flexShrink:0}},indicatorSeparator:function(e){var t=e.isDisabled,n=e.theme,r=n.spacing.baseUnit,i=n.colors;return{label:"indicatorSeparator",alignSelf:"stretch",backgroundColor:t?i.neutral10:i.neutral20,marginBottom:2*r,marginTop:2*r,width:1}},input:function(e){var t=e.isDisabled,n=e.theme,r=n.spacing,i=n.colors;return{margin:r.baseUnit/2,paddingBottom:r.baseUnit/2,paddingTop:r.baseUnit/2,visibility:t?"hidden":"visible",color:i.neutral80}},loadingIndicator:function(e){var t=e.isFocused,n=e.size,r=e.theme,i=r.colors,o=r.spacing.baseUnit;return{label:"loadingIndicator",color:t?i.neutral60:i.neutral20,display:"flex",padding:2*o,transition:"color 150ms",alignSelf:"center",fontSize:n,lineHeight:1,marginRight:n,textAlign:"center",verticalAlign:"middle"}},loadingMessage:FH,menu:function(e){var t,n=e.placement,r=e.theme,i=r.borderRadius,o=r.spacing,a=r.colors;return t={label:"menu"},(0,fH.Z)(t,function(e){return e?{bottom:"top",top:"bottom"}[e]:"bottom"}(n),"100%"),(0,fH.Z)(t,"backgroundColor",a.neutral0),(0,fH.Z)(t,"borderRadius",i),(0,fH.Z)(t,"boxShadow","0 0 0 1px hsla(0, 0%, 0%, 0.1), 0 4px 11px hsla(0, 0%, 0%, 0.1)"),(0,fH.Z)(t,"marginBottom",o.menuGutter),(0,fH.Z)(t,"marginTop",o.menuGutter),(0,fH.Z)(t,"position","absolute"),(0,fH.Z)(t,"width","100%"),(0,fH.Z)(t,"zIndex",1),t},menuList:function(e){var t=e.maxHeight,n=e.theme.spacing.baseUnit;return{maxHeight:t,overflowY:"auto",paddingBottom:n,paddingTop:n,position:"relative",WebkitOverflowScrolling:"touch"}},menuPortal:function(e){var t=e.rect,n=e.offset,r=e.position;return{left:t.left,position:r,top:n,width:t.width,zIndex:1}},multiValue:function(e){var t=e.theme,n=t.spacing,r=t.borderRadius;return{label:"multiValue",backgroundColor:t.colors.neutral10,borderRadius:r/2,display:"flex",margin:n.baseUnit/2,minWidth:0}},multiValueLabel:function(e){var t=e.theme,n=t.borderRadius,r=t.colors,i=e.cropWithEllipsis;return{borderRadius:n/2,color:r.neutral80,fontSize:"85%",overflow:"hidden",padding:3,paddingLeft:6,textOverflow:i?"ellipsis":null,whiteSpace:"nowrap"}},multiValueRemove:function(e){var t=e.theme,n=t.spacing,r=t.borderRadius,i=t.colors;return{alignItems:"center",borderRadius:r/2,backgroundColor:e.isFocused&&i.dangerLight,display:"flex",paddingLeft:n.baseUnit,paddingRight:n.baseUnit,":hover":{backgroundColor:i.dangerLight,color:i.danger}}},noOptionsMessage:jH,option:function(e){var t=e.isDisabled,n=e.isFocused,r=e.isSelected,i=e.theme,o=i.spacing,a=i.colors;return{label:"option",backgroundColor:r?a.primary:n?a.primary25:"transparent",color:t?a.neutral20:r?a.neutral0:"inherit",cursor:"default",display:"block",fontSize:"inherit",padding:"".concat(2*o.baseUnit,"px ").concat(3*o.baseUnit,"px"),width:"100%",userSelect:"none",WebkitTapHighlightColor:"rgba(0, 0, 0, 0)",":active":{backgroundColor:!t&&(r?a.primary:a.primary50)}}},placeholder:function(e){var t=e.theme,n=t.spacing;return{label:"placeholder",color:t.colors.neutral50,marginLeft:n.baseUnit/2,marginRight:n.baseUnit/2,position:"absolute",top:"50%",transform:"translateY(-50%)"}},singleValue:function(e){var t=e.isDisabled,n=e.theme,r=n.spacing,i=n.colors;return{label:"singleValue",color:t?i.neutral40:i.neutral80,marginLeft:r.baseUnit/2,marginRight:r.baseUnit/2,maxWidth:"calc(100% - ".concat(2*r.baseUnit,"px)"),overflow:"hidden",position:"absolute",textOverflow:"ellipsis",whiteSpace:"nowrap",top:"50%",transform:"translateY(-50%)"}},valueContainer:function(e){var t=e.theme.spacing;return{alignItems:"center",display:"flex",flex:1,flexWrap:"wrap",padding:"".concat(t.baseUnit/2,"px ").concat(2*t.baseUnit,"px"),WebkitOverflowScrolling:"touch",position:"relative",overflow:"hidden"}}},LV={borderRadius:4,colors:{primary:"#2684FF",primary75:"#4C9AFF",primary50:"#B2D4FF",primary25:"#DEEBFF",danger:"#DE350B",dangerLight:"#FFBDAD",neutral0:"hsl(0, 0%, 100%)",neutral5:"hsl(0, 0%, 95%)",neutral10:"hsl(0, 0%, 90%)",neutral20:"hsl(0, 0%, 80%)",neutral30:"hsl(0, 0%, 70%)",neutral40:"hsl(0, 0%, 60%)",neutral50:"hsl(0, 0%, 50%)",neutral60:"hsl(0, 0%, 40%)",neutral70:"hsl(0, 0%, 30%)",neutral80:"hsl(0, 0%, 20%)",neutral90:"hsl(0, 0%, 10%)"},spacing:{baseUnit:4,controlHeight:38,menuGutter:8}},NV={"aria-live":"polite",backspaceRemovesValue:!0,blurInputOnSelect:TH(),captureMenuScroll:!TH(),closeMenuOnSelect:!0,closeMenuOnScroll:!1,components:{},controlShouldRenderValue:!0,escapeClearsValue:!1,filterOption:function(e,t){var n=gH({ignoreCase:!0,ignoreAccents:!0,stringify:wV,trim:!0,matchFrom:"any"},void 0),r=n.ignoreCase,i=n.ignoreAccents,o=n.stringify,a=n.trim,s=n.matchFrom,l=a?bV(t):t,u=a?bV(o(e)):o(e);return r&&(l=l.toLowerCase(),u=u.toLowerCase()),i&&(l=yV(l),u=vV(u)),"start"===s?u.substr(0,l.length)===l:u.indexOf(l)>-1},formatGroupLabel:function(e){return e.label},getOptionLabel:function(e){return e.label},getOptionValue:function(e){return e.value},isDisabled:!1,isLoading:!1,isMulti:!1,isRtl:!1,isSearchable:!0,isOptionDisabled:function(e){return!!e.isDisabled},loadingMessage:function(){return"Loading..."},maxMenuHeight:300,minMenuHeight:140,menuIsOpen:!1,menuPlacement:"bottom",menuPosition:"absolute",menuShouldBlockScroll:!1,menuShouldScrollIntoView:!function(){try{return/Android|webOS|iPhone|iPad|iPod|BlackBerry|IEMobile|Opera Mini/i.test(navigator.userAgent)}catch(e){return!1}}(),noOptionsMessage:function(){return"No options"},openMenuOnFocus:!1,openMenuOnClick:!0,options:[],pageSize:5,placeholder:"Select...",screenReaderStatus:function(e){var t=e.count;return"".concat(t," result").concat(1!==t?"s":""," available")},styles:{},tabIndex:"0",tabSelectsValue:!0};function jV(e,t,n,r){return{type:"option",data:t,isDisabled:$V(e,t,n),isSelected:WV(e,t,n),label:UV(e,t),value:qV(e,t),index:r}}function FV(e,t){return e.options.map((function(n,r){if(n.options){var i=n.options.map((function(n,r){return jV(e,n,t,r)})).filter((function(t){return zV(e,t)}));return i.length>0?{type:"group",data:n,options:i,index:r}:void 0}var o=jV(e,n,t,r);return zV(e,o)?o:void 0})).filter((function(e){return!!e}))}function BV(e){return e.reduce((function(e,t){return"group"===t.type?e.push.apply(e,aV(t.options.map((function(e){return e.data})))):e.push(t.data),e}),[])}function zV(e,t){var n=e.inputValue,r=void 0===n?"":n,i=t.data,o=t.isSelected,a=t.label,s=t.value;return(!VV(e)||!o)&&HV(e,{label:a,value:s,data:i},r)}var UV=function(e,t){return e.getOptionLabel(t)},qV=function(e,t){return e.getOptionValue(t)};function $V(e,t,n){return"function"==typeof e.isOptionDisabled&&e.isOptionDisabled(t,n)}function WV(e,t,n){if(n.indexOf(t)>-1)return!0;if("function"==typeof e.isOptionSelected)return e.isOptionSelected(t,n);var r=qV(e,t);return n.some((function(t){return qV(e,t)===r}))}function HV(e,t,n){return!e.filterOption||e.filterOption(t,n)}var VV=function(e){var t=e.hideSelectedOptions,n=e.isMulti;return void 0===t?n:t},GV=1,KV=function(e){pH(n,e);var t=yH(n);function n(e){var r;return lH(this,n),(r=t.call(this,e)).state={ariaSelection:null,focusedOption:null,focusedValue:null,inputIsHidden:!1,isFocused:!1,selectValue:[],clearFocusValueOnUpdate:!1,inputIsHiddenAfterUpdate:void 0,prevProps:void 0},r.blockOptionHover=!1,r.isComposing=!1,r.commonProps=void 0,r.initialTouchX=0,r.initialTouchY=0,r.instancePrefix="",r.openAfterFocus=!1,r.scrollToFocusedOptionOnUpdate=!1,r.userIsDragging=void 0,r.controlRef=null,r.getControlRef=function(e){r.controlRef=e},r.focusedOptionRef=null,r.getFocusedOptionRef=function(e){r.focusedOptionRef=e},r.menuListRef=null,r.getMenuListRef=function(e){r.menuListRef=e},r.inputRef=null,r.getInputRef=function(e){r.inputRef=e},r.focus=r.focusInput,r.blur=r.blurInput,r.onChange=function(e,t){var n=r.props,i=n.onChange,o=n.name;t.name=o,r.ariaOnChange(e,t),i(e,t)},r.setValue=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"set-value",n=arguments.length>2?arguments[2]:void 0,i=r.props,o=i.closeMenuOnSelect,a=i.isMulti;r.onInputChange("",{action:"set-value"}),o&&(r.setState({inputIsHiddenAfterUpdate:!a}),r.onMenuClose()),r.setState({clearFocusValueOnUpdate:!0}),r.onChange(e,{action:t,option:n})},r.selectOption=function(e){var t=r.props,n=t.blurInputOnSelect,i=t.isMulti,o=t.name,a=r.state.selectValue,s=i&&r.isOptionSelected(e,a),l=r.isOptionDisabled(e,a);if(s){var u=r.getOptionValue(e);r.setValue(a.filter((function(e){return r.getOptionValue(e)!==u})),"deselect-option",e)}else{if(l)return void r.ariaOnChange(e,{action:"select-option",name:o});i?r.setValue([].concat(aV(a),[e]),"select-option",e):r.setValue(e,"select-option")}n&&r.blurInput()},r.removeValue=function(e){var t=r.props.isMulti,n=r.state.selectValue,i=r.getOptionValue(e),o=n.filter((function(e){return r.getOptionValue(e)!==i})),a=t?o:o[0]||null;r.onChange(a,{action:"remove-value",removedValue:e}),r.focusInput()},r.clearValue=function(){var e=r.state.selectValue;r.onChange(r.props.isMulti?[]:null,{action:"clear",removedValues:e})},r.popValue=function(){var e=r.props.isMulti,t=r.state.selectValue,n=t[t.length-1],i=t.slice(0,t.length-1),o=e?i:i[0]||null;r.onChange(o,{action:"pop-value",removedValue:n})},r.getValue=function(){return r.state.selectValue},r.cx=function(){for(var e=arguments.length,t=new Array(e),n=0;n<e;n++)t[n]=arguments[n];return _H.apply(void 0,[r.props.classNamePrefix].concat(t))},r.getOptionLabel=function(e){return UV(r.props,e)},r.getOptionValue=function(e){return qV(r.props,e)},r.getStyles=function(e,t){var n=MV[e](t);n.boxSizing="border-box";var i=r.props.styles[e];return i?i(n,t):n},r.getElementId=function(e){return"".concat(r.instancePrefix,"-").concat(e)},r.getComponents=function(){return e=r.props,gH(gH({},iV),e.components);var e},r.buildCategorizedOptions=function(){return FV(r.props,r.state.selectValue)},r.getCategorizedOptions=function(){return r.props.menuIsOpen?r.buildCategorizedOptions():[]},r.buildFocusableOptions=function(){return BV(r.buildCategorizedOptions())},r.getFocusableOptions=function(){return r.props.menuIsOpen?r.buildFocusableOptions():[]},r.ariaOnChange=function(e,t){r.setState({ariaSelection:gH({value:e},t)})},r.onMenuMouseDown=function(e){0===e.button&&(e.stopPropagation(),e.preventDefault(),r.focusInput())},r.onMenuMouseMove=function(e){r.blockOptionHover=!1},r.onControlMouseDown=function(e){var t=r.props.openMenuOnClick;r.state.isFocused?r.props.menuIsOpen?"INPUT"!==e.target.tagName&&"TEXTAREA"!==e.target.tagName&&r.onMenuClose():t&&r.openMenu("first"):(t&&(r.openAfterFocus=!0),r.focusInput()),"INPUT"!==e.target.tagName&&"TEXTAREA"!==e.target.tagName&&e.preventDefault()},r.onDropdownIndicatorMouseDown=function(e){if(!(e&&"mousedown"===e.type&&0!==e.button||r.props.isDisabled)){var t=r.props,n=t.isMulti,i=t.menuIsOpen;r.focusInput(),i?(r.setState({inputIsHiddenAfterUpdate:!n}),r.onMenuClose()):r.openMenu("first"),e.preventDefault(),e.stopPropagation()}},r.onClearIndicatorMouseDown=function(e){e&&"mousedown"===e.type&&0!==e.button||(r.clearValue(),e.stopPropagation(),r.openAfterFocus=!1,"touchend"===e.type?r.focusInput():setTimeout((function(){return r.focusInput()})))},r.onScroll=function(e){"boolean"==typeof r.props.closeMenuOnScroll?e.target instanceof HTMLElement&&EH(e.target)&&r.props.onMenuClose():"function"==typeof r.props.closeMenuOnScroll&&r.props.closeMenuOnScroll(e)&&r.props.onMenuClose()},r.onCompositionStart=function(){r.isComposing=!0},r.onCompositionEnd=function(){r.isComposing=!1},r.onTouchStart=function(e){var t=e.touches,n=t&&t.item(0);n&&(r.initialTouchX=n.clientX,r.initialTouchY=n.clientY,r.userIsDragging=!1)},r.onTouchMove=function(e){var t=e.touches,n=t&&t.item(0);if(n){var i=Math.abs(n.clientX-r.initialTouchX),o=Math.abs(n.clientY-r.initialTouchY);r.userIsDragging=i>5||o>5}},r.onTouchEnd=function(e){r.userIsDragging||(r.controlRef&&!r.controlRef.contains(e.target)&&r.menuListRef&&!r.menuListRef.contains(e.target)&&r.blurInput(),r.initialTouchX=0,r.initialTouchY=0)},r.onControlTouchEnd=function(e){r.userIsDragging||r.onControlMouseDown(e)},r.onClearIndicatorTouchEnd=function(e){r.userIsDragging||r.onClearIndicatorMouseDown(e)},r.onDropdownIndicatorTouchEnd=function(e){r.userIsDragging||r.onDropdownIndicatorMouseDown(e)},r.handleInputChange=function(e){var t=e.currentTarget.value;r.setState({inputIsHiddenAfterUpdate:!1}),r.onInputChange(t,{action:"input-change"}),r.props.menuIsOpen||r.onMenuOpen()},r.onInputFocus=function(e){r.props.onFocus&&r.props.onFocus(e),r.setState({inputIsHiddenAfterUpdate:!1,isFocused:!0}),(r.openAfterFocus||r.props.openMenuOnFocus)&&r.openMenu("first"),r.openAfterFocus=!1},r.onInputBlur=function(e){r.menuListRef&&r.menuListRef.contains(document.activeElement)?r.inputRef.focus():(r.props.onBlur&&r.props.onBlur(e),r.onInputChange("",{action:"input-blur"}),r.onMenuClose(),r.setState({focusedValue:null,isFocused:!1}))},r.onOptionHover=function(e){r.blockOptionHover||r.state.focusedOption===e||r.setState({focusedOption:e})},r.shouldHideSelectedOptions=function(){return VV(r.props)},r.onKeyDown=function(e){var t=r.props,n=t.isMulti,i=t.backspaceRemovesValue,o=t.escapeClearsValue,a=t.inputValue,s=t.isClearable,l=t.isDisabled,u=t.menuIsOpen,c=t.onKeyDown,d=t.tabSelectsValue,p=t.openMenuOnFocus,f=r.state,h=f.focusedOption,m=f.focusedValue,g=f.selectValue;if(!(l||"function"==typeof c&&(c(e),e.defaultPrevented))){switch(r.blockOptionHover=!0,e.key){case"ArrowLeft":if(!n||a)return;r.focusValue("previous");break;case"ArrowRight":if(!n||a)return;r.focusValue("next");break;case"Delete":case"Backspace":if(a)return;if(m)r.removeValue(m);else{if(!i)return;n?r.popValue():s&&r.clearValue()}break;case"Tab":if(r.isComposing)return;if(e.shiftKey||!u||!d||!h||p&&r.isOptionSelected(h,g))return;r.selectOption(h);break;case"Enter":if(229===e.keyCode)break;if(u){if(!h)return;if(r.isComposing)return;r.selectOption(h);break}return;case"Escape":u?(r.setState({inputIsHiddenAfterUpdate:!1}),r.onInputChange("",{action:"menu-close"}),r.onMenuClose()):s&&o&&r.clearValue();break;case" ":if(a)return;if(!u){r.openMenu("first");break}if(!h)return;r.selectOption(h);break;case"ArrowUp":u?r.focusOption("up"):r.openMenu("last");break;case"ArrowDown":u?r.focusOption("down"):r.openMenu("first");break;case"PageUp":if(!u)return;r.focusOption("pageup");break;case"PageDown":if(!u)return;r.focusOption("pagedown");break;case"Home":if(!u)return;r.focusOption("first");break;case"End":if(!u)return;r.focusOption("last");break;default:return}e.preventDefault()}},r.instancePrefix="react-select-"+(r.props.instanceId||++GV),r.state.selectValue=xH(e.value),r}return dH(n,[{key:"componentDidMount",value:function(){this.startListeningComposition(),this.startListeningToTouch(),this.props.closeMenuOnScroll&&document&&document.addEventListener&&document.addEventListener("scroll",this.onScroll,!0),this.props.autoFocus&&this.focusInput()}},{key:"componentDidUpdate",value:function(e){var t,n,r,i,o,a=this.props,s=a.isDisabled,l=a.menuIsOpen,u=this.state.isFocused;(u&&!s&&e.isDisabled||u&&l&&!e.menuIsOpen)&&this.focusInput(),u&&s&&!e.isDisabled&&this.setState({isFocused:!1},this.onMenuClose),this.menuListRef&&this.focusedOptionRef&&this.scrollToFocusedOptionOnUpdate&&(t=this.menuListRef,n=this.focusedOptionRef,r=t.getBoundingClientRect(),i=n.getBoundingClientRect(),o=n.offsetHeight/3,i.bottom+o>r.bottom?SH(t,Math.min(n.offsetTop+n.clientHeight-t.offsetHeight+o,t.scrollHeight)):i.top-o<r.top&&SH(t,Math.max(n.offsetTop-o,0)),this.scrollToFocusedOptionOnUpdate=!1)}},{key:"componentWillUnmount",value:function(){this.stopListeningComposition(),this.stopListeningToTouch(),document.removeEventListener("scroll",this.onScroll,!0)}},{key:"onMenuOpen",value:function(){this.props.onMenuOpen()}},{key:"onMenuClose",value:function(){this.onInputChange("",{action:"menu-close"}),this.props.onMenuClose()}},{key:"onInputChange",value:function(e,t){this.props.onInputChange(e,t)}},{key:"focusInput",value:function(){this.inputRef&&this.inputRef.focus()}},{key:"blurInput",value:function(){this.inputRef&&this.inputRef.blur()}},{key:"openMenu",value:function(e){var t=this,n=this.state,r=n.selectValue,i=n.isFocused,o=this.buildFocusableOptions(),a="first"===e?0:o.length-1;if(!this.props.isMulti){var s=o.indexOf(r[0]);s>-1&&(a=s)}this.scrollToFocusedOptionOnUpdate=!(i&&this.menuListRef),this.setState({inputIsHiddenAfterUpdate:!1,focusedValue:null,focusedOption:o[a]},(function(){return t.onMenuOpen()}))}},{key:"focusValue",value:function(e){var t=this.state,n=t.selectValue,r=t.focusedValue;if(this.props.isMulti){this.setState({focusedOption:null});var i=n.indexOf(r);r||(i=-1);var o=n.length-1,a=-1;if(n.length){switch(e){case"previous":a=0===i?0:-1===i?o:i-1;break;case"next":i>-1&&i<o&&(a=i+1)}this.setState({inputIsHidden:-1!==a,focusedValue:n[a]})}}}},{key:"focusOption",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:"first",t=this.props.pageSize,n=this.state.focusedOption,r=this.getFocusableOptions();if(r.length){var i=0,o=r.indexOf(n);n||(o=-1),"up"===e?i=o>0?o-1:r.length-1:"down"===e?i=(o+1)%r.length:"pageup"===e?(i=o-t)<0&&(i=0):"pagedown"===e?(i=o+t)>r.length-1&&(i=r.length-1):"last"===e&&(i=r.length-1),this.scrollToFocusedOptionOnUpdate=!0,this.setState({focusedOption:r[i],focusedValue:null})}}},{key:"getTheme",value:function(){return this.props.theme?"function"==typeof this.props.theme?this.props.theme(LV):gH(gH({},LV),this.props.theme):LV}},{key:"getCommonProps",value:function(){var e=this.clearValue,t=this.cx,n=this.getStyles,r=this.getValue,i=this.selectOption,o=this.setValue,a=this.props,s=a.isMulti,l=a.isRtl,u=a.options;return{clearValue:e,cx:t,getStyles:n,getValue:r,hasValue:this.hasValue(),isMulti:s,isRtl:l,options:u,selectOption:i,selectProps:a,setValue:o,theme:this.getTheme()}}},{key:"hasValue",value:function(){return this.state.selectValue.length>0}},{key:"hasOptions",value:function(){return!!this.getFocusableOptions().length}},{key:"isClearable",value:function(){var e=this.props,t=e.isClearable,n=e.isMulti;return void 0===t?n:t}},{key:"isOptionDisabled",value:function(e,t){return $V(this.props,e,t)}},{key:"isOptionSelected",value:function(e,t){return WV(this.props,e,t)}},{key:"filterOption",value:function(e,t){return HV(this.props,e,t)}},{key:"formatOptionLabel",value:function(e,t){if("function"==typeof this.props.formatOptionLabel){var n=this.props.inputValue,r=this.state.selectValue;return this.props.formatOptionLabel(e,{context:t,inputValue:n,selectValue:r})}return this.getOptionLabel(e)}},{key:"formatGroupLabel",value:function(e){return this.props.formatGroupLabel(e)}},{key:"startListeningComposition",value:function(){document&&document.addEventListener&&(document.addEventListener("compositionstart",this.onCompositionStart,!1),document.addEventListener("compositionend",this.onCompositionEnd,!1))}},{key:"stopListeningComposition",value:function(){document&&document.removeEventListener&&(document.removeEventListener("compositionstart",this.onCompositionStart),document.removeEventListener("compositionend",this.onCompositionEnd))}},{key:"startListeningToTouch",value:function(){document&&document.addEventListener&&(document.addEventListener("touchstart",this.onTouchStart,!1),document.addEventListener("touchmove",this.onTouchMove,!1),document.addEventListener("touchend",this.onTouchEnd,!1))}},{key:"stopListeningToTouch",value:function(){document&&document.removeEventListener&&(document.removeEventListener("touchstart",this.onTouchStart),document.removeEventListener("touchmove",this.onTouchMove),document.removeEventListener("touchend",this.onTouchEnd))}},{key:"renderInput",value:function(){var e=this.props,t=e.isDisabled,n=e.isSearchable,r=e.inputId,i=e.inputValue,a=e.tabIndex,s=e.form,l=this.getComponents().Input,u=this.state.inputIsHidden,c=this.commonProps,d=r||this.getElementId("input"),p={"aria-autocomplete":"list","aria-label":this.props["aria-label"],"aria-labelledby":this.props["aria-labelledby"]};return n?o.createElement(l,m({},c,{autoCapitalize:"none",autoComplete:"off",autoCorrect:"off",id:d,innerRef:this.getInputRef,isDisabled:t,isHidden:u,onBlur:this.onInputBlur,onChange:this.handleInputChange,onFocus:this.onInputFocus,spellCheck:"false",tabIndex:a,form:s,type:"text",value:i},p)):o.createElement(_V,m({id:d,innerRef:this.getInputRef,onBlur:this.onInputBlur,onChange:bH,onFocus:this.onInputFocus,readOnly:!0,disabled:t,tabIndex:a,form:s,value:""},p))}},{key:"renderPlaceholderOrValue",value:function(){var e=this,t=this.getComponents(),n=t.MultiValue,r=t.MultiValueContainer,i=t.MultiValueLabel,a=t.MultiValueRemove,s=t.SingleValue,l=t.Placeholder,u=this.commonProps,c=this.props,d=c.controlShouldRenderValue,p=c.isDisabled,f=c.isMulti,h=c.inputValue,g=c.placeholder,v=this.state,y=v.selectValue,b=v.focusedValue,w=v.isFocused;if(!this.hasValue()||!d)return h?null:o.createElement(l,m({},u,{key:"placeholder",isDisabled:p,isFocused:w}),g);if(f)return y.map((function(t,s){var l=t===b;return o.createElement(n,m({},u,{components:{Container:r,Label:i,Remove:a},isFocused:l,isDisabled:p,key:"".concat(e.getOptionValue(t)).concat(s),index:s,removeProps:{onClick:function(){return e.removeValue(t)},onTouchEnd:function(){return e.removeValue(t)},onMouseDown:function(e){e.preventDefault(),e.stopPropagation()}},data:t}),e.formatOptionLabel(t,"value"))}));if(h)return null;var _=y[0];return o.createElement(s,m({},u,{data:_,isDisabled:p}),this.formatOptionLabel(_,"value"))}},{key:"renderClearIndicator",value:function(){var e=this.getComponents().ClearIndicator,t=this.commonProps,n=this.props,r=n.isDisabled,i=n.isLoading,a=this.state.isFocused;if(!this.isClearable()||!e||r||!this.hasValue()||i)return null;var s={onMouseDown:this.onClearIndicatorMouseDown,onTouchEnd:this.onClearIndicatorTouchEnd,"aria-hidden":"true"};return o.createElement(e,m({},t,{innerProps:s,isFocused:a}))}},{key:"renderLoadingIndicator",value:function(){var e=this.getComponents().LoadingIndicator,t=this.commonProps,n=this.props,r=n.isDisabled,i=n.isLoading,a=this.state.isFocused;return e&&i?o.createElement(e,m({},t,{innerProps:{"aria-hidden":"true"},isDisabled:r,isFocused:a})):null}},{key:"renderIndicatorSeparator",value:function(){var e=this.getComponents(),t=e.DropdownIndicator,n=e.IndicatorSeparator;if(!t||!n)return null;var r=this.commonProps,i=this.props.isDisabled,a=this.state.isFocused;return o.createElement(n,m({},r,{isDisabled:i,isFocused:a}))}},{key:"renderDropdownIndicator",value:function(){var e=this.getComponents().DropdownIndicator;if(!e)return null;var t=this.commonProps,n=this.props.isDisabled,r=this.state.isFocused,i={onMouseDown:this.onDropdownIndicatorMouseDown,onTouchEnd:this.onDropdownIndicatorTouchEnd,"aria-hidden":"true"};return o.createElement(e,m({},t,{innerProps:i,isDisabled:n,isFocused:r}))}},{key:"renderMenu",value:function(){var e=this,t=this.getComponents(),n=t.Group,r=t.GroupHeading,i=t.Menu,a=t.MenuList,s=t.MenuPortal,l=t.LoadingMessage,u=t.NoOptionsMessage,c=t.Option,d=this.commonProps,p=this.state.focusedOption,f=this.props,h=f.captureMenuScroll,g=f.inputValue,v=f.isLoading,y=f.loadingMessage,b=f.minMenuHeight,w=f.maxMenuHeight,_=f.menuIsOpen,x=f.menuPlacement,k=f.menuPosition,E=f.menuPortalTarget,C=f.menuShouldBlockScroll,S=f.menuShouldScrollIntoView,A=f.noOptionsMessage,T=f.onMenuScrollToTop,O=f.onMenuScrollToBottom;if(!_)return null;var P,D=function(t,n){var r=t.type,i=t.data,a=t.isDisabled,s=t.isSelected,l=t.label,u=t.value,f=p===i,h=a?void 0:function(){return e.onOptionHover(i)},g=a?void 0:function(){return e.selectOption(i)},v="".concat(e.getElementId("option"),"-").concat(n),y={id:v,onClick:g,onMouseMove:h,onMouseOver:h,tabIndex:-1};return o.createElement(c,m({},d,{innerProps:y,data:i,isDisabled:a,isSelected:s,key:v,label:l,type:r,value:u,isFocused:f,innerRef:f?e.getFocusedOptionRef:void 0}),e.formatOptionLabel(t.data,"menu"))};if(this.hasOptions())P=this.getCategorizedOptions().map((function(t){if("group"===t.type){var i=t.data,a=t.options,s=t.index,l="".concat(e.getElementId("group"),"-").concat(s),u="".concat(l,"-heading");return o.createElement(n,m({},d,{key:l,data:i,options:a,Heading:r,headingProps:{id:u,data:t.data},label:e.formatGroupLabel(t.data)}),t.options.map((function(e){return D(e,"".concat(s,"-").concat(e.index))})))}if("option"===t.type)return D(t,"".concat(t.index))}));else if(v){var R=y({inputValue:g});if(null===R)return null;P=o.createElement(l,d,R)}else{var I=A({inputValue:g});if(null===I)return null;P=o.createElement(u,d,I)}var M={minMenuHeight:b,maxMenuHeight:w,menuPlacement:x,menuPosition:k,menuShouldScrollIntoView:S},L=o.createElement(LH,m({},d,M),(function(t){var n=t.ref,r=t.placerProps,s=r.placement,l=r.maxHeight;return o.createElement(i,m({},d,M,{innerRef:n,innerProps:{onMouseDown:e.onMenuMouseDown,onMouseMove:e.onMenuMouseMove},isLoading:v,placement:s}),o.createElement(IV,{captureEnabled:h,onTopArrive:T,onBottomArrive:O,lockEnabled:C},(function(t){return o.createElement(a,m({},d,{innerRef:function(n){e.getMenuListRef(n),t(n)},isLoading:v,maxHeight:l,focusedOption:p}),P)})))}));return E||"fixed"===k?o.createElement(s,m({},d,{appendTo:E,controlElement:this.controlRef,menuPlacement:x,menuPosition:k}),L):L}},{key:"renderFormField",value:function(){var e=this,t=this.props,n=t.delimiter,r=t.isDisabled,i=t.isMulti,a=t.name,s=this.state.selectValue;if(a&&!r){if(i){if(n){var l=s.map((function(t){return e.getOptionValue(t)})).join(n);return o.createElement("input",{name:a,type:"hidden",value:l})}var u=s.length>0?s.map((function(t,n){return o.createElement("input",{key:"i-".concat(n),name:a,type:"hidden",value:e.getOptionValue(t)})})):o.createElement("input",{name:a,type:"hidden"});return o.createElement("div",null,u)}var c=s[0]?this.getOptionValue(s[0]):"";return o.createElement("input",{name:a,type:"hidden",value:c})}}},{key:"renderLiveRegion",value:function(){var e=this.commonProps,t=this.state,n=t.ariaSelection,r=t.focusedOption,i=t.focusedValue,a=t.isFocused,s=t.selectValue,l=this.getFocusableOptions();return o.createElement(cV,m({},e,{ariaSelection:n,focusedOption:r,focusedValue:i,isFocused:a,selectValue:s,focusableOptions:l}))}},{key:"render",value:function(){var e=this.getComponents(),t=e.Control,n=e.IndicatorsContainer,r=e.SelectContainer,i=e.ValueContainer,a=this.props,s=a.className,l=a.id,u=a.isDisabled,c=a.menuIsOpen,d=this.state.isFocused,p=this.commonProps=this.getCommonProps();return o.createElement(r,m({},p,{className:s,innerProps:{id:l,onKeyDown:this.onKeyDown},isDisabled:u,isFocused:d}),this.renderLiveRegion(),o.createElement(t,m({},p,{innerRef:this.getControlRef,innerProps:{onMouseDown:this.onControlMouseDown,onTouchEnd:this.onControlTouchEnd},isDisabled:u,isFocused:d,menuIsOpen:c}),o.createElement(i,m({},p,{isDisabled:u}),this.renderPlaceholderOrValue(),this.renderInput()),o.createElement(n,m({},p,{isDisabled:u}),this.renderClearIndicator(),this.renderLoadingIndicator(),this.renderIndicatorSeparator(),this.renderDropdownIndicator())),this.renderMenu(),this.renderFormField())}}],[{key:"getDerivedStateFromProps",value:function(e,t){var n=t.prevProps,r=t.clearFocusValueOnUpdate,i=t.inputIsHiddenAfterUpdate,o=e.options,a=e.value,s=e.menuIsOpen,l=e.inputValue,u={};if(n&&(a!==n.value||o!==n.options||s!==n.menuIsOpen||l!==n.inputValue)){var c=xH(a),d=s?function(e,t){return BV(FV(e,t))}(e,c):[],p=r?function(e,t){var n=e.focusedValue,r=e.selectValue.indexOf(n);if(r>-1){if(t.indexOf(n)>-1)return n;if(r<t.length)return t[r]}return null}(t,c):null,f=function(e,t){var n=e.focusedOption;return n&&t.indexOf(n)>-1?n:t[0]}(t,d);u={selectValue:c,focusedOption:f,focusedValue:p,clearFocusValueOnUpdate:!1}}var h=null!=i&&e!==n?{inputIsHidden:i,inputIsHiddenAfterUpdate:void 0}:{};return gH(gH(gH({},u),h),{},{prevProps:e})}}]),n}(o.Component);KV.defaultProps=NV;var YV={defaultInputValue:"",defaultMenuIsOpen:!1,defaultValue:null},ZV=function(e){var t,n;return n=t=function(t){pH(r,t);var n=yH(r);function r(){var e;lH(this,r);for(var t=arguments.length,i=new Array(t),o=0;o<t;o++)i[o]=arguments[o];return(e=n.call.apply(n,[this].concat(i))).select=void 0,e.state={inputValue:void 0!==e.props.inputValue?e.props.inputValue:e.props.defaultInputValue,menuIsOpen:void 0!==e.props.menuIsOpen?e.props.menuIsOpen:e.props.defaultMenuIsOpen,value:void 0!==e.props.value?e.props.value:e.props.defaultValue},e.onChange=function(t,n){e.callProp("onChange",t,n),e.setState({value:t})},e.onInputChange=function(t,n){var r=e.callProp("onInputChange",t,n);e.setState({inputValue:void 0!==r?r:t})},e.onMenuOpen=function(){e.callProp("onMenuOpen"),e.setState({menuIsOpen:!0})},e.onMenuClose=function(){e.callProp("onMenuClose"),e.setState({menuIsOpen:!1})},e}return dH(r,[{key:"focus",value:function(){this.select.focus()}},{key:"blur",value:function(){this.select.blur()}},{key:"getProp",value:function(e){return void 0!==this.props[e]?this.props[e]:this.state[e]}},{key:"callProp",value:function(e){if("function"==typeof this.props[e]){for(var t,n=arguments.length,r=new Array(n>1?n-1:0),i=1;i<n;i++)r[i-1]=arguments[i];return(t=this.props)[e].apply(t,r)}}},{key:"render",value:function(){var t=this,n=this.props;n.defaultInputValue,n.defaultMenuIsOpen,n.defaultValue;var r=oH(n,["defaultInputValue","defaultMenuIsOpen","defaultValue"]);return o.createElement(e,m({},r,{ref:function(e){t.select=e},inputValue:this.getProp("inputValue"),menuIsOpen:this.getProp("menuIsOpen"),onChange:this.onChange,onInputChange:this.onInputChange,onMenuClose:this.onMenuClose,onMenuOpen:this.onMenuOpen,value:this.getProp("value")}))}}]),r}(o.Component),t.defaultProps=YV,n};const XV=ZV(KV);var QV=__webpack_require__(80537),JV=__webpack_require__.n(QV);function eG(e,t,n,r,i){function o(n){return{type:"RANGE",message:e(`editor.editorControlPane.widget.${n}`,{fieldLabel:t,minCount:r,maxCount:i,count:r})}}return[r,i,null==n?void 0:n.size].every(JV())&&(n.size<r||n.size>i)?o(r===i?"rangeCountExact":"rangeCount"):JV()(r)&&r>0&&null!=n&&n.size&&n.size<r?o("rangeMin"):JV()(i)&&null!=n&&n.size&&n.size>i?o("rangeMax"):void 0}function tG(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function nG(e){return!e||"number"!=typeof e.value&&"string"!=typeof e.value?null:e.value}function rG(e){return"string"==typeof e?{label:e,value:e}:kr.Map.isMap(e)?e.toJS():e}class iG extends o.Component{constructor(...e){super(...e),tG(this,"isValid",(()=>{const{field:e,value:t,t:n}=this.props,r=e.get("min"),i=e.get("max");if(!e.get("multiple"))return{error:!1};const o=eG(n,e.get("label",e.get("name")),t,r,i);return o?{error:o}:{error:!1}})),tG(this,"handleChange",(e=>{const{onChange:t,field:n}=this.props,r=n.get("multiple",!1),i=r?!(null!=e&&e.length):!e;if(n.get("required")&&i&&r)t((0,kr.List)());else if(i)t(null);else if(r){const n=e.map(nG);t((0,kr.fromJS)(n))}else t(nG(e))}))}componentDidMount(){const{field:e,onChange:t,value:n}=this.props;e.get("required")&&e.get("multiple")&&(n&&!kr.List.isList(n)?t((0,kr.fromJS)([n])):n||t((0,kr.fromJS)([])))}render(){const{field:e,value:t,forID:n,classNameWrapper:r,setActiveStyle:i,setInactiveStyle:o}=this.props,a=e.get("options"),s=e.get("multiple",!1),l=!e.get("required",!0)||s,u=[...a.map(rG)],c=function({value:e,options:t,isMultiple:n}){if(n){const n=kr.List.isList(e)?e.toJS():e;return n&&Array.isArray(n)?n.map((e=>t.find((t=>t.value===(e.value||e))))).filter(Boolean).map(rG):null}return iH()(t,["value",e])||null}({options:u,value:t,isMultiple:s});return xn(XV,{inputId:n,value:c,onChange:this.handleChange,className:r,onFocus:i,onBlur:o,options:u,styles:fr,isMulti:s,isClearable:l,placeholder:""})}}function oG({values:e}){return xn("ul",null,e.map(((e,t)=>xn("li",{key:t},e))))}function aG({value:e}){return xn(oU,null,e&&(kr.List.isList(e)?xn(oG,{values:e}):e),!e&&null)}tG(iG,"propTypes",{onChange:ie().func.isRequired,value:ie().node,forID:ie().string.isRequired,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,field:Q_().contains({options:Q_().listOf(ie().oneOfType([ie().string,ie().number,Q_().contains({label:ie().string.isRequired,value:ie().oneOfType([ie().string,ie().number]).isRequired})])).isRequired})}),aG.propTypes={value:ie().oneOfType([ie().string,Q_().list])};const sG=aG,lG={properties:{multiple:{type:"boolean"},min:{type:"integer"},max:{type:"integer"},options:{type:"array",items:{oneOf:[{type:"string"},{type:"number"},{type:"object",properties:{label:{type:"string"},value:{oneOf:[{type:"string"},{type:"number"}]}},required:["label","value"]}]}}},required:["options"]};function uG(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function cG(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const dG={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?uG(Object(n),!0).forEach((function(t){cG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):uG(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"select",controlComponent:iG,previewComponent:sG,schema:lG},e)},controlComponent:iG,previewComponent:sG},pG=dG;function fG(e){return"[object Object]"===Object.prototype.toString.call(e)}function hG(e){var t,n;return!1!==fG(e)&&(void 0===(t=e.constructor)||!1!==fG(n=t.prototype)&&!1!==n.hasOwnProperty("isPrototypeOf"))}function mG(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var gG=new WeakMap,vG=new WeakMap,yG=new WeakMap,bG=new WeakMap,wG=new WeakMap,_G=new WeakMap,xG=new WeakMap;function kG(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function EG(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?kG(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):kG(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var CG=()=>{var e={children:[],operations:[],selection:null,marks:null,isInline:()=>!1,isVoid:()=>!1,markableVoid:()=>!1,onChange:()=>{},apply:t=>{for(var n of sK.pathRefs(e))vK.transform(n,t);for(var r of sK.pointRefs(e))_K.transform(r,t);for(var i of sK.rangeRefs(e))CK.transform(i,t);var o,a,s=gG.get(e)||[],l=vG.get(e)||new Set,u=e=>{if(e){var t=e.join(",");a.has(t)||(a.add(t),o.push(e))}};if(gK.operationCanTransformPath(t))for(var c of(o=[],a=new Set,s))u(gK.transform(c,t));else o=s,a=l;var d=e.getDirtyPaths(t);for(var p of d)u(p);gG.set(e,o),vG.set(e,a),XK.transform(e,t),e.operations.push(t),sK.normalize(e,{operation:t}),"set_selection"===t.type&&(e.marks=null),yG.get(e)||(yG.set(e,!0),Promise.resolve().then((()=>{yG.set(e,!1),e.onChange({operation:t}),e.operations=[]})))},addMark:(t,n)=>{var{selection:r,markableVoid:i}=e;if(r){var o=(t,n)=>{if(!IK.isText(t))return!1;var[r,i]=sK.parent(e,n);return!e.isVoid(r)||e.markableVoid(r)},a=EK.isExpanded(r),s=!1;if(!a){var[l,u]=sK.node(e,r);if(l&&o(l,u)){var[c]=sK.parent(e,u);s=c&&e.markableVoid(c)}}if(a||s)XK.setNodes(e,{[t]:n},{match:o,split:!0,voids:!0});else{var d=EG(EG({},sK.marks(e)||{}),{},{[t]:n});e.marks=d,yG.get(e)||e.onChange()}}},deleteBackward:t=>{var{selection:n}=e;n&&EK.isCollapsed(n)&&XK.delete(e,{unit:t,reverse:!0})},deleteForward:t=>{var{selection:n}=e;n&&EK.isCollapsed(n)&&XK.delete(e,{unit:t})},deleteFragment:t=>{var{selection:n}=e;n&&EK.isExpanded(n)&&XK.delete(e,{reverse:"backward"===t})},getFragment:()=>{var{selection:t}=e;return t?pK.fragment(e,t):[]},insertBreak:()=>{XK.splitNodes(e,{always:!0})},insertSoftBreak:()=>{XK.splitNodes(e,{always:!0})},insertFragment:t=>{XK.insertFragment(e,t)},insertNode:t=>{XK.insertNodes(e,t)},insertText:t=>{var{selection:n,marks:r}=e;if(n){if(r){var i=EG({text:t},r);XK.insertNodes(e,i)}else XK.insertText(e,t);e.marks=null}},normalizeNode:t=>{var[n,r]=t;if(!IK.isText(n))if(tK.isElement(n)&&0===n.children.length)XK.insertNodes(e,{text:""},{at:r.concat(0),voids:!0});else for(var i=!sK.isEditor(n)&&tK.isElement(n)&&(e.isInline(n)||0===n.children.length||IK.isText(n.children[0])||e.isInline(n.children[0])),o=0,a=0;a<n.children.length;a++,o++){var s=pK.get(e,r);if(!IK.isText(s)){var l=n.children[a],u=s.children[o-1],c=a===n.children.length-1;(IK.isText(l)||tK.isElement(l)&&e.isInline(l))!==i?(XK.removeNodes(e,{at:r.concat(o),voids:!0}),o--):tK.isElement(l)?e.isInline(l)&&(null!=u&&IK.isText(u)?c&&(XK.insertNodes(e,{text:""},{at:r.concat(o+1),voids:!0}),o++):(XK.insertNodes(e,{text:""},{at:r.concat(o),voids:!0}),o++)):null!=u&&IK.isText(u)&&(IK.equals(l,u,{loose:!0})?(XK.mergeNodes(e,{at:r.concat(o),voids:!0}),o--):""===u.text?(XK.removeNodes(e,{at:r.concat(o-1),voids:!0}),o--):""===l.text&&(XK.removeNodes(e,{at:r.concat(o),voids:!0}),o--))}}},removeMark:t=>{var{selection:n}=e;if(n){var r=(t,n)=>{if(!IK.isText(t))return!1;var[r,i]=sK.parent(e,n);return!e.isVoid(r)||e.markableVoid(r)},i=EK.isExpanded(n),o=!1;if(!i){var[a,s]=sK.node(e,n);if(a&&r(a,s)){var[l]=sK.parent(e,s);o=l&&e.markableVoid(l)}}if(i||o)XK.unsetNodes(e,t,{match:r,split:!0,voids:!0});else{var u=EG({},sK.marks(e)||{});delete u[t],e.marks=u,yG.get(e)||e.onChange()}}},getDirtyPaths:e=>{switch(e.type){case"insert_text":case"remove_text":case"set_node":var{path:t}=e;return gK.levels(t);case"insert_node":var{node:n,path:r}=e,i=gK.levels(r),o=IK.isText(n)?[]:Array.from(pK.nodes(n),(e=>{var[,t]=e;return r.concat(t)}));return[...i,...o];case"merge_node":var{path:a}=e;return[...gK.ancestors(a),gK.previous(a)];case"move_node":var{path:s,newPath:l}=e;if(gK.equals(s,l))return[];var u=[],c=[];for(var d of gK.ancestors(s)){var p=gK.transform(d,e);u.push(p)}for(var f of gK.ancestors(l)){var h=gK.transform(f,e);c.push(h)}var m=c[c.length-1],g=l[l.length-1],v=m.concat(g);return[...u,...c,v];case"remove_node":var{path:y}=e;return[...gK.ancestors(y)];case"split_node":var{path:b}=e;return[...gK.levels(b),gK.next(b)];default:return[]}},shouldNormalize:e=>{var{iteration:t,initialDirtyPathsLength:n}=e,r=42*n;if(t>r)throw new Error("Could not completely normalize the editor after ".concat(r," iterations! This is usually due to incorrect normalization logic that leaves a node in an invalid state."));return!0}};return e};function SG(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}var AG,TG=function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=!t,r=t?MG(e):e,i=AG.None,o=AG.None,a=0,s=null;for(var l of r){var u=l.codePointAt(0);if(!u)break;var c=VG(l,u);if([i,o]=n?[o,c]:[c,i],GG(i,AG.ZWJ)&&GG(o,AG.ExtPict)&&!XG(n?e.substring(0,a):e.substring(0,e.length-a)))break;if(GG(i,AG.RI)&&GG(o,AG.RI)&&!(s=null!==s?!s:!!n||JG(e.substring(0,e.length-a))))break;if(i!==AG.None&&o!==AG.None&&YG(i,o))break;a+=l.length}return a||1},OG=/\s/,PG=/[\u0021-\u0023\u0025-\u002A\u002C-\u002F\u003A\u003B\u003F\u0040\u005B-\u005D\u005F\u007B\u007D\u00A1\u00A7\u00AB\u00B6\u00B7\u00BB\u00BF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u0AF0\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E3B\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]/,DG=/['\u2018\u2019]/,RG=(e,t,n)=>{if(n){var r=e.length-t;return[e.slice(r,e.length),e.slice(0,r)]}return[e.slice(0,t),e.slice(t)]},IG=function e(t,n){var r=arguments.length>2&&void 0!==arguments[2]&&arguments[2];if(OG.test(t))return!1;if(DG.test(t)){var i=TG(n,r),[o,a]=RG(n,i,r);if(e(o,a,r))return!0}return!PG.test(t)},MG=function*(e){for(var t=e.length-1,n=0;n<e.length;n++){var r=e.charAt(t-n);if(NG(r.charCodeAt(0))){var i=e.charAt(t-n-1);if(LG(i.charCodeAt(0))){yield i+r,n++;continue}}yield r}},LG=e=>e>=55296&&e<=56319,NG=e=>e>=56320&&e<=57343;!function(e){e[e.None=0]="None",e[e.Extend=1]="Extend",e[e.ZWJ=2]="ZWJ",e[e.RI=4]="RI",e[e.Prepend=8]="Prepend",e[e.SpacingMark=16]="SpacingMark",e[e.L=32]="L",e[e.V=64]="V",e[e.T=128]="T",e[e.LV=256]="LV",e[e.LVT=512]="LVT",e[e.ExtPict=1024]="ExtPict",e[e.Any=2048]="Any"}(AG||(AG={}));var jG=/^(?:[\u0300-\u036F\u0483-\u0489\u0591-\u05BD\u05BF\u05C1\u05C2\u05C4\u05C5\u05C7\u0610-\u061A\u064B-\u065F\u0670\u06D6-\u06DC\u06DF-\u06E4\u06E7\u06E8\u06EA-\u06ED\u0711\u0730-\u074A\u07A6-\u07B0\u07EB-\u07F3\u07FD\u0816-\u0819\u081B-\u0823\u0825-\u0827\u0829-\u082D\u0859-\u085B\u08D3-\u08E1\u08E3-\u0902\u093A\u093C\u0941-\u0948\u094D\u0951-\u0957\u0962\u0963\u0981\u09BC\u09BE\u09C1-\u09C4\u09CD\u09D7\u09E2\u09E3\u09FE\u0A01\u0A02\u0A3C\u0A41\u0A42\u0A47\u0A48\u0A4B-\u0A4D\u0A51\u0A70\u0A71\u0A75\u0A81\u0A82\u0ABC\u0AC1-\u0AC5\u0AC7\u0AC8\u0ACD\u0AE2\u0AE3\u0AFA-\u0AFF\u0B01\u0B3C\u0B3E\u0B3F\u0B41-\u0B44\u0B4D\u0B55-\u0B57\u0B62\u0B63\u0B82\u0BBE\u0BC0\u0BCD\u0BD7\u0C00\u0C04\u0C3E-\u0C40\u0C46-\u0C48\u0C4A-\u0C4D\u0C55\u0C56\u0C62\u0C63\u0C81\u0CBC\u0CBF\u0CC2\u0CC6\u0CCC\u0CCD\u0CD5\u0CD6\u0CE2\u0CE3\u0D00\u0D01\u0D3B\u0D3C\u0D3E\u0D41-\u0D44\u0D4D\u0D57\u0D62\u0D63\u0D81\u0DCA\u0DCF\u0DD2-\u0DD4\u0DD6\u0DDF\u0E31\u0E34-\u0E3A\u0E47-\u0E4E\u0EB1\u0EB4-\u0EBC\u0EC8-\u0ECD\u0F18\u0F19\u0F35\u0F37\u0F39\u0F71-\u0F7E\u0F80-\u0F84\u0F86\u0F87\u0F8D-\u0F97\u0F99-\u0FBC\u0FC6\u102D-\u1030\u1032-\u1037\u1039\u103A\u103D\u103E\u1058\u1059\u105E-\u1060\u1071-\u1074\u1082\u1085\u1086\u108D\u109D\u135D-\u135F\u1712-\u1714\u1732-\u1734\u1752\u1753\u1772\u1773\u17B4\u17B5\u17B7-\u17BD\u17C6\u17C9-\u17D3\u17DD\u180B-\u180D\u1885\u1886\u18A9\u1920-\u1922\u1927\u1928\u1932\u1939-\u193B\u1A17\u1A18\u1A1B\u1A56\u1A58-\u1A5E\u1A60\u1A62\u1A65-\u1A6C\u1A73-\u1A7C\u1A7F\u1AB0-\u1AC0\u1B00-\u1B03\u1B34-\u1B3A\u1B3C\u1B42\u1B6B-\u1B73\u1B80\u1B81\u1BA2-\u1BA5\u1BA8\u1BA9\u1BAB-\u1BAD\u1BE6\u1BE8\u1BE9\u1BED\u1BEF-\u1BF1\u1C2C-\u1C33\u1C36\u1C37\u1CD0-\u1CD2\u1CD4-\u1CE0\u1CE2-\u1CE8\u1CED\u1CF4\u1CF8\u1CF9\u1DC0-\u1DF9\u1DFB-\u1DFF\u200C\u20D0-\u20F0\u2CEF-\u2CF1\u2D7F\u2DE0-\u2DFF\u302A-\u302F\u3099\u309A\uA66F-\uA672\uA674-\uA67D\uA69E\uA69F\uA6F0\uA6F1\uA802\uA806\uA80B\uA825\uA826\uA82C\uA8C4\uA8C5\uA8E0-\uA8F1\uA8FF\uA926-\uA92D\uA947-\uA951\uA980-\uA982\uA9B3\uA9B6-\uA9B9\uA9BC\uA9BD\uA9E5\uAA29-\uAA2E\uAA31\uAA32\uAA35\uAA36\uAA43\uAA4C\uAA7C\uAAB0\uAAB2-\uAAB4\uAAB7\uAAB8\uAABE\uAABF\uAAC1\uAAEC\uAAED\uAAF6\uABE5\uABE8\uABED\uFB1E\uFE00-\uFE0F\uFE20-\uFE2F\uFF9E\uFF9F]|\uD800[\uDDFD\uDEE0\uDF76-\uDF7A]|\uD802[\uDE01-\uDE03\uDE05\uDE06\uDE0C-\uDE0F\uDE38-\uDE3A\uDE3F\uDEE5\uDEE6]|\uD803[\uDD24-\uDD27\uDEAB\uDEAC\uDF46-\uDF50]|\uD804[\uDC01\uDC38-\uDC46\uDC7F-\uDC81\uDCB3-\uDCB6\uDCB9\uDCBA\uDD00-\uDD02\uDD27-\uDD2B\uDD2D-\uDD34\uDD73\uDD80\uDD81\uDDB6-\uDDBE\uDDC9-\uDDCC\uDDCF\uDE2F-\uDE31\uDE34\uDE36\uDE37\uDE3E\uDEDF\uDEE3-\uDEEA\uDF00\uDF01\uDF3B\uDF3C\uDF3E\uDF40\uDF57\uDF66-\uDF6C\uDF70-\uDF74]|\uD805[\uDC38-\uDC3F\uDC42-\uDC44\uDC46\uDC5E\uDCB0\uDCB3-\uDCB8\uDCBA\uDCBD\uDCBF\uDCC0\uDCC2\uDCC3\uDDAF\uDDB2-\uDDB5\uDDBC\uDDBD\uDDBF\uDDC0\uDDDC\uDDDD\uDE33-\uDE3A\uDE3D\uDE3F\uDE40\uDEAB\uDEAD\uDEB0-\uDEB5\uDEB7\uDF1D-\uDF1F\uDF22-\uDF25\uDF27-\uDF2B]|\uD806[\uDC2F-\uDC37\uDC39\uDC3A\uDD30\uDD3B\uDD3C\uDD3E\uDD43\uDDD4-\uDDD7\uDDDA\uDDDB\uDDE0\uDE01-\uDE0A\uDE33-\uDE38\uDE3B-\uDE3E\uDE47\uDE51-\uDE56\uDE59-\uDE5B\uDE8A-\uDE96\uDE98\uDE99]|\uD807[\uDC30-\uDC36\uDC38-\uDC3D\uDC3F\uDC92-\uDCA7\uDCAA-\uDCB0\uDCB2\uDCB3\uDCB5\uDCB6\uDD31-\uDD36\uDD3A\uDD3C\uDD3D\uDD3F-\uDD45\uDD47\uDD90\uDD91\uDD95\uDD97\uDEF3\uDEF4]|\uD81A[\uDEF0-\uDEF4\uDF30-\uDF36]|\uD81B[\uDF4F\uDF8F-\uDF92\uDFE4]|\uD82F[\uDC9D\uDC9E]|\uD834[\uDD65\uDD67-\uDD69\uDD6E-\uDD72\uDD7B-\uDD82\uDD85-\uDD8B\uDDAA-\uDDAD\uDE42-\uDE44]|\uD836[\uDE00-\uDE36\uDE3B-\uDE6C\uDE75\uDE84\uDE9B-\uDE9F\uDEA1-\uDEAF]|\uD838[\uDC00-\uDC06\uDC08-\uDC18\uDC1B-\uDC21\uDC23\uDC24\uDC26-\uDC2A\uDD30-\uDD36\uDEEC-\uDEEF]|\uD83A[\uDCD0-\uDCD6\uDD44-\uDD4A]|\uD83C[\uDFFB-\uDFFF]|\uDB40[\uDC20-\uDC7F\uDD00-\uDDEF])$/,FG=/^(?:[\u0600-\u0605\u06DD\u070F\u0890\u0891\u08E2\u0D4E]|\uD804[\uDCBD\uDCCD\uDDC2\uDDC3]|\uD806[\uDD3F\uDD41\uDE3A\uDE84-\uDE89]|\uD807\uDD46)$/,BG=/^(?:[\u0903\u093B\u093E-\u0940\u0949-\u094C\u094E\u094F\u0982\u0983\u09BF\u09C0\u09C7\u09C8\u09CB\u09CC\u0A03\u0A3E-\u0A40\u0A83\u0ABE-\u0AC0\u0AC9\u0ACB\u0ACC\u0B02\u0B03\u0B40\u0B47\u0B48\u0B4B\u0B4C\u0BBF\u0BC1\u0BC2\u0BC6-\u0BC8\u0BCA-\u0BCC\u0C01-\u0C03\u0C41-\u0C44\u0C82\u0C83\u0CBE\u0CC0\u0CC1\u0CC3\u0CC4\u0CC7\u0CC8\u0CCA\u0CCB\u0D02\u0D03\u0D3F\u0D40\u0D46-\u0D48\u0D4A-\u0D4C\u0D82\u0D83\u0DD0\u0DD1\u0DD8-\u0DDE\u0DF2\u0DF3\u0E33\u0EB3\u0F3E\u0F3F\u0F7F\u1031\u103B\u103C\u1056\u1057\u1084\u1715\u1734\u17B6\u17BE-\u17C5\u17C7\u17C8\u1923-\u1926\u1929-\u192B\u1930\u1931\u1933-\u1938\u1A19\u1A1A\u1A55\u1A57\u1A6D-\u1A72\u1B04\u1B3B\u1B3D-\u1B41\u1B43\u1B44\u1B82\u1BA1\u1BA6\u1BA7\u1BAA\u1BE7\u1BEA-\u1BEC\u1BEE\u1BF2\u1BF3\u1C24-\u1C2B\u1C34\u1C35\u1CE1\u1CF7\uA823\uA824\uA827\uA880\uA881\uA8B4-\uA8C3\uA952\uA953\uA983\uA9B4\uA9B5\uA9BA\uA9BB\uA9BE-\uA9C0\uAA2F\uAA30\uAA33\uAA34\uAA4D\uAAEB\uAAEE\uAAEF\uAAF5\uABE3\uABE4\uABE6\uABE7\uABE9\uABEA\uABEC]|\uD804[\uDC00\uDC02\uDC82\uDCB0-\uDCB2\uDCB7\uDCB8\uDD2C\uDD45\uDD46\uDD82\uDDB3-\uDDB5\uDDBF\uDDC0\uDDCE\uDE2C-\uDE2E\uDE32\uDE33\uDE35\uDEE0-\uDEE2\uDF02\uDF03\uDF3F\uDF41-\uDF44\uDF47\uDF48\uDF4B-\uDF4D\uDF62\uDF63]|\uD805[\uDC35-\uDC37\uDC40\uDC41\uDC45\uDCB1\uDCB2\uDCB9\uDCBB\uDCBC\uDCBE\uDCC1\uDDB0\uDDB1\uDDB8-\uDDBB\uDDBE\uDE30-\uDE32\uDE3B\uDE3C\uDE3E\uDEAC\uDEAE\uDEAF\uDEB6\uDF26]|\uD806[\uDC2C-\uDC2E\uDC38\uDD31-\uDD35\uDD37\uDD38\uDD3D\uDD40\uDD42\uDDD1-\uDDD3\uDDDC-\uDDDF\uDDE4\uDE39\uDE57\uDE58\uDE97]|\uD807[\uDC2F\uDC3E\uDCA9\uDCB1\uDCB4\uDD8A-\uDD8E\uDD93\uDD94\uDD96\uDEF5\uDEF6]|\uD81B[\uDF51-\uDF87\uDFF0\uDFF1]|\uD834[\uDD66\uDD6D])$/,zG=/^[\u1100-\u115F\uA960-\uA97C]$/,UG=/^[\u1160-\u11A7\uD7B0-\uD7C6]$/,qG=/^[\u11A8-\u11FF\uD7CB-\uD7FB]$/,$G=/^[\uAC00\uAC1C\uAC38\uAC54\uAC70\uAC8C\uACA8\uACC4\uACE0\uACFC\uAD18\uAD34\uAD50\uAD6C\uAD88\uADA4\uADC0\uADDC\uADF8\uAE14\uAE30\uAE4C\uAE68\uAE84\uAEA0\uAEBC\uAED8\uAEF4\uAF10\uAF2C\uAF48\uAF64\uAF80\uAF9C\uAFB8\uAFD4\uAFF0\uB00C\uB028\uB044\uB060\uB07C\uB098\uB0B4\uB0D0\uB0EC\uB108\uB124\uB140\uB15C\uB178\uB194\uB1B0\uB1CC\uB1E8\uB204\uB220\uB23C\uB258\uB274\uB290\uB2AC\uB2C8\uB2E4\uB300\uB31C\uB338\uB354\uB370\uB38C\uB3A8\uB3C4\uB3E0\uB3FC\uB418\uB434\uB450\uB46C\uB488\uB4A4\uB4C0\uB4DC\uB4F8\uB514\uB530\uB54C\uB568\uB584\uB5A0\uB5BC\uB5D8\uB5F4\uB610\uB62C\uB648\uB664\uB680\uB69C\uB6B8\uB6D4\uB6F0\uB70C\uB728\uB744\uB760\uB77C\uB798\uB7B4\uB7D0\uB7EC\uB808\uB824\uB840\uB85C\uB878\uB894\uB8B0\uB8CC\uB8E8\uB904\uB920\uB93C\uB958\uB974\uB990\uB9AC\uB9C8\uB9E4\uBA00\uBA1C\uBA38\uBA54\uBA70\uBA8C\uBAA8\uBAC4\uBAE0\uBAFC\uBB18\uBB34\uBB50\uBB6C\uBB88\uBBA4\uBBC0\uBBDC\uBBF8\uBC14\uBC30\uBC4C\uBC68\uBC84\uBCA0\uBCBC\uBCD8\uBCF4\uBD10\uBD2C\uBD48\uBD64\uBD80\uBD9C\uBDB8\uBDD4\uBDF0\uBE0C\uBE28\uBE44\uBE60\uBE7C\uBE98\uBEB4\uBED0\uBEEC\uBF08\uBF24\uBF40\uBF5C\uBF78\uBF94\uBFB0\uBFCC\uBFE8\uC004\uC020\uC03C\uC058\uC074\uC090\uC0AC\uC0C8\uC0E4\uC100\uC11C\uC138\uC154\uC170\uC18C\uC1A8\uC1C4\uC1E0\uC1FC\uC218\uC234\uC250\uC26C\uC288\uC2A4\uC2C0\uC2DC\uC2F8\uC314\uC330\uC34C\uC368\uC384\uC3A0\uC3BC\uC3D8\uC3F4\uC410\uC42C\uC448\uC464\uC480\uC49C\uC4B8\uC4D4\uC4F0\uC50C\uC528\uC544\uC560\uC57C\uC598\uC5B4\uC5D0\uC5EC\uC608\uC624\uC640\uC65C\uC678\uC694\uC6B0\uC6CC\uC6E8\uC704\uC720\uC73C\uC758\uC774\uC790\uC7AC\uC7C8\uC7E4\uC800\uC81C\uC838\uC854\uC870\uC88C\uC8A8\uC8C4\uC8E0\uC8FC\uC918\uC934\uC950\uC96C\uC988\uC9A4\uC9C0\uC9DC\uC9F8\uCA14\uCA30\uCA4C\uCA68\uCA84\uCAA0\uCABC\uCAD8\uCAF4\uCB10\uCB2C\uCB48\uCB64\uCB80\uCB9C\uCBB8\uCBD4\uCBF0\uCC0C\uCC28\uCC44\uCC60\uCC7C\uCC98\uCCB4\uCCD0\uCCEC\uCD08\uCD24\uCD40\uCD5C\uCD78\uCD94\uCDB0\uCDCC\uCDE8\uCE04\uCE20\uCE3C\uCE58\uCE74\uCE90\uCEAC\uCEC8\uCEE4\uCF00\uCF1C\uCF38\uCF54\uCF70\uCF8C\uCFA8\uCFC4\uCFE0\uCFFC\uD018\uD034\uD050\uD06C\uD088\uD0A4\uD0C0\uD0DC\uD0F8\uD114\uD130\uD14C\uD168\uD184\uD1A0\uD1BC\uD1D8\uD1F4\uD210\uD22C\uD248\uD264\uD280\uD29C\uD2B8\uD2D4\uD2F0\uD30C\uD328\uD344\uD360\uD37C\uD398\uD3B4\uD3D0\uD3EC\uD408\uD424\uD440\uD45C\uD478\uD494\uD4B0\uD4CC\uD4E8\uD504\uD520\uD53C\uD558\uD574\uD590\uD5AC\uD5C8\uD5E4\uD600\uD61C\uD638\uD654\uD670\uD68C\uD6A8\uD6C4\uD6E0\uD6FC\uD718\uD734\uD750\uD76C\uD788]$/,WG=/^[\uAC01-\uAC1B\uAC1D-\uAC37\uAC39-\uAC53\uAC55-\uAC6F\uAC71-\uAC8B\uAC8D-\uACA7\uACA9-\uACC3\uACC5-\uACDF\uACE1-\uACFB\uACFD-\uAD17\uAD19-\uAD33\uAD35-\uAD4F\uAD51-\uAD6B\uAD6D-\uAD87\uAD89-\uADA3\uADA5-\uADBF\uADC1-\uADDB\uADDD-\uADF7\uADF9-\uAE13\uAE15-\uAE2F\uAE31-\uAE4B\uAE4D-\uAE67\uAE69-\uAE83\uAE85-\uAE9F\uAEA1-\uAEBB\uAEBD-\uAED7\uAED9-\uAEF3\uAEF5-\uAF0F\uAF11-\uAF2B\uAF2D-\uAF47\uAF49-\uAF63\uAF65-\uAF7F\uAF81-\uAF9B\uAF9D-\uAFB7\uAFB9-\uAFD3\uAFD5-\uAFEF\uAFF1-\uB00B\uB00D-\uB027\uB029-\uB043\uB045-\uB05F\uB061-\uB07B\uB07D-\uB097\uB099-\uB0B3\uB0B5-\uB0CF\uB0D1-\uB0EB\uB0ED-\uB107\uB109-\uB123\uB125-\uB13F\uB141-\uB15B\uB15D-\uB177\uB179-\uB193\uB195-\uB1AF\uB1B1-\uB1CB\uB1CD-\uB1E7\uB1E9-\uB203\uB205-\uB21F\uB221-\uB23B\uB23D-\uB257\uB259-\uB273\uB275-\uB28F\uB291-\uB2AB\uB2AD-\uB2C7\uB2C9-\uB2E3\uB2E5-\uB2FF\uB301-\uB31B\uB31D-\uB337\uB339-\uB353\uB355-\uB36F\uB371-\uB38B\uB38D-\uB3A7\uB3A9-\uB3C3\uB3C5-\uB3DF\uB3E1-\uB3FB\uB3FD-\uB417\uB419-\uB433\uB435-\uB44F\uB451-\uB46B\uB46D-\uB487\uB489-\uB4A3\uB4A5-\uB4BF\uB4C1-\uB4DB\uB4DD-\uB4F7\uB4F9-\uB513\uB515-\uB52F\uB531-\uB54B\uB54D-\uB567\uB569-\uB583\uB585-\uB59F\uB5A1-\uB5BB\uB5BD-\uB5D7\uB5D9-\uB5F3\uB5F5-\uB60F\uB611-\uB62B\uB62D-\uB647\uB649-\uB663\uB665-\uB67F\uB681-\uB69B\uB69D-\uB6B7\uB6B9-\uB6D3\uB6D5-\uB6EF\uB6F1-\uB70B\uB70D-\uB727\uB729-\uB743\uB745-\uB75F\uB761-\uB77B\uB77D-\uB797\uB799-\uB7B3\uB7B5-\uB7CF\uB7D1-\uB7EB\uB7ED-\uB807\uB809-\uB823\uB825-\uB83F\uB841-\uB85B\uB85D-\uB877\uB879-\uB893\uB895-\uB8AF\uB8B1-\uB8CB\uB8CD-\uB8E7\uB8E9-\uB903\uB905-\uB91F\uB921-\uB93B\uB93D-\uB957\uB959-\uB973\uB975-\uB98F\uB991-\uB9AB\uB9AD-\uB9C7\uB9C9-\uB9E3\uB9E5-\uB9FF\uBA01-\uBA1B\uBA1D-\uBA37\uBA39-\uBA53\uBA55-\uBA6F\uBA71-\uBA8B\uBA8D-\uBAA7\uBAA9-\uBAC3\uBAC5-\uBADF\uBAE1-\uBAFB\uBAFD-\uBB17\uBB19-\uBB33\uBB35-\uBB4F\uBB51-\uBB6B\uBB6D-\uBB87\uBB89-\uBBA3\uBBA5-\uBBBF\uBBC1-\uBBDB\uBBDD-\uBBF7\uBBF9-\uBC13\uBC15-\uBC2F\uBC31-\uBC4B\uBC4D-\uBC67\uBC69-\uBC83\uBC85-\uBC9F\uBCA1-\uBCBB\uBCBD-\uBCD7\uBCD9-\uBCF3\uBCF5-\uBD0F\uBD11-\uBD2B\uBD2D-\uBD47\uBD49-\uBD63\uBD65-\uBD7F\uBD81-\uBD9B\uBD9D-\uBDB7\uBDB9-\uBDD3\uBDD5-\uBDEF\uBDF1-\uBE0B\uBE0D-\uBE27\uBE29-\uBE43\uBE45-\uBE5F\uBE61-\uBE7B\uBE7D-\uBE97\uBE99-\uBEB3\uBEB5-\uBECF\uBED1-\uBEEB\uBEED-\uBF07\uBF09-\uBF23\uBF25-\uBF3F\uBF41-\uBF5B\uBF5D-\uBF77\uBF79-\uBF93\uBF95-\uBFAF\uBFB1-\uBFCB\uBFCD-\uBFE7\uBFE9-\uC003\uC005-\uC01F\uC021-\uC03B\uC03D-\uC057\uC059-\uC073\uC075-\uC08F\uC091-\uC0AB\uC0AD-\uC0C7\uC0C9-\uC0E3\uC0E5-\uC0FF\uC101-\uC11B\uC11D-\uC137\uC139-\uC153\uC155-\uC16F\uC171-\uC18B\uC18D-\uC1A7\uC1A9-\uC1C3\uC1C5-\uC1DF\uC1E1-\uC1FB\uC1FD-\uC217\uC219-\uC233\uC235-\uC24F\uC251-\uC26B\uC26D-\uC287\uC289-\uC2A3\uC2A5-\uC2BF\uC2C1-\uC2DB\uC2DD-\uC2F7\uC2F9-\uC313\uC315-\uC32F\uC331-\uC34B\uC34D-\uC367\uC369-\uC383\uC385-\uC39F\uC3A1-\uC3BB\uC3BD-\uC3D7\uC3D9-\uC3F3\uC3F5-\uC40F\uC411-\uC42B\uC42D-\uC447\uC449-\uC463\uC465-\uC47F\uC481-\uC49B\uC49D-\uC4B7\uC4B9-\uC4D3\uC4D5-\uC4EF\uC4F1-\uC50B\uC50D-\uC527\uC529-\uC543\uC545-\uC55F\uC561-\uC57B\uC57D-\uC597\uC599-\uC5B3\uC5B5-\uC5CF\uC5D1-\uC5EB\uC5ED-\uC607\uC609-\uC623\uC625-\uC63F\uC641-\uC65B\uC65D-\uC677\uC679-\uC693\uC695-\uC6AF\uC6B1-\uC6CB\uC6CD-\uC6E7\uC6E9-\uC703\uC705-\uC71F\uC721-\uC73B\uC73D-\uC757\uC759-\uC773\uC775-\uC78F\uC791-\uC7AB\uC7AD-\uC7C7\uC7C9-\uC7E3\uC7E5-\uC7FF\uC801-\uC81B\uC81D-\uC837\uC839-\uC853\uC855-\uC86F\uC871-\uC88B\uC88D-\uC8A7\uC8A9-\uC8C3\uC8C5-\uC8DF\uC8E1-\uC8FB\uC8FD-\uC917\uC919-\uC933\uC935-\uC94F\uC951-\uC96B\uC96D-\uC987\uC989-\uC9A3\uC9A5-\uC9BF\uC9C1-\uC9DB\uC9DD-\uC9F7\uC9F9-\uCA13\uCA15-\uCA2F\uCA31-\uCA4B\uCA4D-\uCA67\uCA69-\uCA83\uCA85-\uCA9F\uCAA1-\uCABB\uCABD-\uCAD7\uCAD9-\uCAF3\uCAF5-\uCB0F\uCB11-\uCB2B\uCB2D-\uCB47\uCB49-\uCB63\uCB65-\uCB7F\uCB81-\uCB9B\uCB9D-\uCBB7\uCBB9-\uCBD3\uCBD5-\uCBEF\uCBF1-\uCC0B\uCC0D-\uCC27\uCC29-\uCC43\uCC45-\uCC5F\uCC61-\uCC7B\uCC7D-\uCC97\uCC99-\uCCB3\uCCB5-\uCCCF\uCCD1-\uCCEB\uCCED-\uCD07\uCD09-\uCD23\uCD25-\uCD3F\uCD41-\uCD5B\uCD5D-\uCD77\uCD79-\uCD93\uCD95-\uCDAF\uCDB1-\uCDCB\uCDCD-\uCDE7\uCDE9-\uCE03\uCE05-\uCE1F\uCE21-\uCE3B\uCE3D-\uCE57\uCE59-\uCE73\uCE75-\uCE8F\uCE91-\uCEAB\uCEAD-\uCEC7\uCEC9-\uCEE3\uCEE5-\uCEFF\uCF01-\uCF1B\uCF1D-\uCF37\uCF39-\uCF53\uCF55-\uCF6F\uCF71-\uCF8B\uCF8D-\uCFA7\uCFA9-\uCFC3\uCFC5-\uCFDF\uCFE1-\uCFFB\uCFFD-\uD017\uD019-\uD033\uD035-\uD04F\uD051-\uD06B\uD06D-\uD087\uD089-\uD0A3\uD0A5-\uD0BF\uD0C1-\uD0DB\uD0DD-\uD0F7\uD0F9-\uD113\uD115-\uD12F\uD131-\uD14B\uD14D-\uD167\uD169-\uD183\uD185-\uD19F\uD1A1-\uD1BB\uD1BD-\uD1D7\uD1D9-\uD1F3\uD1F5-\uD20F\uD211-\uD22B\uD22D-\uD247\uD249-\uD263\uD265-\uD27F\uD281-\uD29B\uD29D-\uD2B7\uD2B9-\uD2D3\uD2D5-\uD2EF\uD2F1-\uD30B\uD30D-\uD327\uD329-\uD343\uD345-\uD35F\uD361-\uD37B\uD37D-\uD397\uD399-\uD3B3\uD3B5-\uD3CF\uD3D1-\uD3EB\uD3ED-\uD407\uD409-\uD423\uD425-\uD43F\uD441-\uD45B\uD45D-\uD477\uD479-\uD493\uD495-\uD4AF\uD4B1-\uD4CB\uD4CD-\uD4E7\uD4E9-\uD503\uD505-\uD51F\uD521-\uD53B\uD53D-\uD557\uD559-\uD573\uD575-\uD58F\uD591-\uD5AB\uD5AD-\uD5C7\uD5C9-\uD5E3\uD5E5-\uD5FF\uD601-\uD61B\uD61D-\uD637\uD639-\uD653\uD655-\uD66F\uD671-\uD68B\uD68D-\uD6A7\uD6A9-\uD6C3\uD6C5-\uD6DF\uD6E1-\uD6FB\uD6FD-\uD717\uD719-\uD733\uD735-\uD74F\uD751-\uD76B\uD76D-\uD787\uD789-\uD7A3]$/,HG=/^(?:[\xA9\xAE\u203C\u2049\u2122\u2139\u2194-\u2199\u21A9\u21AA\u231A\u231B\u2328\u2388\u23CF\u23E9-\u23F3\u23F8-\u23FA\u24C2\u25AA\u25AB\u25B6\u25C0\u25FB-\u25FE\u2600-\u2605\u2607-\u2612\u2614-\u2685\u2690-\u2705\u2708-\u2712\u2714\u2716\u271D\u2721\u2728\u2733\u2734\u2744\u2747\u274C\u274E\u2753-\u2755\u2757\u2763-\u2767\u2795-\u2797\u27A1\u27B0\u27BF\u2934\u2935\u2B05-\u2B07\u2B1B\u2B1C\u2B50\u2B55\u3030\u303D\u3297\u3299]|\uD83C[\uDC00-\uDCFF\uDD0D-\uDD0F\uDD2F\uDD6C-\uDD71\uDD7E\uDD7F\uDD8E\uDD91-\uDD9A\uDDAD-\uDDE5\uDE01-\uDE0F\uDE1A\uDE2F\uDE32-\uDE3A\uDE3C-\uDE3F\uDE49-\uDFFA]|\uD83D[\uDC00-\uDD3D\uDD46-\uDE4F\uDE80-\uDEFF\uDF74-\uDF7F\uDFD5-\uDFFF]|\uD83E[\uDC0C-\uDC0F\uDC48-\uDC4F\uDC5A-\uDC5F\uDC88-\uDC8F\uDCAE-\uDCFF\uDD0C-\uDD3A\uDD3C-\uDD45\uDD47-\uDEFF]|\uD83F[\uDC00-\uDFFD])$/,VG=(e,t)=>{var n=AG.Any;return-1!==e.search(jG)&&(n|=AG.Extend),8205===t&&(n|=AG.ZWJ),t>=127462&&t<=127487&&(n|=AG.RI),-1!==e.search(FG)&&(n|=AG.Prepend),-1!==e.search(BG)&&(n|=AG.SpacingMark),-1!==e.search(zG)&&(n|=AG.L),-1!==e.search(UG)&&(n|=AG.V),-1!==e.search(qG)&&(n|=AG.T),-1!==e.search($G)&&(n|=AG.LV),-1!==e.search(WG)&&(n|=AG.LVT),-1!==e.search(HG)&&(n|=AG.ExtPict),n};function GG(e,t){return 0!=(e&t)}var KG=[[AG.L,AG.L|AG.V|AG.LV|AG.LVT],[AG.LV|AG.V,AG.V|AG.T],[AG.LVT|AG.T,AG.T],[AG.Any,AG.Extend|AG.ZWJ],[AG.Any,AG.SpacingMark],[AG.Prepend,AG.Any],[AG.ZWJ,AG.ExtPict],[AG.RI,AG.RI]];function YG(e,t){return-1===KG.findIndex((n=>GG(e,n[0])&&GG(t,n[1])))}var ZG=/(?:[\xA9\xAE\u203C\u2049\u2122\u2139\u2194-\u2199\u21A9\u21AA\u231A\u231B\u2328\u2388\u23CF\u23E9-\u23F3\u23F8-\u23FA\u24C2\u25AA\u25AB\u25B6\u25C0\u25FB-\u25FE\u2600-\u2605\u2607-\u2612\u2614-\u2685\u2690-\u2705\u2708-\u2712\u2714\u2716\u271D\u2721\u2728\u2733\u2734\u2744\u2747\u274C\u274E\u2753-\u2755\u2757\u2763-\u2767\u2795-\u2797\u27A1\u27B0\u27BF\u2934\u2935\u2B05-\u2B07\u2B1B\u2B1C\u2B50\u2B55\u3030\u303D\u3297\u3299]|\uD83C[\uDC00-\uDCFF\uDD0D-\uDD0F\uDD2F\uDD6C-\uDD71\uDD7E\uDD7F\uDD8E\uDD91-\uDD9A\uDDAD-\uDDE5\uDE01-\uDE0F\uDE1A\uDE2F\uDE32-\uDE3A\uDE3C-\uDE3F\uDE49-\uDFFA]|\uD83D[\uDC00-\uDD3D\uDD46-\uDE4F\uDE80-\uDEFF\uDF74-\uDF7F\uDFD5-\uDFFF]|\uD83E[\uDC0C-\uDC0F\uDC48-\uDC4F\uDC5A-\uDC5F\uDC88-\uDC8F\uDCAE-\uDCFF\uDD0C-\uDD3A\uDD3C-\uDD45\uDD47-\uDEFF]|\uD83F[\uDC00-\uDFFD])(?:[\u0300-\u036F\u0483-\u0489\u0591-\u05BD\u05BF\u05C1\u05C2\u05C4\u05C5\u05C7\u0610-\u061A\u064B-\u065F\u0670\u06D6-\u06DC\u06DF-\u06E4\u06E7\u06E8\u06EA-\u06ED\u0711\u0730-\u074A\u07A6-\u07B0\u07EB-\u07F3\u07FD\u0816-\u0819\u081B-\u0823\u0825-\u0827\u0829-\u082D\u0859-\u085B\u08D3-\u08E1\u08E3-\u0902\u093A\u093C\u0941-\u0948\u094D\u0951-\u0957\u0962\u0963\u0981\u09BC\u09BE\u09C1-\u09C4\u09CD\u09D7\u09E2\u09E3\u09FE\u0A01\u0A02\u0A3C\u0A41\u0A42\u0A47\u0A48\u0A4B-\u0A4D\u0A51\u0A70\u0A71\u0A75\u0A81\u0A82\u0ABC\u0AC1-\u0AC5\u0AC7\u0AC8\u0ACD\u0AE2\u0AE3\u0AFA-\u0AFF\u0B01\u0B3C\u0B3E\u0B3F\u0B41-\u0B44\u0B4D\u0B55-\u0B57\u0B62\u0B63\u0B82\u0BBE\u0BC0\u0BCD\u0BD7\u0C00\u0C04\u0C3E-\u0C40\u0C46-\u0C48\u0C4A-\u0C4D\u0C55\u0C56\u0C62\u0C63\u0C81\u0CBC\u0CBF\u0CC2\u0CC6\u0CCC\u0CCD\u0CD5\u0CD6\u0CE2\u0CE3\u0D00\u0D01\u0D3B\u0D3C\u0D3E\u0D41-\u0D44\u0D4D\u0D57\u0D62\u0D63\u0D81\u0DCA\u0DCF\u0DD2-\u0DD4\u0DD6\u0DDF\u0E31\u0E34-\u0E3A\u0E47-\u0E4E\u0EB1\u0EB4-\u0EBC\u0EC8-\u0ECD\u0F18\u0F19\u0F35\u0F37\u0F39\u0F71-\u0F7E\u0F80-\u0F84\u0F86\u0F87\u0F8D-\u0F97\u0F99-\u0FBC\u0FC6\u102D-\u1030\u1032-\u1037\u1039\u103A\u103D\u103E\u1058\u1059\u105E-\u1060\u1071-\u1074\u1082\u1085\u1086\u108D\u109D\u135D-\u135F\u1712-\u1714\u1732-\u1734\u1752\u1753\u1772\u1773\u17B4\u17B5\u17B7-\u17BD\u17C6\u17C9-\u17D3\u17DD\u180B-\u180D\u1885\u1886\u18A9\u1920-\u1922\u1927\u1928\u1932\u1939-\u193B\u1A17\u1A18\u1A1B\u1A56\u1A58-\u1A5E\u1A60\u1A62\u1A65-\u1A6C\u1A73-\u1A7C\u1A7F\u1AB0-\u1AC0\u1B00-\u1B03\u1B34-\u1B3A\u1B3C\u1B42\u1B6B-\u1B73\u1B80\u1B81\u1BA2-\u1BA5\u1BA8\u1BA9\u1BAB-\u1BAD\u1BE6\u1BE8\u1BE9\u1BED\u1BEF-\u1BF1\u1C2C-\u1C33\u1C36\u1C37\u1CD0-\u1CD2\u1CD4-\u1CE0\u1CE2-\u1CE8\u1CED\u1CF4\u1CF8\u1CF9\u1DC0-\u1DF9\u1DFB-\u1DFF\u200C\u20D0-\u20F0\u2CEF-\u2CF1\u2D7F\u2DE0-\u2DFF\u302A-\u302F\u3099\u309A\uA66F-\uA672\uA674-\uA67D\uA69E\uA69F\uA6F0\uA6F1\uA802\uA806\uA80B\uA825\uA826\uA82C\uA8C4\uA8C5\uA8E0-\uA8F1\uA8FF\uA926-\uA92D\uA947-\uA951\uA980-\uA982\uA9B3\uA9B6-\uA9B9\uA9BC\uA9BD\uA9E5\uAA29-\uAA2E\uAA31\uAA32\uAA35\uAA36\uAA43\uAA4C\uAA7C\uAAB0\uAAB2-\uAAB4\uAAB7\uAAB8\uAABE\uAABF\uAAC1\uAAEC\uAAED\uAAF6\uABE5\uABE8\uABED\uFB1E\uFE00-\uFE0F\uFE20-\uFE2F\uFF9E\uFF9F]|\uD800[\uDDFD\uDEE0\uDF76-\uDF7A]|\uD802[\uDE01-\uDE03\uDE05\uDE06\uDE0C-\uDE0F\uDE38-\uDE3A\uDE3F\uDEE5\uDEE6]|\uD803[\uDD24-\uDD27\uDEAB\uDEAC\uDF46-\uDF50]|\uD804[\uDC01\uDC38-\uDC46\uDC7F-\uDC81\uDCB3-\uDCB6\uDCB9\uDCBA\uDD00-\uDD02\uDD27-\uDD2B\uDD2D-\uDD34\uDD73\uDD80\uDD81\uDDB6-\uDDBE\uDDC9-\uDDCC\uDDCF\uDE2F-\uDE31\uDE34\uDE36\uDE37\uDE3E\uDEDF\uDEE3-\uDEEA\uDF00\uDF01\uDF3B\uDF3C\uDF3E\uDF40\uDF57\uDF66-\uDF6C\uDF70-\uDF74]|\uD805[\uDC38-\uDC3F\uDC42-\uDC44\uDC46\uDC5E\uDCB0\uDCB3-\uDCB8\uDCBA\uDCBD\uDCBF\uDCC0\uDCC2\uDCC3\uDDAF\uDDB2-\uDDB5\uDDBC\uDDBD\uDDBF\uDDC0\uDDDC\uDDDD\uDE33-\uDE3A\uDE3D\uDE3F\uDE40\uDEAB\uDEAD\uDEB0-\uDEB5\uDEB7\uDF1D-\uDF1F\uDF22-\uDF25\uDF27-\uDF2B]|\uD806[\uDC2F-\uDC37\uDC39\uDC3A\uDD30\uDD3B\uDD3C\uDD3E\uDD43\uDDD4-\uDDD7\uDDDA\uDDDB\uDDE0\uDE01-\uDE0A\uDE33-\uDE38\uDE3B-\uDE3E\uDE47\uDE51-\uDE56\uDE59-\uDE5B\uDE8A-\uDE96\uDE98\uDE99]|\uD807[\uDC30-\uDC36\uDC38-\uDC3D\uDC3F\uDC92-\uDCA7\uDCAA-\uDCB0\uDCB2\uDCB3\uDCB5\uDCB6\uDD31-\uDD36\uDD3A\uDD3C\uDD3D\uDD3F-\uDD45\uDD47\uDD90\uDD91\uDD95\uDD97\uDEF3\uDEF4]|\uD81A[\uDEF0-\uDEF4\uDF30-\uDF36]|\uD81B[\uDF4F\uDF8F-\uDF92\uDFE4]|\uD82F[\uDC9D\uDC9E]|\uD834[\uDD65\uDD67-\uDD69\uDD6E-\uDD72\uDD7B-\uDD82\uDD85-\uDD8B\uDDAA-\uDDAD\uDE42-\uDE44]|\uD836[\uDE00-\uDE36\uDE3B-\uDE6C\uDE75\uDE84\uDE9B-\uDE9F\uDEA1-\uDEAF]|\uD838[\uDC00-\uDC06\uDC08-\uDC18\uDC1B-\uDC21\uDC23\uDC24\uDC26-\uDC2A\uDD30-\uDD36\uDEEC-\uDEEF]|\uD83A[\uDCD0-\uDCD6\uDD44-\uDD4A]|\uD83C[\uDFFB-\uDFFF]|\uDB40[\uDC20-\uDC7F\uDD00-\uDDEF])*\u200D$/,XG=e=>-1!==e.search(ZG),QG=/(?:\uD83C[\uDDE6-\uDDFF])+$/g,JG=e=>{var t=e.match(QG);return null!==t&&t[0].length/2%2==1},eK=e=>hG(e)&&pK.isNodeList(e.children)&&!sK.isEditor(e),tK={isAncestor:e=>hG(e)&&pK.isNodeList(e.children),isElement:eK,isElementList:e=>Array.isArray(e)&&e.every((e=>tK.isElement(e))),isElementProps:e=>void 0!==e.children,isElementType:function(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:"type";return eK(e)&&e[n]===t},matches(e,t){for(var n in t)if("children"!==n&&e[n]!==t[n])return!1;return!0}},nK=["text"],rK=["text"];function iK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function oK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?iK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):iK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var aK=new WeakMap,sK={above(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{voids:n=!1,mode:r="lowest",at:i=e.selection,match:o}=t;if(i){var a=sK.path(e,i),s="lowest"===r;for(var[l,u]of sK.levels(e,{at:a,voids:n,match:o,reverse:s}))if(!IK.isText(l))if(EK.isRange(i)){if(gK.isAncestor(u,i.anchor.path)&&gK.isAncestor(u,i.focus.path))return[l,u]}else if(!gK.equals(a,u))return[l,u]}},addMark(e,t,n){e.addMark(t,n)},after(e,t){var n,r=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},i={anchor:sK.point(e,t,{edge:"end"}),focus:sK.end(e,[])},{distance:o=1}=r,a=0;for(var s of sK.positions(e,oK(oK({},r),{},{at:i}))){if(a>o)break;0!==a&&(n=s),a++}return n},before(e,t){var n,r=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},i={anchor:sK.start(e,[]),focus:sK.point(e,t,{edge:"start"})},{distance:o=1}=r,a=0;for(var s of sK.positions(e,oK(oK({},r),{},{at:i,reverse:!0}))){if(a>o)break;0!==a&&(n=s),a++}return n},deleteBackward(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{unit:n="character"}=t;e.deleteBackward(n)},deleteForward(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{unit:n="character"}=t;e.deleteForward(n)},deleteFragment(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{direction:n="forward"}=t;e.deleteFragment(n)},edges:(e,t)=>[sK.start(e,t),sK.end(e,t)],end:(e,t)=>sK.point(e,t,{edge:"end"}),first(e,t){var n=sK.path(e,t,{edge:"start"});return sK.node(e,n)},fragment(e,t){var n=sK.range(e,t);return pK.fragment(e,n)},hasBlocks:(e,t)=>t.children.some((t=>tK.isElement(t)&&sK.isBlock(e,t))),hasInlines:(e,t)=>t.children.some((t=>IK.isText(t)||sK.isInline(e,t))),hasTexts:(e,t)=>t.children.every((e=>IK.isText(e))),insertBreak(e){e.insertBreak()},insertSoftBreak(e){e.insertSoftBreak()},insertFragment(e,t){e.insertFragment(t)},insertNode(e,t){e.insertNode(t)},insertText(e,t){e.insertText(t)},isBlock:(e,t)=>!e.isInline(t),isEditor(e){var t=aK.get(e);if(void 0!==t)return t;if(!hG(e))return!1;var n="function"==typeof e.addMark&&"function"==typeof e.apply&&"function"==typeof e.deleteBackward&&"function"==typeof e.deleteForward&&"function"==typeof e.deleteFragment&&"function"==typeof e.insertBreak&&"function"==typeof e.insertSoftBreak&&"function"==typeof e.insertFragment&&"function"==typeof e.insertNode&&"function"==typeof e.insertText&&"function"==typeof e.isInline&&"function"==typeof e.isVoid&&"function"==typeof e.normalizeNode&&"function"==typeof e.onChange&&"function"==typeof e.removeMark&&"function"==typeof e.getDirtyPaths&&(null===e.marks||hG(e.marks))&&(null===e.selection||EK.isRange(e.selection))&&pK.isNodeList(e.children)&&mK.isOperationList(e.operations);return aK.set(e,n),n},isEnd(e,t,n){var r=sK.end(e,n);return wK.equals(t,r)},isEdge:(e,t,n)=>sK.isStart(e,t,n)||sK.isEnd(e,t,n),isEmpty(e,t){var{children:n}=t,[r]=n;return 0===n.length||1===n.length&&IK.isText(r)&&""===r.text&&!e.isVoid(t)},isInline:(e,t)=>e.isInline(t),isNormalizing(e){var t=bG.get(e);return void 0===t||t},isStart(e,t,n){if(0!==t.offset)return!1;var r=sK.start(e,n);return wK.equals(t,r)},isVoid:(e,t)=>e.isVoid(t),last(e,t){var n=sK.path(e,t,{edge:"end"});return sK.node(e,n)},leaf(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},r=sK.path(e,t,n);return[pK.leaf(e,r),r]},*levels(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{at:n=e.selection,reverse:r=!1,voids:i=!1}=t,{match:o}=t;if(null==o&&(o=()=>!0),n){var a=[],s=sK.path(e,n);for(var[l,u]of pK.levels(e,s))if(o(l,u)&&(a.push([l,u]),!i&&tK.isElement(l)&&sK.isVoid(e,l)))break;r&&a.reverse(),yield*a}},marks(e){var{marks:t,selection:n}=e;if(!n)return null;if(t)return t;if(EK.isExpanded(n)){var[r]=sK.nodes(e,{match:IK.isText});if(r){var[i]=r;return SG(i,nK)}return{}}var{anchor:o}=n,{path:a}=o,[s]=sK.leaf(e,a);if(0===o.offset){var l=sK.previous(e,{at:a,match:IK.isText}),u=sK.above(e,{match:t=>tK.isElement(t)&&sK.isVoid(e,t)&&e.markableVoid(t)});if(!u){var c=sK.above(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t)});if(l&&c){var[d,p]=l,[,f]=c;gK.isAncestor(f,p)&&(s=d)}}}return SG(s,rK)},next(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{mode:n="lowest",voids:r=!1}=t,{match:i,at:o=e.selection}=t;if(o){var a=sK.after(e,o,{voids:r});if(a){var[,s]=sK.last(e,[]),l=[a.path,s];if(gK.isPath(o)&&0===o.length)throw new Error("Cannot get the next node from the root node!");if(null==i)if(gK.isPath(o)){var[u]=sK.parent(e,o);i=e=>u.children.includes(e)}else i=()=>!0;var[c]=sK.nodes(e,{at:l,match:i,mode:n,voids:r});return c}}},node(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},r=sK.path(e,t,n);return[pK.get(e,r),r]},*nodes(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{at:n=e.selection,mode:r="all",universal:i=!1,reverse:o=!1,voids:a=!1}=t,{match:s}=t;if(s||(s=()=>!0),n){var l,u;if(lK.isSpan(n))l=n[0],u=n[1];else{var c=sK.path(e,n,{edge:"start"}),d=sK.path(e,n,{edge:"end"});l=o?d:c,u=o?c:d}var p,f=pK.nodes(e,{reverse:o,from:l,to:u,pass:t=>{var[n]=t;return!a&&tK.isElement(n)&&sK.isVoid(e,n)}}),h=[];for(var[m,g]of f){var v=p&&0===gK.compare(g,p[1]);if("highest"!==r||!v)if(s(m,g))if("lowest"===r&&v)p=[m,g];else{var y="lowest"===r?p:[m,g];y&&(i?h.push(y):yield y),p=[m,g]}else if(i&&!v&&IK.isText(m))return}"lowest"===r&&p&&(i?h.push(p):yield p),i&&(yield*h)}},normalize(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{force:n=!1,operation:r}=t,i=e=>gG.get(e)||[],o=e=>{var t=i(e).pop(),n=t.join(",");return(e=>vG.get(e)||new Set)(e).delete(n),t};if(sK.isNormalizing(e)){if(n){var a=Array.from(pK.nodes(e),(e=>{var[,t]=e;return t})),s=new Set(a.map((e=>e.join(","))));gG.set(e,a),vG.set(e,s)}0!==i(e).length&&sK.withoutNormalizing(e,(()=>{for(var t of i(e))if(pK.has(e,t)){var n=sK.node(e,t),[a,s]=n;tK.isElement(a)&&0===a.children.length&&e.normalizeNode(n,{operation:r})}for(var l=i(e),u=l.length,c=0;0!==l.length;){if(!e.shouldNormalize({dirtyPaths:l,iteration:c,initialDirtyPathsLength:u,operation:r}))return;var d=o(e);if(pK.has(e,d)){var p=sK.node(e,d);e.normalizeNode(p,{operation:r})}c++,l=i(e)}}))}},parent(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},r=sK.path(e,t,n),i=gK.parent(r);return sK.node(e,i)},path(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{depth:r,edge:i}=n;if(gK.isPath(t))if("start"===i){var[,o]=pK.first(e,t);t=o}else if("end"===i){var[,a]=pK.last(e,t);t=a}return EK.isRange(t)&&(t="start"===i?EK.start(t):"end"===i?EK.end(t):gK.common(t.anchor.path,t.focus.path)),wK.isPoint(t)&&(t=t.path),null!=r&&(t=t.slice(0,r)),t},hasPath:(e,t)=>pK.has(e,t),pathRef(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{affinity:r="forward"}=n,i={current:t,affinity:r,unref(){var{current:t}=i;return sK.pathRefs(e).delete(i),i.current=null,t}};return sK.pathRefs(e).add(i),i},pathRefs(e){var t=wG.get(e);return t||(t=new Set,wG.set(e,t)),t},point(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{edge:r="start"}=n;if(gK.isPath(t)){var i;if("end"===r){var[,o]=pK.last(e,t);i=o}else{var[,a]=pK.first(e,t);i=a}var s=pK.get(e,i);if(!IK.isText(s))throw new Error("Cannot get the ".concat(r," point in the node at path [").concat(t,"] because it has no ").concat(r," text node."));return{path:i,offset:"end"===r?s.text.length:0}}if(EK.isRange(t)){var[l,u]=EK.edges(t);return"start"===r?l:u}return t},pointRef(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{affinity:r="forward"}=n,i={current:t,affinity:r,unref(){var{current:t}=i;return sK.pointRefs(e).delete(i),i.current=null,t}};return sK.pointRefs(e).add(i),i},pointRefs(e){var t=_G.get(e);return t||(t=new Set,_G.set(e,t)),t},*positions(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{at:n=e.selection,unit:r="offset",reverse:i=!1,voids:o=!1}=t;if(n){var a=sK.range(e,n),[s,l]=EK.edges(a),u=i?l:s,c=!1,d="",p=0,f=0,h=0;for(var[m,g]of sK.nodes(e,{at:n,reverse:i,voids:o})){if(tK.isElement(m)){if(!o&&e.isVoid(m)){yield sK.start(e,g);continue}if(e.isInline(m))continue;if(sK.hasInlines(e,m)){var v=gK.isAncestor(g,l.path)?l:sK.end(e,g),y=gK.isAncestor(g,s.path)?s:sK.start(e,g);d=sK.string(e,{anchor:y,focus:v},{voids:o}),c=!0}}if(IK.isText(m)){var b=gK.equals(g,u.path);for(b?(f=i?u.offset:m.text.length-u.offset,h=u.offset):(f=m.text.length,h=i?f:0),(b||c||"offset"===r)&&(yield{path:g,offset:h},c=!1);;){if(0===p){if(""===d)break;p=w(d,r,i),d=RG(d,p,i)[1]}if(h=i?h-p:h+p,(f-=p)<0){p=-f;break}p=0,yield{path:g,offset:h}}}}}function w(e,t,n){return"character"===t?TG(e,n):"word"===t?function(e){for(var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=0,r=!1;e.length>0;){var i=TG(e,t),[o,a]=RG(e,i,t);if(IG(o,a,t))r=!0,n+=i;else{if(r)break;n+=i}e=a}return n}(e,n):"line"===t||"block"===t?e.length:1}},previous(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{mode:n="lowest",voids:r=!1}=t,{match:i,at:o=e.selection}=t;if(o){var a=sK.before(e,o,{voids:r});if(a){var[,s]=sK.first(e,[]),l=[a.path,s];if(gK.isPath(o)&&0===o.length)throw new Error("Cannot get the previous node from the root node!");if(null==i)if(gK.isPath(o)){var[u]=sK.parent(e,o);i=e=>u.children.includes(e)}else i=()=>!0;var[c]=sK.nodes(e,{reverse:!0,at:l,match:i,mode:n,voids:r});return c}}},range:(e,t,n)=>EK.isRange(t)&&!n?t:{anchor:sK.start(e,t),focus:sK.end(e,n||t)},rangeRef(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{affinity:r="forward"}=n,i={current:t,affinity:r,unref(){var{current:t}=i;return sK.rangeRefs(e).delete(i),i.current=null,t}};return sK.rangeRefs(e).add(i),i},rangeRefs(e){var t=xG.get(e);return t||(t=new Set,xG.set(e,t)),t},removeMark(e,t){e.removeMark(t)},setNormalizing(e,t){bG.set(e,t)},start:(e,t)=>sK.point(e,t,{edge:"start"}),string(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{voids:r=!1}=n,i=sK.range(e,t),[o,a]=EK.edges(i),s="";for(var[l,u]of sK.nodes(e,{at:i,match:IK.isText,voids:r})){var c=l.text;gK.equals(u,a.path)&&(c=c.slice(0,a.offset)),gK.equals(u,o.path)&&(c=c.slice(o.offset)),s+=c}return s},unhangRange(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{voids:r=!1}=n,[i,o]=EK.edges(t);if(0!==i.offset||0!==o.offset||EK.isCollapsed(t)||gK.hasPrevious(o.path))return t;var a=sK.above(e,{at:o,match:t=>tK.isElement(t)&&sK.isBlock(e,t),voids:r}),s=a?a[1]:[],l={anchor:sK.start(e,i),focus:o},u=!0;for(var[c,d]of sK.nodes(e,{at:l,match:IK.isText,reverse:!0,voids:r}))if(u)u=!1;else if(""!==c.text||gK.isBefore(d,s)){o={path:d,offset:c.text.length};break}return{anchor:i,focus:o}},void(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return sK.above(e,oK(oK({},t),{},{match:t=>tK.isElement(t)&&sK.isVoid(e,t)}))},withoutNormalizing(e,t){var n=sK.isNormalizing(e);sK.setNormalizing(e,!1);try{t()}finally{sK.setNormalizing(e,n)}sK.normalize(e)}},lK={isSpan:e=>Array.isArray(e)&&2===e.length&&e.every(gK.isPath)},uK=["children"],cK=["text"],dK=new WeakMap,pK={ancestor(e,t){var n=pK.get(e,t);if(IK.isText(n))throw new Error("Cannot get the ancestor node at path [".concat(t,"] because it refers to a text node instead: ").concat(AK.stringify(n)));return n},*ancestors(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};for(var r of gK.ancestors(t,n)){var i=[pK.ancestor(e,r),r];yield i}},child(e,t){if(IK.isText(e))throw new Error("Cannot get the child of a text node: ".concat(AK.stringify(e)));var n=e.children[t];if(null==n)throw new Error("Cannot get child at index `".concat(t,"` in node: ").concat(AK.stringify(e)));return n},*children(e,t){for(var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{reverse:r=!1}=n,i=pK.ancestor(e,t),{children:o}=i,a=r?o.length-1:0;r?a>=0:a<o.length;){var s=pK.child(i,a),l=t.concat(a);yield[s,l],a=r?a-1:a+1}},common(e,t,n){var r=gK.common(t,n);return[pK.get(e,r),r]},descendant(e,t){var n=pK.get(e,t);if(sK.isEditor(n))throw new Error("Cannot get the descendant node at path [".concat(t,"] because it refers to the root editor node instead: ").concat(AK.stringify(n)));return n},*descendants(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};for(var[n,r]of pK.nodes(e,t))0!==r.length&&(yield[n,r])},*elements(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};for(var[n,r]of pK.nodes(e,t))tK.isElement(n)&&(yield[n,r])},extractProps:e=>tK.isAncestor(e)?SG(e,uK):SG(e,cK),first(e,t){for(var n=t.slice(),r=pK.get(e,n);r&&!IK.isText(r)&&0!==r.children.length;)r=r.children[0],n.push(0);return[r,n]},fragment(e,t){if(IK.isText(e))throw new Error("Cannot get a fragment starting from a root text node: ".concat(AK.stringify(e)));var n=bi({children:e.children},(e=>{var[n,r]=EK.edges(t),i=pK.nodes(e,{reverse:!0,pass:e=>{var[,n]=e;return!EK.includes(t,n)}});for(var[,o]of i){if(!EK.includes(t,o)){var a=pK.parent(e,o),s=o[o.length-1];a.children.splice(s,1)}if(gK.equals(o,r.path)){var l=pK.leaf(e,o);l.text=l.text.slice(0,r.offset)}if(gK.equals(o,n.path)){var u=pK.leaf(e,o);u.text=u.text.slice(n.offset)}}sK.isEditor(e)&&(e.selection=null)}));return n.children},get(e,t){for(var n=e,r=0;r<t.length;r++){var i=t[r];if(IK.isText(n)||!n.children[i])throw new Error("Cannot find a descendant at path [".concat(t,"] in node: ").concat(AK.stringify(e)));n=n.children[i]}return n},has(e,t){for(var n=e,r=0;r<t.length;r++){var i=t[r];if(IK.isText(n)||!n.children[i])return!1;n=n.children[i]}return!0},isNode:e=>IK.isText(e)||tK.isElement(e)||sK.isEditor(e),isNodeList(e){if(!Array.isArray(e))return!1;var t=dK.get(e);if(void 0!==t)return t;var n=e.every((e=>pK.isNode(e)));return dK.set(e,n),n},last(e,t){for(var n=t.slice(),r=pK.get(e,n);r&&!IK.isText(r)&&0!==r.children.length;){var i=r.children.length-1;r=r.children[i],n.push(i)}return[r,n]},leaf(e,t){var n=pK.get(e,t);if(!IK.isText(n))throw new Error("Cannot get the leaf node at path [".concat(t,"] because it refers to a non-leaf node: ").concat(AK.stringify(n)));return n},*levels(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};for(var r of gK.levels(t,n)){var i=pK.get(e,r);yield[i,r]}},matches:(e,t)=>tK.isElement(e)&&tK.isElementProps(t)&&tK.matches(e,t)||IK.isText(e)&&IK.isTextProps(t)&&IK.matches(e,t),*nodes(e){for(var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{pass:n,reverse:r=!1}=t,{from:i=[],to:o}=t,a=new Set,s=[],l=e;!o||!(r?gK.isBefore(s,o):gK.isAfter(s,o));)if(a.has(l)||(yield[l,s]),a.has(l)||IK.isText(l)||0===l.children.length||null!=n&&!1!==n([l,s])){if(0===s.length)break;if(!r){var u=gK.next(s);if(pK.has(e,u)){s=u,l=pK.get(e,s);continue}}r&&0!==s[s.length-1]?(s=gK.previous(s),l=pK.get(e,s)):(s=gK.parent(s),l=pK.get(e,s),a.add(l))}else{a.add(l);var c=r?l.children.length-1:0;gK.isAncestor(s,i)&&(c=i[s.length]),s=s.concat(c),l=pK.get(e,s)}},parent(e,t){var n=gK.parent(t),r=pK.get(e,n);if(IK.isText(r))throw new Error("Cannot get the parent of path [".concat(t,"] because it does not exist in the root."));return r},string:e=>IK.isText(e)?e.text:e.children.map(pK.string).join(""),*texts(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};for(var[n,r]of pK.nodes(e,t))IK.isText(n)&&(yield[n,r])}};function fK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function hK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?fK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):fK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var mK={isNodeOperation:e=>mK.isOperation(e)&&e.type.endsWith("_node"),isOperation(e){if(!hG(e))return!1;switch(e.type){case"insert_node":case"remove_node":return gK.isPath(e.path)&&pK.isNode(e.node);case"insert_text":case"remove_text":return"number"==typeof e.offset&&"string"==typeof e.text&&gK.isPath(e.path);case"merge_node":return"number"==typeof e.position&&gK.isPath(e.path)&&hG(e.properties);case"move_node":return gK.isPath(e.path)&&gK.isPath(e.newPath);case"set_node":return gK.isPath(e.path)&&hG(e.properties)&&hG(e.newProperties);case"set_selection":return null===e.properties&&EK.isRange(e.newProperties)||null===e.newProperties&&EK.isRange(e.properties)||hG(e.properties)&&hG(e.newProperties);case"split_node":return gK.isPath(e.path)&&"number"==typeof e.position&&hG(e.properties);default:return!1}},isOperationList:e=>Array.isArray(e)&&e.every((e=>mK.isOperation(e))),isSelectionOperation:e=>mK.isOperation(e)&&e.type.endsWith("_selection"),isTextOperation:e=>mK.isOperation(e)&&e.type.endsWith("_text"),inverse(e){switch(e.type){case"insert_node":return hK(hK({},e),{},{type:"remove_node"});case"insert_text":return hK(hK({},e),{},{type:"remove_text"});case"merge_node":return hK(hK({},e),{},{type:"split_node",path:gK.previous(e.path)});case"move_node":var{newPath:t,path:n}=e;if(gK.equals(t,n))return e;if(gK.isSibling(n,t))return hK(hK({},e),{},{path:t,newPath:n});var r=gK.transform(n,e),i=gK.transform(gK.next(n),e);return hK(hK({},e),{},{path:r,newPath:i});case"remove_node":return hK(hK({},e),{},{type:"insert_node"});case"remove_text":return hK(hK({},e),{},{type:"insert_text"});case"set_node":var{properties:o,newProperties:a}=e;return hK(hK({},e),{},{properties:a,newProperties:o});case"set_selection":var{properties:s,newProperties:l}=e;return hK(hK({},e),{},null==s?{properties:l,newProperties:null}:null==l?{properties:null,newProperties:s}:{properties:l,newProperties:s});case"split_node":return hK(hK({},e),{},{type:"merge_node",path:gK.next(e.path)})}}},gK={ancestors(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{reverse:n=!1}=t,r=gK.levels(e,t);return n?r.slice(1):r.slice(0,-1)},common(e,t){for(var n=[],r=0;r<e.length&&r<t.length;r++){var i=e[r];if(i!==t[r])break;n.push(i)}return n},compare(e,t){for(var n=Math.min(e.length,t.length),r=0;r<n;r++){if(e[r]<t[r])return-1;if(e[r]>t[r])return 1}return 0},endsAfter(e,t){var n=e.length-1,r=e.slice(0,n),i=t.slice(0,n),o=e[n],a=t[n];return gK.equals(r,i)&&o>a},endsAt(e,t){var n=e.length,r=e.slice(0,n),i=t.slice(0,n);return gK.equals(r,i)},endsBefore(e,t){var n=e.length-1,r=e.slice(0,n),i=t.slice(0,n),o=e[n],a=t[n];return gK.equals(r,i)&&o<a},equals:(e,t)=>e.length===t.length&&e.every(((e,n)=>e===t[n])),hasPrevious:e=>e[e.length-1]>0,isAfter:(e,t)=>1===gK.compare(e,t),isAncestor:(e,t)=>e.length<t.length&&0===gK.compare(e,t),isBefore:(e,t)=>-1===gK.compare(e,t),isChild:(e,t)=>e.length===t.length+1&&0===gK.compare(e,t),isCommon:(e,t)=>e.length<=t.length&&0===gK.compare(e,t),isDescendant:(e,t)=>e.length>t.length&&0===gK.compare(e,t),isParent:(e,t)=>e.length+1===t.length&&0===gK.compare(e,t),isPath:e=>Array.isArray(e)&&(0===e.length||"number"==typeof e[0]),isSibling(e,t){if(e.length!==t.length)return!1;var n=e.slice(0,-1),r=t.slice(0,-1);return e[e.length-1]!==t[t.length-1]&&gK.equals(n,r)},levels(e){for(var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{reverse:n=!1}=t,r=[],i=0;i<=e.length;i++)r.push(e.slice(0,i));return n&&r.reverse(),r},next(e){if(0===e.length)throw new Error("Cannot get the next path of a root path [".concat(e,"], because it has no next index."));var t=e[e.length-1];return e.slice(0,-1).concat(t+1)},operationCanTransformPath(e){switch(e.type){case"insert_node":case"remove_node":case"merge_node":case"split_node":case"move_node":return!0;default:return!1}},parent(e){if(0===e.length)throw new Error("Cannot get the parent path of the root path [".concat(e,"]."));return e.slice(0,-1)},previous(e){if(0===e.length)throw new Error("Cannot get the previous path of a root path [".concat(e,"], because it has no previous index."));var t=e[e.length-1];if(t<=0)throw new Error("Cannot get the previous path of a first child path [".concat(e,"] because it would result in a negative index."));return e.slice(0,-1).concat(t-1)},relative(e,t){if(!gK.isAncestor(t,e)&&!gK.equals(e,t))throw new Error("Cannot get the relative path of [".concat(e,"] inside ancestor [").concat(t,"], because it is not above or equal to the path."));return e.slice(t.length)},transform(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};if(!e)return null;var r=[...e],{affinity:i="forward"}=n;if(0===e.length)return r;switch(t.type){case"insert_node":var{path:o}=t;(gK.equals(o,r)||gK.endsBefore(o,r)||gK.isAncestor(o,r))&&(r[o.length-1]+=1);break;case"remove_node":var{path:a}=t;if(gK.equals(a,r)||gK.isAncestor(a,r))return null;gK.endsBefore(a,r)&&(r[a.length-1]-=1);break;case"merge_node":var{path:s,position:l}=t;gK.equals(s,r)||gK.endsBefore(s,r)?r[s.length-1]-=1:gK.isAncestor(s,r)&&(r[s.length-1]-=1,r[s.length]+=l);break;case"split_node":var{path:u,position:c}=t;if(gK.equals(u,r)){if("forward"===i)r[r.length-1]+=1;else if("backward"!==i)return null}else gK.endsBefore(u,r)?r[u.length-1]+=1:gK.isAncestor(u,r)&&e[u.length]>=c&&(r[u.length-1]+=1,r[u.length]-=c);break;case"move_node":var{path:d,newPath:p}=t;if(gK.equals(d,p))return r;if(gK.isAncestor(d,r)||gK.equals(d,r)){var f=p.slice();return gK.endsBefore(d,p)&&d.length<p.length&&(f[d.length-1]-=1),f.concat(r.slice(d.length))}gK.isSibling(d,p)&&(gK.isAncestor(p,r)||gK.equals(p,r))?gK.endsBefore(d,r)?r[d.length-1]-=1:r[d.length-1]+=1:gK.endsBefore(p,r)||gK.equals(p,r)||gK.isAncestor(p,r)?(gK.endsBefore(d,r)&&(r[d.length-1]-=1),r[p.length-1]+=1):gK.endsBefore(d,r)&&(gK.equals(p,r)&&(r[p.length-1]+=1),r[d.length-1]-=1)}return r}},vK={transform(e,t){var{current:n,affinity:r}=e;if(null!=n){var i=gK.transform(n,t,{affinity:r});e.current=i,null==i&&e.unref()}}};function yK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function bK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?yK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):yK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var wK={compare(e,t){var n=gK.compare(e.path,t.path);return 0===n?e.offset<t.offset?-1:e.offset>t.offset?1:0:n},isAfter:(e,t)=>1===wK.compare(e,t),isBefore:(e,t)=>-1===wK.compare(e,t),equals:(e,t)=>e.offset===t.offset&&gK.equals(e.path,t.path),isPoint:e=>hG(e)&&"number"==typeof e.offset&&gK.isPath(e.path),transform(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return bi(e,(e=>{if(null===e)return null;var{affinity:r="forward"}=n,{path:i,offset:o}=e;switch(t.type){case"insert_node":case"move_node":e.path=gK.transform(i,t,n);break;case"insert_text":gK.equals(t.path,i)&&(t.offset<o||t.offset===o&&"forward"===r)&&(e.offset+=t.text.length);break;case"merge_node":gK.equals(t.path,i)&&(e.offset+=t.position),e.path=gK.transform(i,t,n);break;case"remove_text":gK.equals(t.path,i)&&t.offset<=o&&(e.offset-=Math.min(o-t.offset,t.text.length));break;case"remove_node":if(gK.equals(t.path,i)||gK.isAncestor(t.path,i))return null;e.path=gK.transform(i,t,n);break;case"split_node":if(gK.equals(t.path,i)){if(t.position===o&&null==r)return null;(t.position<o||t.position===o&&"forward"===r)&&(e.offset-=t.position,e.path=gK.transform(i,t,bK(bK({},n),{},{affinity:"forward"})))}else e.path=gK.transform(i,t,n)}}))}},_K={transform(e,t){var{current:n,affinity:r}=e;if(null!=n){var i=wK.transform(n,t,{affinity:r});e.current=i,null==i&&e.unref()}}},xK=["anchor","focus"];function kK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}var EK={edges(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{reverse:n=!1}=t,{anchor:r,focus:i}=e;return EK.isBackward(e)===n?[r,i]:[i,r]},end(e){var[,t]=EK.edges(e);return t},equals:(e,t)=>wK.equals(e.anchor,t.anchor)&&wK.equals(e.focus,t.focus),includes(e,t){if(EK.isRange(t)){if(EK.includes(e,t.anchor)||EK.includes(e,t.focus))return!0;var[n,r]=EK.edges(e),[i,o]=EK.edges(t);return wK.isBefore(n,i)&&wK.isAfter(r,o)}var[a,s]=EK.edges(e),l=!1,u=!1;return wK.isPoint(t)?(l=wK.compare(t,a)>=0,u=wK.compare(t,s)<=0):(l=gK.compare(t,a.path)>=0,u=gK.compare(t,s.path)<=0),l&&u},intersection(e,t){var n=SG(e,xK),[r,i]=EK.edges(e),[o,a]=EK.edges(t),s=wK.isBefore(r,o)?o:r,l=wK.isBefore(i,a)?i:a;return wK.isBefore(l,s)?null:function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?kK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):kK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({anchor:s,focus:l},n)},isBackward(e){var{anchor:t,focus:n}=e;return wK.isAfter(t,n)},isCollapsed(e){var{anchor:t,focus:n}=e;return wK.equals(t,n)},isExpanded:e=>!EK.isCollapsed(e),isForward:e=>!EK.isBackward(e),isRange:e=>hG(e)&&wK.isPoint(e.anchor)&&wK.isPoint(e.focus),*points(e){yield[e.anchor,"anchor"],yield[e.focus,"focus"]},start(e){var[t]=EK.edges(e);return t},transform(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return bi(e,(e=>{if(null===e)return null;var r,i,{affinity:o="inward"}=n;if("inward"===o){var a=EK.isCollapsed(e);EK.isForward(e)?(r="forward",i=a?r:"backward"):(r="backward",i=a?r:"forward")}else"outward"===o?EK.isForward(e)?(r="backward",i="forward"):(r="forward",i="backward"):(r=o,i=o);var s=wK.transform(e.anchor,t,{affinity:r}),l=wK.transform(e.focus,t,{affinity:i});if(!s||!l)return null;e.anchor=s,e.focus=l}))}},CK={transform(e,t){var{current:n,affinity:r}=e;if(null!=n){var i=EK.transform(n,t,{affinity:r});e.current=i,null==i&&e.unref()}}},SK=void 0,AK={setScrubber(e){SK=e},stringify:e=>JSON.stringify(e,SK)},TK=(e,t)=>{for(var n in e){var r=e[n],i=t[n];if(hG(r)&&hG(i)){if(!TK(r,i))return!1}else if(Array.isArray(r)&&Array.isArray(i)){if(r.length!==i.length)return!1;for(var o=0;o<r.length;o++)if(r[o]!==i[o])return!1}else if(r!==i)return!1}for(var a in t)if(void 0===e[a]&&void 0!==t[a])return!1;return!0},OK=["text"],PK=["anchor","focus"];function DK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function RK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?DK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):DK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var IK={equals(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{loose:r=!1}=n;function i(e){return SG(e,OK)}return TK(r?i(e):e,r?i(t):t)},isText:e=>hG(e)&&"string"==typeof e.text,isTextList:e=>Array.isArray(e)&&e.every((e=>IK.isText(e))),isTextProps:e=>void 0!==e.text,matches(e,t){for(var n in t)if("text"!==n&&(!e.hasOwnProperty(n)||e[n]!==t[n]))return!1;return!0},decorations(e,t){var n=[RK({},e)];for(var r of t){var i=SG(r,PK),[o,a]=EK.edges(r),s=[],l=0,u=o.offset,c=a.offset;for(var d of n){var{length:p}=d.text,f=l;if(l+=p,u<=f&&l<=c)Object.assign(d,i),s.push(d);else if(u!==c&&(u===l||c===f)||u>l||c<f||c===f&&0!==f)s.push(d);else{var h=d,m=void 0,g=void 0;if(c<l){var v=c-f;g=RK(RK({},h),{},{text:h.text.slice(v)}),h=RK(RK({},h),{},{text:h.text.slice(0,v)})}if(u>f){var y=u-f;m=RK(RK({},h),{},{text:h.text.slice(0,y)}),h=RK(RK({},h),{},{text:h.text.slice(y)})}Object.assign(h,i),m&&s.push(m),s.push(h),g&&s.push(g)}}n=s}return n}};function MK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function LK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?MK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):MK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var NK={transform(e,t){e.children=wi(e.children);var n=e.selection&&wi(e.selection);try{n=((e,t,n)=>{switch(n.type){case"insert_node":var{path:r,node:i}=n,o=pK.parent(e,r),a=r[r.length-1];if(a>o.children.length)throw new Error('Cannot apply an "insert_node" operation at path ['.concat(r,"] because the destination is past the end of the node."));if(o.children.splice(a,0,i),t)for(var[s,l]of EK.points(t))t[l]=wK.transform(s,n);break;case"insert_text":var{path:u,offset:c,text:d}=n;if(0===d.length)break;var p=pK.leaf(e,u),f=p.text.slice(0,c),h=p.text.slice(c);if(p.text=f+d+h,t)for(var[m,g]of EK.points(t))t[g]=wK.transform(m,n);break;case"merge_node":var{path:v}=n,y=pK.get(e,v),b=gK.previous(v),w=pK.get(e,b),_=pK.parent(e,v),x=v[v.length-1];if(IK.isText(y)&&IK.isText(w))w.text+=y.text;else{if(IK.isText(y)||IK.isText(w))throw new Error('Cannot apply a "merge_node" operation at path ['.concat(v,"] to nodes of different interfaces: ").concat(AK.stringify(y)," ").concat(AK.stringify(w)));w.children.push(...y.children)}if(_.children.splice(x,1),t)for(var[k,E]of EK.points(t))t[E]=wK.transform(k,n);break;case"move_node":var{path:C,newPath:S}=n;if(gK.isAncestor(C,S))throw new Error("Cannot move a path [".concat(C,"] to new path [").concat(S,"] because the destination is inside itself."));var A=pK.get(e,C),T=pK.parent(e,C),O=C[C.length-1];T.children.splice(O,1);var P=gK.transform(C,n),D=pK.get(e,gK.parent(P)),R=P[P.length-1];if(D.children.splice(R,0,A),t)for(var[I,M]of EK.points(t))t[M]=wK.transform(I,n);break;case"remove_node":var{path:L}=n,N=L[L.length-1];if(pK.parent(e,L).children.splice(N,1),t)for(var[j,F]of EK.points(t)){var B=wK.transform(j,n);if(null!=t&&null!=B)t[F]=B;else{var z=void 0,U=void 0;for(var[q,$]of pK.texts(e)){if(-1!==gK.compare($,L)){U=[q,$];break}z=[q,$]}var W=!1;z&&U&&(W=gK.equals(U[1],L)?!gK.hasPrevious(U[1]):gK.common(z[1],L).length<gK.common(U[1],L).length),z&&!W?(j.path=z[1],j.offset=z[0].text.length):U?(j.path=U[1],j.offset=0):t=null}}break;case"remove_text":var{path:H,offset:V,text:G}=n;if(0===G.length)break;var K=pK.leaf(e,H),Y=K.text.slice(0,V),Z=K.text.slice(V+G.length);if(K.text=Y+Z,t)for(var[X,Q]of EK.points(t))t[Q]=wK.transform(X,n);break;case"set_node":var{path:J,properties:ee,newProperties:te}=n;if(0===J.length)throw new Error("Cannot set properties on the root node!");var ne=pK.get(e,J);for(var re in te){if("children"===re||"text"===re)throw new Error('Cannot set the "'.concat(re,'" property of nodes!'));var ie=te[re];null==ie?delete ne[re]:ne[re]=ie}for(var oe in ee)te.hasOwnProperty(oe)||delete ne[oe];break;case"set_selection":var{newProperties:ae}=n;if(null==ae)t=ae;else{if(null==t){if(!EK.isRange(ae))throw new Error('Cannot apply an incomplete "set_selection" operation properties '.concat(AK.stringify(ae)," when there is no current selection."));t=LK({},ae)}for(var se in ae){var le=ae[se];if(null==le){if("anchor"===se||"focus"===se)throw new Error('Cannot remove the "'.concat(se,'" selection property'));delete t[se]}else t[se]=le}}break;case"split_node":var{path:ue,position:ce,properties:de}=n;if(0===ue.length)throw new Error('Cannot apply a "split_node" operation at path ['.concat(ue,"] because the root node cannot be split."));var pe,fe=pK.get(e,ue),he=pK.parent(e,ue),me=ue[ue.length-1];if(IK.isText(fe)){var ge=fe.text.slice(0,ce),ve=fe.text.slice(ce);fe.text=ge,pe=LK(LK({},de),{},{text:ve})}else{var ye=fe.children.slice(0,ce),be=fe.children.slice(ce);fe.children=ye,pe=LK(LK({},de),{},{children:be})}if(he.children.splice(me+1,0,pe),t)for(var[we,_e]of EK.points(t))t[_e]=wK.transform(we,n)}return t})(e,n,t)}finally{e.children=_i(e.children),e.selection=n?Cr(n)?_i(n):n:null}}},jK=["text"],FK=["children"];function BK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function zK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?BK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):BK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var UK={insertNodes(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};sK.withoutNormalizing(e,(()=>{var{hanging:r=!1,voids:i=!1,mode:o="lowest"}=n,{at:a,match:s,select:l}=n;if(pK.isNode(t)&&(t=[t]),0!==t.length){var[u]=t;if(a||(a=e.selection?e.selection:e.children.length>0?sK.end(e,[]):[0],l=!0),null==l&&(l=!1),EK.isRange(a))if(r||(a=sK.unhangRange(e,a,{voids:i})),EK.isCollapsed(a))a=a.anchor;else{var[,c]=EK.edges(a),d=sK.pointRef(e,c);XK.delete(e,{at:a}),a=d.unref()}if(wK.isPoint(a)){null==s&&(s=IK.isText(u)?e=>IK.isText(e):e.isInline(u)?t=>IK.isText(t)||sK.isInline(e,t):t=>tK.isElement(t)&&sK.isBlock(e,t));var[p]=sK.nodes(e,{at:a.path,match:s,mode:o,voids:i});if(!p)return;var[,f]=p,h=sK.pathRef(e,f),m=sK.isEnd(e,a,f);XK.splitNodes(e,{at:a,match:s,mode:o,voids:i});var g=h.unref();a=m?gK.next(g):g}var v=gK.parent(a),y=a[a.length-1];if(i||!sK.void(e,{at:v})){for(var b of t){var w=v.concat(y);y++,e.apply({type:"insert_node",path:w,node:b}),a=gK.next(a)}if(a=gK.previous(a),l){var _=sK.end(e,a);_&&XK.select(e,_)}}}}))},liftNodes(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};sK.withoutNormalizing(e,(()=>{var{at:n=e.selection,mode:r="lowest",voids:i=!1}=t,{match:o}=t;if(null==o&&(o=gK.isPath(n)?WK(e,n):t=>tK.isElement(t)&&sK.isBlock(e,t)),n){var a=sK.nodes(e,{at:n,match:o,mode:r,voids:i}),s=Array.from(a,(t=>{var[,n]=t;return sK.pathRef(e,n)}));for(var l of s){var u=l.unref();if(u.length<2)throw new Error("Cannot lift node at a path [".concat(u,"] because it has a depth of less than `2`."));var c=sK.node(e,gK.parent(u)),[d,p]=c,f=u[u.length-1],{length:h}=d.children;if(1===h){var m=gK.next(p);XK.moveNodes(e,{at:u,to:m,voids:i}),XK.removeNodes(e,{at:p,voids:i})}else if(0===f)XK.moveNodes(e,{at:u,to:p,voids:i});else if(f===h-1){var g=gK.next(p);XK.moveNodes(e,{at:u,to:g,voids:i})}else{var v=gK.next(u),y=gK.next(p);XK.splitNodes(e,{at:v,voids:i}),XK.moveNodes(e,{at:u,to:y,voids:i})}}}}))},mergeNodes(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};sK.withoutNormalizing(e,(()=>{var{match:n,at:r=e.selection}=t,{hanging:i=!1,voids:o=!1,mode:a="lowest"}=t;if(r){if(null==n)if(gK.isPath(r)){var[s]=sK.parent(e,r);n=e=>s.children.includes(e)}else n=t=>tK.isElement(t)&&sK.isBlock(e,t);if(!i&&EK.isRange(r)&&(r=sK.unhangRange(e,r,{voids:o})),EK.isRange(r))if(EK.isCollapsed(r))r=r.anchor;else{var[,l]=EK.edges(r),u=sK.pointRef(e,l);XK.delete(e,{at:r}),r=u.unref(),null==t.at&&XK.select(e,r)}var[c]=sK.nodes(e,{at:r,match:n,voids:o,mode:a}),d=sK.previous(e,{at:r,match:n,voids:o,mode:a});if(c&&d){var[p,f]=c,[h,m]=d;if(0!==f.length&&0!==m.length){var g,v,y=gK.next(m),b=gK.common(f,m),w=gK.isSibling(f,m),_=Array.from(sK.levels(e,{at:f}),(e=>{var[t]=e;return t})).slice(b.length).slice(0,-1),x=sK.above(e,{at:f,mode:"highest",match:t=>_.includes(t)&&qK(e,t)}),k=x&&sK.pathRef(e,x[1]);if(IK.isText(p)&&IK.isText(h)){var E=SG(p,jK);v=h.text.length,g=E}else{if(!tK.isElement(p)||!tK.isElement(h))throw new Error("Cannot merge the node at path [".concat(f,"] with the previous sibling because it is not the same kind: ").concat(AK.stringify(p)," ").concat(AK.stringify(h)));E=SG(p,FK),v=h.children.length,g=E}w||XK.moveNodes(e,{at:f,to:y,voids:o}),k&&XK.removeNodes(e,{at:k.current,voids:o}),tK.isElement(h)&&sK.isEmpty(e,h)||IK.isText(h)&&""===h.text&&0!==m[m.length-1]?XK.removeNodes(e,{at:m,voids:o}):e.apply({type:"merge_node",path:y,position:v,properties:g}),k&&k.unref()}}}}))},moveNodes(e,t){sK.withoutNormalizing(e,(()=>{var{to:n,at:r=e.selection,mode:i="lowest",voids:o=!1}=t,{match:a}=t;if(r){null==a&&(a=gK.isPath(r)?WK(e,r):t=>tK.isElement(t)&&sK.isBlock(e,t));var s=sK.pathRef(e,n),l=sK.nodes(e,{at:r,match:a,mode:i,voids:o}),u=Array.from(l,(t=>{var[,n]=t;return sK.pathRef(e,n)}));for(var c of u){var d=c.unref(),p=s.current;0!==d.length&&e.apply({type:"move_node",path:d,newPath:p}),s.current&&gK.isSibling(p,d)&&gK.isAfter(p,d)&&(s.current=gK.next(s.current))}s.unref()}}))},removeNodes(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};sK.withoutNormalizing(e,(()=>{var{hanging:n=!1,voids:r=!1,mode:i="lowest"}=t,{at:o=e.selection,match:a}=t;if(o){null==a&&(a=gK.isPath(o)?WK(e,o):t=>tK.isElement(t)&&sK.isBlock(e,t)),!n&&EK.isRange(o)&&(o=sK.unhangRange(e,o,{voids:r}));var s=sK.nodes(e,{at:o,match:a,mode:i,voids:r}),l=Array.from(s,(t=>{var[,n]=t;return sK.pathRef(e,n)}));for(var u of l){var c=u.unref();if(c){var[d]=sK.node(e,c);e.apply({type:"remove_node",path:c,node:d})}}}}))},setNodes(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};sK.withoutNormalizing(e,(()=>{var{match:r,at:i=e.selection,compare:o,merge:a}=n,{hanging:s=!1,mode:l="lowest",split:u=!1,voids:c=!1}=n;if(i){if(null==r&&(r=gK.isPath(i)?WK(e,i):t=>tK.isElement(t)&&sK.isBlock(e,t)),!s&&EK.isRange(i)&&(i=sK.unhangRange(e,i,{voids:c})),u&&EK.isRange(i)){if(EK.isCollapsed(i)&&sK.leaf(e,i.anchor)[0].text.length>0)return;var d=sK.rangeRef(e,i,{affinity:"inward"}),[p,f]=EK.edges(i),h="lowest"===l?"lowest":"highest",m=sK.isEnd(e,f,f.path);XK.splitNodes(e,{at:f,match:r,mode:h,voids:c,always:!m});var g=sK.isStart(e,p,p.path);XK.splitNodes(e,{at:p,match:r,mode:h,voids:c,always:!g}),i=d.unref(),null==n.at&&XK.select(e,i)}for(var[v,y]of(o||(o=(e,t)=>e!==t),sK.nodes(e,{at:i,match:r,mode:l,voids:c}))){var b={},w={};if(0!==y.length){var _=!1;for(var x in t)"children"!==x&&"text"!==x&&o(t[x],v[x])&&(_=!0,v.hasOwnProperty(x)&&(b[x]=v[x]),a?null!=t[x]&&(w[x]=a(v[x],t[x])):null!=t[x]&&(w[x]=t[x]));_&&e.apply({type:"set_node",path:y,properties:b,newProperties:w})}}}}))},splitNodes(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};sK.withoutNormalizing(e,(()=>{var{mode:n="lowest",voids:r=!1}=t,{match:i,at:o=e.selection,height:a=0,always:s=!1}=t;if(null==i&&(i=t=>tK.isElement(t)&&sK.isBlock(e,t)),EK.isRange(o)&&(o=$K(e,o)),gK.isPath(o)){var l=o,u=sK.point(e,l),[c]=sK.parent(e,l);i=e=>e===c,a=u.path.length-l.length+1,o=u,s=!0}if(o){var d,p=sK.pointRef(e,o,{affinity:"backward"});try{var[f]=sK.nodes(e,{at:o,match:i,mode:n,voids:r});if(!f)return;var h=sK.void(e,{at:o,mode:"highest"});if(!r&&h){var[m,g]=h;if(tK.isElement(m)&&e.isInline(m)){var v=sK.after(e,g);if(!v){var y=gK.next(g);XK.insertNodes(e,{text:""},{at:y,voids:r}),v=sK.point(e,y)}o=v,s=!0}a=o.path.length-g.length+1,s=!0}d=sK.pointRef(e,o);var b=o.path.length-a,[,w]=f,_=o.path.slice(0,b),x=0===a?o.offset:o.path[b]+0;for(var[k,E]of sK.levels(e,{at:_,reverse:!0,voids:r})){var C=!1;if(E.length<w.length||0===E.length||!r&&tK.isElement(k)&&sK.isVoid(e,k))break;var S=p.current,A=sK.isEnd(e,S,E);if(s||!p||!sK.isEdge(e,S,E)){C=!0;var T=pK.extractProps(k);e.apply({type:"split_node",path:E,position:x,properties:T})}x=E[E.length-1]+(C||A?1:0)}if(null==t.at){var O=d.current||sK.end(e,[]);XK.select(e,O)}}finally{var P;p.unref(),null===(P=d)||void 0===P||P.unref()}}}))},unsetNodes(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};Array.isArray(t)||(t=[t]);var r={};for(var i of t)r[i]=null;XK.setNodes(e,r,n)},unwrapNodes(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};sK.withoutNormalizing(e,(()=>{var{mode:n="lowest",split:r=!1,voids:i=!1}=t,{at:o=e.selection,match:a}=t;if(o){null==a&&(a=gK.isPath(o)?WK(e,o):t=>tK.isElement(t)&&sK.isBlock(e,t)),gK.isPath(o)&&(o=sK.range(e,o));var s=EK.isRange(o)?sK.rangeRef(e,o):null,l=sK.nodes(e,{at:o,match:a,mode:n,voids:i}),u=Array.from(l,(t=>{var[,n]=t;return sK.pathRef(e,n)})).reverse(),c=function(t){var n=t.unref(),[o]=sK.node(e,n),a=sK.range(e,n);r&&s&&(a=EK.intersection(s.current,a)),XK.liftNodes(e,{at:a,match:e=>tK.isAncestor(o)&&o.children.includes(e),voids:i})};for(var d of u)c(d);s&&s.unref()}}))},wrapNodes(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};sK.withoutNormalizing(e,(()=>{var{mode:r="lowest",split:i=!1,voids:o=!1}=n,{match:a,at:s=e.selection}=n;if(s){if(null==a&&(a=gK.isPath(s)?WK(e,s):e.isInline(t)?t=>tK.isElement(t)&&sK.isInline(e,t)||IK.isText(t):t=>tK.isElement(t)&&sK.isBlock(e,t)),i&&EK.isRange(s)){var[l,u]=EK.edges(s),c=sK.rangeRef(e,s,{affinity:"inward"});XK.splitNodes(e,{at:u,match:a,voids:o}),XK.splitNodes(e,{at:l,match:a,voids:o}),s=c.unref(),null==n.at&&XK.select(e,s)}var d=Array.from(sK.nodes(e,{at:s,match:e.isInline(t)?t=>tK.isElement(t)&&sK.isBlock(e,t):e=>sK.isEditor(e),mode:"lowest",voids:o}));for(var[,p]of d){var f=EK.isRange(s)?EK.intersection(s,sK.range(e,p)):s;if(f){var h=Array.from(sK.nodes(e,{at:f,match:a,mode:r,voids:o}));if(h.length>0){var m=function(){var[n]=h,r=h[h.length-1],[,i]=n,[,a]=r;if(0===i.length&&0===a.length)return"continue";var s=gK.equals(i,a)?gK.parent(i):gK.common(i,a),l=sK.range(e,i,a),u=sK.node(e,s),[c]=u,d=s.length+1,p=gK.next(a.slice(0,d)),f=zK(zK({},t),{},{children:[]});XK.insertNodes(e,f,{at:p,voids:o}),XK.moveNodes(e,{at:l,match:e=>tK.isAncestor(c)&&c.children.includes(e),to:p.concat(0),voids:o})}();if("continue"===m)continue}}}}}))}},qK=(e,t)=>{if(tK.isElement(t)){var n=t;return!!sK.isVoid(e,t)||1===n.children.length&&qK(e,n.children[0])}return!sK.isEditor(t)},$K=(e,t)=>{if(EK.isCollapsed(t))return t.anchor;var[,n]=EK.edges(t),r=sK.pointRef(e,n);return XK.delete(e,{at:t}),r.unref()},WK=(e,t)=>{var[n]=sK.node(e,t);return e=>e===n};function HK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function VK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?HK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):HK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var GK={collapse(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{edge:n="anchor"}=t,{selection:r}=e;if(r)if("anchor"===n)XK.select(e,r.anchor);else if("focus"===n)XK.select(e,r.focus);else if("start"===n){var[i]=EK.edges(r);XK.select(e,i)}else if("end"===n){var[,o]=EK.edges(r);XK.select(e,o)}},deselect(e){var{selection:t}=e;t&&e.apply({type:"set_selection",properties:t,newProperties:null})},move(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{},{selection:n}=e,{distance:r=1,unit:i="character",reverse:o=!1}=t,{edge:a=null}=t;if(n){"start"===a&&(a=EK.isBackward(n)?"focus":"anchor"),"end"===a&&(a=EK.isBackward(n)?"anchor":"focus");var{anchor:s,focus:l}=n,u={distance:r,unit:i},c={};if(null==a||"anchor"===a){var d=o?sK.before(e,s,u):sK.after(e,s,u);d&&(c.anchor=d)}if(null==a||"focus"===a){var p=o?sK.before(e,l,u):sK.after(e,l,u);p&&(c.focus=p)}XK.setSelection(e,c)}},select(e,t){var{selection:n}=e;if(t=sK.range(e,t),n)XK.setSelection(e,t);else{if(!EK.isRange(t))throw new Error("When setting the selection and the current selection is `null` you must provide at least an `anchor` and `focus`, but you passed: ".concat(AK.stringify(t)));e.apply({type:"set_selection",properties:n,newProperties:t})}},setPoint(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{selection:r}=e,{edge:i="both"}=n;if(r){"start"===i&&(i=EK.isBackward(r)?"focus":"anchor"),"end"===i&&(i=EK.isBackward(r)?"anchor":"focus");var{anchor:o,focus:a}=r,s="anchor"===i?o:a;XK.setSelection(e,{["anchor"===i?"anchor":"focus"]:VK(VK({},s),t)})}},setSelection(e,t){var{selection:n}=e,r={},i={};if(n){for(var o in t)("anchor"===o&&null!=t.anchor&&!wK.equals(t.anchor,n.anchor)||"focus"===o&&null!=t.focus&&!wK.equals(t.focus,n.focus)||"anchor"!==o&&"focus"!==o&&t[o]!==n[o])&&(r[o]=n[o],i[o]=t[o]);Object.keys(r).length>0&&e.apply({type:"set_selection",properties:r,newProperties:i})}}},KK={delete(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};sK.withoutNormalizing(e,(()=>{var{reverse:n=!1,unit:r="character",distance:i=1,voids:o=!1}=t,{at:a=e.selection,hanging:s=!1}=t;if(a){var l=!1;if(EK.isRange(a)&&EK.isCollapsed(a)&&(l=!0,a=a.anchor),wK.isPoint(a)){var u=sK.void(e,{at:a,mode:"highest"});if(!o&&u){var[,c]=u;a=c}else{var d={unit:r,distance:i};a={anchor:a,focus:n?sK.before(e,a,d)||sK.start(e,[]):sK.after(e,a,d)||sK.end(e,[])},s=!0}}if(gK.isPath(a))XK.removeNodes(e,{at:a,voids:o});else if(!EK.isCollapsed(a)){if(!s){var[,p]=EK.edges(a),f=sK.end(e,[]);wK.equals(p,f)||(a=sK.unhangRange(e,a,{voids:o}))}var[h,m]=EK.edges(a),g=sK.above(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t),at:h,voids:o}),v=sK.above(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t),at:m,voids:o}),y=g&&v&&!gK.equals(g[1],v[1]),b=gK.equals(h.path,m.path),w=o?null:sK.void(e,{at:h,mode:"highest"}),_=o?null:sK.void(e,{at:m,mode:"highest"});if(w){var x=sK.before(e,h);x&&g&&gK.isAncestor(g[1],x.path)&&(h=x)}if(_){var k=sK.after(e,m);k&&v&&gK.isAncestor(v[1],k.path)&&(m=k)}var E,C=[];for(var S of sK.nodes(e,{at:a,voids:o})){var[A,T]=S;E&&0===gK.compare(T,E)||(!o&&tK.isElement(A)&&sK.isVoid(e,A)||!gK.isCommon(T,h.path)&&!gK.isCommon(T,m.path))&&(C.push(S),E=T)}var O=Array.from(C,(t=>{var[,n]=t;return sK.pathRef(e,n)})),P=sK.pointRef(e,h),D=sK.pointRef(e,m),R="";if(!b&&!w){var I=P.current,[M]=sK.leaf(e,I),{path:L}=I,{offset:N}=h,j=M.text.slice(N);j.length>0&&(e.apply({type:"remove_text",path:L,offset:N,text:j}),R=j)}if(O.reverse().map((e=>e.unref())).filter((e=>null!==e)).forEach((t=>XK.removeNodes(e,{at:t,voids:o}))),!_){var F=D.current,[B]=sK.leaf(e,F),{path:z}=F,U=b?h.offset:0,q=B.text.slice(U,m.offset);q.length>0&&(e.apply({type:"remove_text",path:z,offset:U,text:q}),R=q)}!b&&y&&D.current&&P.current&&XK.mergeNodes(e,{at:D.current,hanging:!0,voids:o}),l&&n&&"character"===r&&R.length>1&&R.match(/[\u0E00-\u0E7F]+/)&&XK.insertText(e,R.slice(0,R.length-i));var $=P.unref(),W=D.unref(),H=n?$||W:W||$;null==t.at&&H&&XK.select(e,H)}}}))},insertFragment(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};sK.withoutNormalizing(e,(()=>{var{hanging:r=!1,voids:i=!1}=n,{at:o=e.selection}=n;if(t.length&&o){if(EK.isRange(o))if(r||(o=sK.unhangRange(e,o,{voids:i})),EK.isCollapsed(o))o=o.anchor;else{var[,a]=EK.edges(o);if(!i&&sK.void(e,{at:a}))return;var s=sK.pointRef(e,a);XK.delete(e,{at:o}),o=s.unref()}else gK.isPath(o)&&(o=sK.start(e,o));if(i||!sK.void(e,{at:o})){var l=sK.above(e,{at:o,match:t=>tK.isElement(t)&&sK.isInline(e,t),mode:"highest",voids:i});if(l){var[,u]=l;sK.isEnd(e,o,u)?o=sK.after(e,u):sK.isStart(e,o,u)&&(o=sK.before(e,u))}var c=sK.above(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t),at:o,voids:i}),[,d]=c,p=sK.isStart(e,o,d),f=sK.isEnd(e,o,d),h=p&&f,m=!p||p&&f,g=!f,[,v]=pK.first({children:t},[]),[,y]=pK.last({children:t},[]),b=[],w=t=>{var[n,r]=t;return!(0===r.length||!h&&(m&&gK.isAncestor(r,v)&&tK.isElement(n)&&!e.isVoid(n)&&!e.isInline(n)||g&&gK.isAncestor(r,y)&&tK.isElement(n)&&!e.isVoid(n)&&!e.isInline(n)))};for(var _ of pK.nodes({children:t},{pass:w}))w(_)&&b.push(_);var x=[],k=[],E=[],C=!0,S=!1;for(var[A]of b)tK.isElement(A)&&!e.isInline(A)?(C=!1,S=!0,k.push(A)):C?x.push(A):E.push(A);var[T]=sK.nodes(e,{at:o,match:t=>IK.isText(t)||sK.isInline(e,t),mode:"highest",voids:i}),[,O]=T,P=sK.isStart(e,o,O),D=sK.isEnd(e,o,O),R=sK.pathRef(e,f&&!E.length?gK.next(d):d),I=sK.pathRef(e,D?gK.next(O):O);XK.splitNodes(e,{at:o,match:t=>S?tK.isElement(t)&&sK.isBlock(e,t):IK.isText(t)||sK.isInline(e,t),mode:S?"lowest":"highest",always:S&&(!p||x.length>0)&&(!f||E.length>0),voids:i});var M,L=sK.pathRef(e,!P||P&&D?gK.next(O):O);if(XK.insertNodes(e,x,{at:L.current,match:t=>IK.isText(t)||sK.isInline(e,t),mode:"highest",voids:i}),h&&!x.length&&k.length&&!E.length&&XK.delete(e,{at:d,voids:i}),XK.insertNodes(e,k,{at:R.current,match:t=>tK.isElement(t)&&sK.isBlock(e,t),mode:"lowest",voids:i}),XK.insertNodes(e,E,{at:I.current,match:t=>IK.isText(t)||sK.isInline(e,t),mode:"highest",voids:i}),!n.at&&(E.length>0&&I.current?M=gK.previous(I.current):k.length>0&&R.current?M=gK.previous(R.current):L.current&&(M=gK.previous(L.current)),M)){var N=sK.end(e,M);XK.select(e,N)}L.unref(),R.unref(),I.unref()}}}))},insertText(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};sK.withoutNormalizing(e,(()=>{var{voids:r=!1}=n,{at:i=e.selection}=n;if(i){if(gK.isPath(i)&&(i=sK.range(e,i)),EK.isRange(i))if(EK.isCollapsed(i))i=i.anchor;else{var o=EK.end(i);if(!r&&sK.void(e,{at:o}))return;var a=EK.start(i),s=sK.pointRef(e,a),l=sK.pointRef(e,o);XK.delete(e,{at:i,voids:r});var u=s.unref(),c=l.unref();i=u||c,XK.setSelection(e,{anchor:i,focus:i})}if(r||!sK.void(e,{at:i})){var{path:d,offset:p}=i;t.length>0&&e.apply({type:"insert_text",path:d,offset:p,text:t})}}}))}};function YK(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function ZK(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?YK(Object(n),!0).forEach((function(t){mG(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):YK(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var XK=ZK(ZK(ZK(ZK({},NK),UK),GK),KK),QK=__webpack_require__(93636),JK=__webpack_require__.n(QK),eY=__webpack_require__(12436),tY=__webpack_require__.n(eY);function nY(e){return"object"==typeof e&&null!=e&&1===e.nodeType}function rY(e,t){return(!t||"hidden"!==e)&&"visible"!==e&&"clip"!==e}function iY(e,t){if(e.clientHeight<e.scrollHeight||e.clientWidth<e.scrollWidth){var n=getComputedStyle(e,null);return rY(n.overflowY,t)||rY(n.overflowX,t)||function(e){var t=function(e){if(!e.ownerDocument||!e.ownerDocument.defaultView)return null;try{return e.ownerDocument.defaultView.frameElement}catch(e){return null}}(e);return!!t&&(t.clientHeight<e.scrollHeight||t.clientWidth<e.scrollWidth)}(e)}return!1}function oY(e,t,n,r,i,o,a,s){return o<e&&a>t||o>e&&a<t?0:o<=e&&s<=n||a>=t&&s>=n?o-e-r:a>t&&s<n||o<e&&s>n?a-t+i:0}var aY=function(e,t){var n=window,r=t.scrollMode,i=t.block,o=t.inline,a=t.boundary,s=t.skipOverflowHiddenElements,l="function"==typeof a?a:function(e){return e!==a};if(!nY(e))throw new TypeError("Invalid target");for(var u,c,d=document.scrollingElement||document.documentElement,p=[],f=e;nY(f)&&l(f);){if((f=null==(c=(u=f).parentElement)?u.getRootNode().host||null:c)===d){p.push(f);break}null!=f&&f===document.body&&iY(f)&&!iY(document.documentElement)||null!=f&&iY(f,s)&&p.push(f)}for(var h=n.visualViewport?n.visualViewport.width:innerWidth,m=n.visualViewport?n.visualViewport.height:innerHeight,g=window.scrollX||pageXOffset,v=window.scrollY||pageYOffset,y=e.getBoundingClientRect(),b=y.height,w=y.width,_=y.top,x=y.right,k=y.bottom,E=y.left,C="start"===i||"nearest"===i?_:"end"===i?k:_+b/2,S="center"===o?E+w/2:"end"===o?x:E,A=[],T=0;T<p.length;T++){var O=p[T],P=O.getBoundingClientRect(),D=P.height,R=P.width,I=P.top,M=P.right,L=P.bottom,N=P.left;if("if-needed"===r&&_>=0&&E>=0&&k<=m&&x<=h&&_>=I&&k<=L&&E>=N&&x<=M)return A;var j=getComputedStyle(O),F=parseInt(j.borderLeftWidth,10),B=parseInt(j.borderTopWidth,10),z=parseInt(j.borderRightWidth,10),U=parseInt(j.borderBottomWidth,10),q=0,$=0,W="offsetWidth"in O?O.offsetWidth-O.clientWidth-F-z:0,H="offsetHeight"in O?O.offsetHeight-O.clientHeight-B-U:0,V="offsetWidth"in O?0===O.offsetWidth?0:R/O.offsetWidth:0,G="offsetHeight"in O?0===O.offsetHeight?0:D/O.offsetHeight:0;if(d===O)q="start"===i?C:"end"===i?C-m:"nearest"===i?oY(v,v+m,m,B,U,v+C,v+C+b,b):C-m/2,$="start"===o?S:"center"===o?S-h/2:"end"===o?S-h:oY(g,g+h,h,F,z,g+S,g+S+w,w),q=Math.max(0,q+v),$=Math.max(0,$+g);else{q="start"===i?C-I-B:"end"===i?C-L+U+H:"nearest"===i?oY(I,L,D,B,U+H,C,C+b,b):C-(I+D/2)+H/2,$="start"===o?S-N-F:"center"===o?S-(N+R/2)+W/2:"end"===o?S-M+z+W:oY(N,M,R,F,z+W,S,S+w,w);var K=O.scrollLeft,Y=O.scrollTop;C+=Y-(q=Math.max(0,Math.min(Y+q/G,O.scrollHeight-D/G+H))),S+=K-($=Math.max(0,Math.min(K+$/V,O.scrollWidth-R/V+W)))}A.push({el:O,top:q,left:$})}return A};function sY(e){return e===Object(e)&&0!==Object.keys(e).length}var lY,uY=[],cY="ResizeObserver loop completed with undelivered notifications.";!function(e){e.BORDER_BOX="border-box",e.CONTENT_BOX="content-box",e.DEVICE_PIXEL_CONTENT_BOX="device-pixel-content-box"}(lY||(lY={}));var dY,pY=function(e){return Object.freeze(e)},fY=function(e,t){this.inlineSize=e,this.blockSize=t,pY(this)},hY=function(){function e(e,t,n,r){return this.x=e,this.y=t,this.width=n,this.height=r,this.top=this.y,this.left=this.x,this.bottom=this.top+this.height,this.right=this.left+this.width,pY(this)}return e.prototype.toJSON=function(){var e=this;return{x:e.x,y:e.y,top:e.top,right:e.right,bottom:e.bottom,left:e.left,width:e.width,height:e.height}},e.fromRect=function(t){return new e(t.x,t.y,t.width,t.height)},e}(),mY=function(e){return e instanceof SVGElement&&"getBBox"in e},gY=function(e){if(mY(e)){var t=e.getBBox(),n=t.width,r=t.height;return!n&&!r}var i=e,o=i.offsetWidth,a=i.offsetHeight;return!(o||a||e.getClientRects().length)},vY=function(e){var t;if(e instanceof Element)return!0;var n=null===(t=null==e?void 0:e.ownerDocument)||void 0===t?void 0:t.defaultView;return!!(n&&e instanceof n.Element)},yY="undefined"!=typeof window?window:{},bY=new WeakMap,wY=/auto|scroll/,_Y=/^tb|vertical/,xY=/msie|trident/i.test(yY.navigator&&yY.navigator.userAgent),kY=function(e){return parseFloat(e||"0")},EY=function(e,t,n){return void 0===e&&(e=0),void 0===t&&(t=0),void 0===n&&(n=!1),new fY((n?t:e)||0,(n?e:t)||0)},CY=pY({devicePixelContentBoxSize:EY(),borderBoxSize:EY(),contentBoxSize:EY(),contentRect:new hY(0,0,0,0)}),SY=function(e,t){if(void 0===t&&(t=!1),bY.has(e)&&!t)return bY.get(e);if(gY(e))return bY.set(e,CY),CY;var n=getComputedStyle(e),r=mY(e)&&e.ownerSVGElement&&e.getBBox(),i=!xY&&"border-box"===n.boxSizing,o=_Y.test(n.writingMode||""),a=!r&&wY.test(n.overflowY||""),s=!r&&wY.test(n.overflowX||""),l=r?0:kY(n.paddingTop),u=r?0:kY(n.paddingRight),c=r?0:kY(n.paddingBottom),d=r?0:kY(n.paddingLeft),p=r?0:kY(n.borderTopWidth),f=r?0:kY(n.borderRightWidth),h=r?0:kY(n.borderBottomWidth),m=d+u,g=l+c,v=(r?0:kY(n.borderLeftWidth))+f,y=p+h,b=s?e.offsetHeight-y-e.clientHeight:0,w=a?e.offsetWidth-v-e.clientWidth:0,_=i?m+v:0,x=i?g+y:0,k=r?r.width:kY(n.width)-_-w,E=r?r.height:kY(n.height)-x-b,C=k+m+w+v,S=E+g+b+y,A=pY({devicePixelContentBoxSize:EY(Math.round(k*devicePixelRatio),Math.round(E*devicePixelRatio),o),borderBoxSize:EY(C,S,o),contentBoxSize:EY(k,E,o),contentRect:new hY(d,l,k,E)});return bY.set(e,A),A},AY=function(e,t,n){var r=SY(e,n),i=r.borderBoxSize,o=r.contentBoxSize,a=r.devicePixelContentBoxSize;switch(t){case lY.DEVICE_PIXEL_CONTENT_BOX:return a;case lY.BORDER_BOX:return i;default:return o}},TY=function(e){var t=SY(e);this.target=e,this.contentRect=t.contentRect,this.borderBoxSize=pY([t.borderBoxSize]),this.contentBoxSize=pY([t.contentBoxSize]),this.devicePixelContentBoxSize=pY([t.devicePixelContentBoxSize])},OY=function(e){if(gY(e))return 1/0;for(var t=0,n=e.parentNode;n;)t+=1,n=n.parentNode;return t},PY=function(){var e=1/0,t=[];uY.forEach((function(n){if(0!==n.activeTargets.length){var r=[];n.activeTargets.forEach((function(t){var n=new TY(t.target),i=OY(t.target);r.push(n),t.lastReportedSize=AY(t.target,t.observedBox),i<e&&(e=i)})),t.push((function(){n.callback.call(n.observer,r,n.observer)})),n.activeTargets.splice(0,n.activeTargets.length)}}));for(var n=0,r=t;n<r.length;n++)(0,r[n])();return e},DY=function(e){uY.forEach((function(t){t.activeTargets.splice(0,t.activeTargets.length),t.skippedTargets.splice(0,t.skippedTargets.length),t.observationTargets.forEach((function(n){n.isActive()&&(OY(n.target)>e?t.activeTargets.push(n):t.skippedTargets.push(n))}))}))},RY=[],IY=0,MY={attributes:!0,characterData:!0,childList:!0,subtree:!0},LY=["resize","load","transitionend","animationend","animationstart","animationiteration","keyup","keydown","mouseup","mousedown","mouseover","mouseout","blur","focus"],NY=function(e){return void 0===e&&(e=0),Date.now()+e},jY=!1,FY=new(function(){function e(){var e=this;this.stopped=!0,this.listener=function(){return e.schedule()}}return e.prototype.run=function(e){var t=this;if(void 0===e&&(e=250),!jY){jY=!0;var n,r=NY(e);n=function(){var n=!1;try{n=function(){var e,t=0;for(DY(t);uY.some((function(e){return e.activeTargets.length>0}));)t=PY(),DY(t);return uY.some((function(e){return e.skippedTargets.length>0}))&&("function"==typeof ErrorEvent?e=new ErrorEvent("error",{message:cY}):((e=document.createEvent("Event")).initEvent("error",!1,!1),e.message=cY),window.dispatchEvent(e)),t>0}()}finally{if(jY=!1,e=r-NY(),!IY)return;n?t.run(1e3):e>0?t.run(e):t.start()}},function(e){if(!dY){var t=0,n=document.createTextNode("");new MutationObserver((function(){return RY.splice(0).forEach((function(e){return e()}))})).observe(n,{characterData:!0}),dY=function(){n.textContent="".concat(t?t--:t++)}}RY.push(e),dY()}((function(){requestAnimationFrame(n)}))}},e.prototype.schedule=function(){this.stop(),this.run()},e.prototype.observe=function(){var e=this,t=function(){return e.observer&&e.observer.observe(document.body,MY)};document.body?t():yY.addEventListener("DOMContentLoaded",t)},e.prototype.start=function(){var e=this;this.stopped&&(this.stopped=!1,this.observer=new MutationObserver(this.listener),this.observe(),LY.forEach((function(t){return yY.addEventListener(t,e.listener,!0)})))},e.prototype.stop=function(){var e=this;this.stopped||(this.observer&&this.observer.disconnect(),LY.forEach((function(t){return yY.removeEventListener(t,e.listener,!0)})),this.stopped=!0)},e}()),BY=function(e){!IY&&e>0&&FY.start(),!(IY+=e)&&FY.stop()},zY=function(){function e(e,t){this.target=e,this.observedBox=t||lY.CONTENT_BOX,this.lastReportedSize={inlineSize:0,blockSize:0}}return e.prototype.isActive=function(){var e,t=AY(this.target,this.observedBox,!0);return e=this.target,mY(e)||function(e){switch(e.tagName){case"INPUT":if("image"!==e.type)break;case"VIDEO":case"AUDIO":case"EMBED":case"OBJECT":case"CANVAS":case"IFRAME":case"IMG":return!0}return!1}(e)||"inline"!==getComputedStyle(e).display||(this.lastReportedSize=t),this.lastReportedSize.inlineSize!==t.inlineSize||this.lastReportedSize.blockSize!==t.blockSize},e}(),UY=function(e,t){this.activeTargets=[],this.skippedTargets=[],this.observationTargets=[],this.observer=e,this.callback=t},qY=new WeakMap,$Y=function(e,t){for(var n=0;n<e.length;n+=1)if(e[n].target===t)return n;return-1},WY=function(){function e(){}return e.connect=function(e,t){var n=new UY(e,t);qY.set(e,n)},e.observe=function(e,t,n){var r=qY.get(e),i=0===r.observationTargets.length;$Y(r.observationTargets,t)<0&&(i&&uY.push(r),r.observationTargets.push(new zY(t,n&&n.box)),BY(1),FY.schedule())},e.unobserve=function(e,t){var n=qY.get(e),r=$Y(n.observationTargets,t),i=1===n.observationTargets.length;r>=0&&(i&&uY.splice(uY.indexOf(n),1),n.observationTargets.splice(r,1),BY(-1))},e.disconnect=function(e){var t=this,n=qY.get(e);n.observationTargets.slice().forEach((function(n){return t.unobserve(e,n.target)})),n.activeTargets.splice(0,n.activeTargets.length)},e}(),HY=function(){function e(e){if(0===arguments.length)throw new TypeError("Failed to construct 'ResizeObserver': 1 argument required, but only 0 present.");if("function"!=typeof e)throw new TypeError("Failed to construct 'ResizeObserver': The callback provided as parameter 1 is not a function.");WY.connect(this,e)}return e.prototype.observe=function(e,t){if(0===arguments.length)throw new TypeError("Failed to execute 'observe' on 'ResizeObserver': 1 argument required, but only 0 present.");if(!vY(e))throw new TypeError("Failed to execute 'observe' on 'ResizeObserver': parameter 1 is not of type 'Element");WY.observe(this,e,t)},e.prototype.unobserve=function(e){if(0===arguments.length)throw new TypeError("Failed to execute 'unobserve' on 'ResizeObserver': 1 argument required, but only 0 present.");if(!vY(e))throw new TypeError("Failed to execute 'unobserve' on 'ResizeObserver': parameter 1 is not of type 'Element");WY.unobserve(this,e)},e.prototype.disconnect=function(){WY.disconnect(this)},e.toString=function(){return"function ResizeObserver () { [polyfill code] }"},e}(),VY=__webpack_require__(17249);function GY(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function KY(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}var YY=0;class ZY{constructor(){this.id="".concat(YY++)}}var XY=new WeakMap,QY=new WeakMap,JY=new WeakMap,eZ=new WeakMap,tZ=new WeakMap,nZ=new WeakMap,rZ=new WeakMap,iZ=new WeakMap,oZ=new WeakMap,aZ=new WeakMap,sZ=new WeakMap,lZ=new WeakMap,uZ=new WeakMap,cZ=new WeakMap,dZ=new WeakMap,pZ=new WeakMap,fZ=new WeakMap,hZ=new WeakMap,mZ=new WeakMap,gZ=new WeakMap,vZ=new WeakMap,yZ=Symbol("placeholder"),bZ=Symbol("mark-placeholder"),wZ=globalThis.Text,_Z=e=>e&&e.ownerDocument&&e.ownerDocument.defaultView||null,xZ=e=>kZ(e)&&1===e.nodeType,kZ=e=>{var t=_Z(e);return!!t&&e instanceof t.Node},EZ=e=>{var t=e&&e.anchorNode&&_Z(e.anchorNode);return!!t&&e instanceof t.Selection},CZ=e=>kZ(e)&&3===e.nodeType,SZ=(e,t,n)=>{for(var r,{childNodes:i}=e,o=i[t],a=t,s=!1,l=!1;(kZ(r=o)&&8===r.nodeType||xZ(o)&&0===o.childNodes.length||xZ(o)&&"false"===o.getAttribute("contenteditable"))&&(!s||!l);)a>=i.length?(s=!0,a=t-1,n="backward"):a<0?(l=!0,a=t+1,n="forward"):(o=i[a],t=a,a+="forward"===n?1:-1);return[o,t]},AZ=(e,t,n)=>{var[r]=SZ(e,t,n);return r},TZ=e=>{var t="";if(CZ(e)&&e.nodeValue)return e.nodeValue;if(xZ(e)){for(var n of Array.from(e.childNodes))t+=TZ(n);var r=getComputedStyle(e).getPropertyValue("display");"block"!==r&&"list"!==r&&"BR"!==e.tagName||(t+="\n")}return t},OZ=/data-slate-fragment="(.+?)"/m,PZ=(e,t,n)=>{var{target:r}=t;if(xZ(r)&&r.matches('[contentEditable="false"]'))return!1;var{document:i}=VZ.getWindow(e);if(i.contains(r))return VZ.hasDOMNode(e,r,{editable:!0});var o=n.find((e=>{var{addedNodes:t,removedNodes:n}=e;for(var i of t)if(i===r||i.contains(r))return!0;for(var o of n)if(o===r||o.contains(r))return!0}));return!(!o||o===t)&&PZ(e,o,n)},DZ=parseInt(o.version.split(".")[0],10)>=17,RZ="undefined"!=typeof navigator&&"undefined"!=typeof window&&/iPad|iPhone|iPod/.test(navigator.userAgent)&&!window.MSStream,IZ="undefined"!=typeof navigator&&/Mac OS X/.test(navigator.userAgent),MZ="undefined"!=typeof navigator&&/Android/.test(navigator.userAgent),LZ="undefined"!=typeof navigator&&/^(?!.*Seamonkey)(?=.*Firefox).*/i.test(navigator.userAgent),NZ="undefined"!=typeof navigator&&/Version\/[\d\.]+.*Safari/.test(navigator.userAgent),jZ="undefined"!=typeof navigator&&/Edge?\/(?:[0-6][0-9]|[0-7][0-8])(?:\.)/i.test(navigator.userAgent),FZ="undefined"!=typeof navigator&&/Chrome/i.test(navigator.userAgent),BZ="undefined"!=typeof navigator&&/Chrome?\/(?:[0-7][0-5]|[0-6][0-9])(?:\.)/i.test(navigator.userAgent),zZ=MZ&&"undefined"!=typeof navigator&&/Chrome?\/(?:[0-5]?\d)(?:\.)/i.test(navigator.userAgent),UZ="undefined"!=typeof navigator&&/^(?!.*Seamonkey)(?=.*Firefox\/(?:[0-7][0-9]|[0-8][0-6])(?:\.)).*/i.test(navigator.userAgent),qZ="undefined"!=typeof navigator&&/.*UCBrowser/.test(navigator.userAgent),$Z="undefined"!=typeof navigator&&/.*Wechat/.test(navigator.userAgent),WZ=!("undefined"==typeof window||void 0===window.document||void 0===window.document.createElement),HZ=(!BZ||!zZ)&&!jZ&&"undefined"!=typeof globalThis&&globalThis.InputEvent&&"function"==typeof globalThis.InputEvent.prototype.getTargetRanges,VZ={isComposing:e=>!!lZ.get(e),getWindow(e){var t=JY.get(e);if(!t)throw new Error("Unable to find a host window element for this editor");return t},findKey(e,t){var n=iZ.get(t);return n||(n=new ZY,iZ.set(t,n)),n},findPath(e,t){for(var n=[],r=t;;){var i=QY.get(r);if(null==i){if(sK.isEditor(r))return n;break}var o=XY.get(r);if(null==o)break;n.unshift(o),r=i}throw new Error("Unable to find the path for Slate node: ".concat(AK.stringify(t)))},findDocumentOrShadowRoot(e){var t=VZ.toDOMNode(e,e),n=t.getRootNode();return(n instanceof Document||n instanceof ShadowRoot)&&null!=n.getSelection?n:t.ownerDocument},isFocused:e=>!!sZ.get(e),isReadOnly:e=>!!aZ.get(e),blur(e){var t=VZ.toDOMNode(e,e),n=VZ.findDocumentOrShadowRoot(e);sZ.set(e,!1),n.activeElement===t&&t.blur()},focus(e){var t=VZ.toDOMNode(e,e),n=VZ.findDocumentOrShadowRoot(e);sZ.set(e,!0),n.activeElement!==t&&t.focus({preventScroll:!0})},deselect(e){var{selection:t}=e,n=VZ.findDocumentOrShadowRoot(e).getSelection();n&&n.rangeCount>0&&n.removeAllRanges(),t&&XK.deselect(e)},hasDOMNode(e,t){var n,r=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},{editable:i=!1}=r,o=VZ.toDOMNode(e,e);try{n=xZ(t)?t:t.parentElement}catch(e){if(!e.message.includes('Permission denied to access property "nodeType"'))throw e}return!!n&&!(n.closest("[data-slate-editor]")!==o||i&&!n.isContentEditable&&("boolean"!=typeof n.isContentEditable||n.closest('[contenteditable="false"]')!==o)&&!n.getAttribute("data-slate-zero-width"))},insertData(e,t){e.insertData(t)},insertFragmentData:(e,t)=>e.insertFragmentData(t),insertTextData:(e,t)=>e.insertTextData(t),setFragmentData(e,t,n){e.setFragmentData(t,n)},toDOMNode(e,t){var n=oZ.get(e),r=sK.isEditor(t)?eZ.get(e):null==n?void 0:n.get(VZ.findKey(e,t));if(!r)throw new Error("Cannot resolve a DOM node from Slate node: ".concat(AK.stringify(t)));return r},toDOMPoint(e,t){var n,[r]=sK.node(e,t.path),i=VZ.toDOMNode(e,r);sK.void(e,{at:t})&&(t={path:t.path,offset:0});for(var o=Array.from(i.querySelectorAll("[data-slate-string], [data-slate-zero-width]")),a=0,s=0;s<o.length;s++){var l=o[s],u=l.childNodes[0];if(null!=u&&null!=u.textContent){var{length:c}=u.textContent,d=l.getAttribute("data-slate-length"),p=a+(null==d?c:parseInt(d,10)),f=o[s+1];if(t.offset===p&&null!=f&&f.hasAttribute("data-slate-mark-placeholder")){var h,m=f.childNodes[0];n=[m instanceof wZ?m:f,null!==(h=f.textContent)&&void 0!==h&&h.startsWith("\ufeff")?1:0];break}if(t.offset<=p){n=[u,Math.min(c,Math.max(0,t.offset-a))];break}a=p}}if(!n)throw new Error("Cannot resolve a DOM point from Slate point: ".concat(AK.stringify(t)));return n},toDOMRange(e,t){var{anchor:n,focus:r}=t,i=EK.isBackward(t),o=VZ.toDOMPoint(e,n),a=EK.isCollapsed(t)?o:VZ.toDOMPoint(e,r),s=VZ.getWindow(e).document.createRange(),[l,u]=i?a:o,[c,d]=i?o:a,p=!!(xZ(l)?l:l.parentElement).getAttribute("data-slate-zero-width"),f=!!(xZ(c)?c:c.parentElement).getAttribute("data-slate-zero-width");return s.setStart(l,p?1:u),s.setEnd(c,f?1:d),s},toSlateNode(e,t){var n=xZ(t)?t:t.parentElement;n&&!n.hasAttribute("data-slate-node")&&(n=n.closest("[data-slate-node]"));var r=n?nZ.get(n):null;if(!r)throw new Error("Cannot resolve a Slate node from DOM node: ".concat(n));return r},findEventRange(e,t){"nativeEvent"in t&&(t=t.nativeEvent);var{clientX:n,clientY:r,target:i}=t;if(null==n||null==r)throw new Error("Cannot resolve a Slate range from a DOM event: ".concat(t));var o,a=VZ.toSlateNode(e,t.target),s=VZ.findPath(e,a);if(tK.isElement(a)&&sK.isVoid(e,a)){var l=i.getBoundingClientRect(),u=e.isInline(a)?n-l.left<l.left+l.width-n:r-l.top<l.top+l.height-r,c=sK.point(e,s,{edge:u?"start":"end"}),d=u?sK.before(e,c):sK.after(e,c);if(d)return sK.range(e,d)}var{document:p}=VZ.getWindow(e);if(p.caretRangeFromPoint)o=p.caretRangeFromPoint(n,r);else{var f=p.caretPositionFromPoint(n,r);f&&((o=p.createRange()).setStart(f.offsetNode,f.offset),o.setEnd(f.offsetNode,f.offset))}if(!o)throw new Error("Cannot resolve a Slate range from a DOM event: ".concat(t));return VZ.toSlateRange(e,o,{exactMatch:!1,suppressThrow:!1})},toSlatePoint(e,t,n){var{exactMatch:r,suppressThrow:i}=n,[o,a]=r?t:(e=>{var[t,n]=e;if(xZ(t)&&t.childNodes.length){var r=n===t.childNodes.length,i=r?n-1:n;for([t,i]=SZ(t,i,r?"backward":"forward"),r=i<n;xZ(t)&&t.childNodes.length;){var o=r?t.childNodes.length-1:0;t=AZ(t,o,r?"backward":"forward")}n=r&&null!=t.textContent?t.textContent.length:0}return[t,n]})(t),s=o.parentNode,l=null,u=0;if(s){var c,d,p=VZ.toDOMNode(e,e),f=s.closest('[data-slate-void="true"]'),h=f&&p.contains(f)?f:null,m=s.closest("[data-slate-leaf]"),g=null;if(m){if(l=m.closest('[data-slate-node="text"]')){var v=VZ.getWindow(e).document.createRange();v.setStart(l,0),v.setEnd(o,a);var y=v.cloneContents();[...Array.prototype.slice.call(y.querySelectorAll("[data-slate-zero-width]")),...Array.prototype.slice.call(y.querySelectorAll("[contenteditable=false]"))].forEach((e=>{MZ&&!r&&e.hasAttribute("data-slate-zero-width")&&e.textContent.length>0&&"\ufeff"!==e.textContext?e.textContent.startsWith("\ufeff")&&(e.textContent=e.textContent.slice(1)):e.parentNode.removeChild(e)})),u=y.textContent.length,g=l}}else if(h){for(var b=h.querySelectorAll("[data-slate-leaf]"),w=0;w<b.length;w++){var _=b[w];if(VZ.hasDOMNode(e,_)){m=_;break}}m?(l=m.closest('[data-slate-node="text"]'),u=(g=m).textContent.length,g.querySelectorAll("[data-slate-zero-width]").forEach((e=>{u-=e.textContent.length}))):u=1}g&&u===g.textContent.length&&MZ&&"z"===g.getAttribute("data-slate-zero-width")&&null!==(c=g.textContent)&&void 0!==c&&c.startsWith("\ufeff")&&(s.hasAttribute("data-slate-zero-width")||LZ&&null!==(d=g.textContent)&&void 0!==d&&d.endsWith("\n\n"))&&u--}if(MZ&&!l&&!r){var x=s.hasAttribute("data-slate-node")?s:s.closest("[data-slate-node]");if(x&&VZ.hasDOMNode(e,x,{editable:!0})){var k=VZ.toSlateNode(e,x),{path:E,offset:C}=sK.start(e,VZ.findPath(e,k));return x.querySelector("[data-slate-leaf]")||(C=a),{path:E,offset:C}}}if(!l){if(i)return null;throw new Error("Cannot resolve a Slate point from DOM point: ".concat(t))}var S=VZ.toSlateNode(e,l);return{path:VZ.findPath(e,S),offset:u}},toSlateRange(e,t,n){var r,i,o,a,s,l,{exactMatch:u,suppressThrow:c}=n;if((EZ(t)?t.anchorNode:t.startContainer)&&(EZ(t)?(r=t.anchorNode,i=t.anchorOffset,o=t.focusNode,a=t.focusOffset,s=FZ&&(e=>{for(var t=e&&e.parentNode;t;){if("[object ShadowRoot]"===t.toString())return!0;t=t.parentNode}return!1})(r)?t.anchorNode===t.focusNode&&t.anchorOffset===t.focusOffset:t.isCollapsed):(r=t.startContainer,i=t.startOffset,o=t.endContainer,a=t.endOffset,s=t.collapsed)),null==r||null==o||null==i||null==a)throw new Error("Cannot resolve a Slate range from DOM range: ".concat(t));"getAttribute"in o&&"false"===o.getAttribute("contenteditable")&&(o=r,a=(null===(l=r.textContent)||void 0===l?void 0:l.length)||0);var d=VZ.toSlatePoint(e,[r,i],{exactMatch:u,suppressThrow:c});if(!d)return null;var p=s?d:VZ.toSlatePoint(e,[o,a],{exactMatch:u,suppressThrow:c});if(!p)return null;if(LZ&&!s&&r!==o){var f=sK.isEnd(e,d,d.path),h=sK.isStart(e,p,p.path);f&&(d=sK.after(e,d)||d),h&&(p=sK.before(e,p)||p)}var m={anchor:d,focus:p};return EK.isExpanded(m)&&EK.isForward(m)&&xZ(o)&&sK.void(e,{at:m.focus,mode:"highest"})&&(m=sK.unhangRange(e,m,{voids:!0})),m},hasRange(e,t){var{anchor:n,focus:r}=t;return sK.hasPath(e,n.path)&&sK.hasPath(e,r.path)},hasTarget:(e,t)=>kZ(t)&&VZ.hasDOMNode(e,t),hasEditableTarget:(e,t)=>kZ(t)&&VZ.hasDOMNode(e,t,{editable:!0}),hasSelectableTarget:(e,t)=>VZ.hasEditableTarget(e,t)||VZ.isTargetInsideNonReadonlyVoid(e,t),isTargetInsideNonReadonlyVoid(e,t){if(aZ.get(e))return!1;var n=VZ.hasTarget(e,t)&&VZ.toSlateNode(e,t);return tK.isElement(n)&&sK.isVoid(e,n)},androidScheduleFlush(e){var t;null===(t=dZ.get(e))||void 0===t||t()},androidPendingDiffs:e=>hZ.get(e)},GZ=["anchor","focus"],KZ=["anchor","focus"],YZ=(e,t)=>{var n,r,i=KY(e,GZ),o=KY(t,KZ);return e[yZ]===t[yZ]&&(n=i,r=o,Object.keys(n).length===Object.keys(r).length&&Object.keys(n).every((e=>r.hasOwnProperty(e)&&n[e]===r[e])))},ZZ=WZ?o.useLayoutEffect:o.useEffect,XZ=e=>{var{isLast:t,leaf:n,parent:r,text:i}=e,a=nX(),s=VZ.findPath(a,i),l=gK.parent(s),u=!0===n[bZ];return a.isVoid(r)?o.createElement(eX,{length:pK.string(r).length}):""!==n.text||r.children[r.children.length-1]!==i||a.isInline(r)||""!==sK.string(a,l)?""===n.text?o.createElement(eX,{isMarkPlaceholder:u}):t&&"\n"===n.text.slice(-1)?o.createElement(QZ,{isTrailing:!0,text:n.text}):o.createElement(QZ,{text:n.text}):o.createElement(eX,{isLineBreak:!0,isMarkPlaceholder:u})},QZ=e=>{var{text:t,isTrailing:n=!1}=e,r=(0,o.useRef)(null),i=()=>"".concat(null!=t?t:"").concat(n?"\n":""),[a]=(0,o.useState)(i);return ZZ((()=>{var e=i();r.current&&r.current.textContent!==e&&(r.current.textContent=e)})),o.createElement(JZ,{ref:r},a)},JZ=(0,o.memo)((0,o.forwardRef)(((e,t)=>o.createElement("span",{"data-slate-string":!0,ref:t},e.children)))),eX=e=>{var{length:t=0,isLineBreak:n=!1,isMarkPlaceholder:r=!1}=e,i={"data-slate-zero-width":n?"n":"z","data-slate-length":t};return r&&(i["data-slate-mark-placeholder"]=!0),o.createElement("span",Object.assign({},i),MZ&&n?null:"\ufeff",n?o.createElement("br",null):null)},tX=(0,o.createContext)(null),nX=()=>{var e=(0,o.useContext)(tX);if(!e)throw new Error("The `useSlateStatic` hook must be used inside the <Slate> component's context.");return e},rX=e=>{var{leaf:t,isLast:n,text:r,parent:i,renderPlaceholder:a,renderLeaf:s=(e=>o.createElement(oX,Object.assign({},e)))}=e,l=(0,o.useRef)(null),u=(0,o.useRef)(null),c=nX(),d=(0,o.useRef)(null);(0,o.useEffect)((()=>()=>{d.current&&d.current.disconnect()}),[]),(0,o.useEffect)((()=>{var e=null==u?void 0:u.current;if(e?tZ.set(c,e):tZ.delete(c),d.current)d.current.disconnect(),e&&d.current.observe(e);else if(e){var t=window.ResizeObserver||HY;d.current=new t((()=>{var e=vZ.get(c);null==e||e()})),d.current.observe(e)}if(!e&&l.current){var n=vZ.get(c);null==n||n()}return l.current=u.current,()=>{tZ.delete(c)}}),[u,t]);var p=o.createElement(XZ,{isLast:n,leaf:t,parent:i,text:r});if(t[yZ]){var f={children:t.placeholder,attributes:{"data-slate-placeholder":!0,style:{position:"absolute",pointerEvents:"none",width:"100%",maxWidth:"100%",display:"block",opacity:"0.333",userSelect:"none",textDecoration:"none"},contentEditable:!1,ref:u}};p=o.createElement(o.Fragment,null,a(f),p)}return s({attributes:{"data-slate-leaf":!0},children:p,leaf:t,text:r})},iX=o.memo(rX,((e,t)=>t.parent===e.parent&&t.isLast===e.isLast&&t.renderLeaf===e.renderLeaf&&t.renderPlaceholder===e.renderPlaceholder&&t.text===e.text&&IK.equals(t.leaf,e.leaf)&&t.leaf[yZ]===e.leaf[yZ])),oX=e=>{var{attributes:t,children:n}=e;return o.createElement("span",Object.assign({},t),n)},aX=e=>{for(var{decorations:t,isLast:n,parent:r,renderPlaceholder:i,renderLeaf:a,text:s}=e,l=nX(),u=(0,o.useRef)(null),c=IK.decorations(s,t),d=VZ.findKey(l,s),p=[],f=0;f<c.length;f++){var h=c[f];p.push(o.createElement(iX,{isLast:n&&f===c.length-1,key:"".concat(d.id,"-").concat(f),renderPlaceholder:i,leaf:h,text:s,parent:r,renderLeaf:a}))}var m=(0,o.useCallback)((e=>{var t=oZ.get(l);e?(null==t||t.set(d,e),rZ.set(s,e),nZ.set(e,s)):(null==t||t.delete(d),rZ.delete(s),u.current&&nZ.delete(u.current)),u.current=e}),[u,l,d,s]);return o.createElement("span",{"data-slate-node":"text",ref:m},p)},sX=o.memo(aX,((e,t)=>t.parent===e.parent&&t.isLast===e.isLast&&t.renderLeaf===e.renderLeaf&&t.renderPlaceholder===e.renderPlaceholder&&t.text===e.text&&((e,t)=>{if(e.length!==t.length)return!1;for(var n=0;n<e.length;n++){var r=e[n],i=t[n];if(r.anchor.offset!==i.anchor.offset||r.focus.offset!==i.focus.offset||!YZ(r,i))return!1}return!0})(t.decorations,e.decorations))),lX=e=>{var{decorations:t,element:n,renderElement:r=(e=>o.createElement(cX,Object.assign({},e))),renderPlaceholder:i,renderLeaf:a,selection:s}=e,l=nX(),u=gX(),c=l.isInline(n),d=VZ.findKey(l,n),p=(0,o.useCallback)((e=>{var t=oZ.get(l);e?(null==t||t.set(d,e),rZ.set(n,e),nZ.set(e,n)):(null==t||t.delete(d),rZ.delete(n))}),[l,d,n]),f=hX({decorations:t,node:n,renderElement:r,renderPlaceholder:i,renderLeaf:a,selection:s}),h={"data-slate-node":"element",ref:p};if(c&&(h["data-slate-inline"]=!0),!c&&sK.hasInlines(l,n)){var m=pK.string(n),g=JK()(m);"rtl"===g&&(h.dir=g)}if(sK.isVoid(l,n)){h["data-slate-void"]=!0,!u&&c&&(h.contentEditable=!1);var v=c?"span":"div",[[y]]=pK.texts(n);f=o.createElement(v,{"data-slate-spacer":!0,style:{height:"0",color:"transparent",outline:"none",position:"absolute"}},o.createElement(sX,{renderPlaceholder:i,decorations:[],isLast:!1,parent:n,text:y})),XY.set(y,0),QY.set(y,n)}return r({attributes:h,children:f,element:n})},uX=o.memo(lX,((e,t)=>e.element===t.element&&e.renderElement===t.renderElement&&e.renderLeaf===t.renderLeaf&&e.renderPlaceholder===t.renderPlaceholder&&((e,t)=>{if(e.length!==t.length)return!1;for(var n=0;n<e.length;n++){var r=e[n],i=t[n];if(!EK.equals(r,i)||!YZ(r,i))return!1}return!0})(e.decorations,t.decorations)&&(e.selection===t.selection||!!e.selection&&!!t.selection&&EK.equals(e.selection,t.selection)))),cX=e=>{var{attributes:t,children:n,element:r}=e,i=nX().isInline(r)?"span":"div";return o.createElement(i,Object.assign({},t,{style:{position:"relative"}}),n)},dX=(0,o.createContext)((()=>[])),pX=(0,o.createContext)(!1),fX=()=>(0,o.useContext)(pX),hX=e=>{for(var{decorations:t,node:n,renderElement:r,renderPlaceholder:i,renderLeaf:a,selection:s}=e,l=(0,o.useContext)(dX),u=nX(),c=VZ.findPath(u,n),d=[],p=tK.isElement(n)&&!u.isInline(n)&&sK.hasInlines(u,n),f=0;f<n.children.length;f++){var h=c.concat(f),m=n.children[f],g=VZ.findKey(u,m),v=sK.range(u,h),y=s&&EK.intersection(v,s),b=l([m,h]);for(var w of t){var _=EK.intersection(w,v);_&&b.push(_)}tK.isElement(m)?d.push(o.createElement(pX.Provider,{key:"provider-".concat(g.id),value:!!y},o.createElement(uX,{decorations:b,element:m,key:g.id,renderElement:r,renderPlaceholder:i,renderLeaf:a,selection:y}))):d.push(o.createElement(sX,{decorations:b,key:g.id,isLast:p&&f===n.children.length-1,parent:n,renderPlaceholder:i,renderLeaf:a,text:m})),XY.set(m,f),QY.set(m,n)}return d},mX=(0,o.createContext)(!1),gX=()=>(0,o.useContext)(mX),vX=(0,o.createContext)(null),yX=()=>{var e=(0,o.useContext)(vX);if(!e)throw new Error("The `useSlate` hook must be used inside the <Slate> component's context.");var{editor:t}=e;return t},bX={bold:"mod+b",compose:["down","left","right","up","backspace","enter"],moveBackward:"left",moveForward:"right",moveWordBackward:"ctrl+left",moveWordForward:"ctrl+right",deleteBackward:"shift?+backspace",deleteForward:"shift?+delete",extendBackward:"shift+left",extendForward:"shift+right",italic:"mod+i",insertSoftBreak:"shift+enter",splitBlock:"enter",undo:"mod+z"},wX={moveLineBackward:"opt+up",moveLineForward:"opt+down",moveWordBackward:"opt+left",moveWordForward:"opt+right",deleteBackward:["ctrl+backspace","ctrl+h"],deleteForward:["ctrl+delete","ctrl+d"],deleteLineBackward:"cmd+shift?+backspace",deleteLineForward:["cmd+shift?+delete","ctrl+k"],deleteWordBackward:"opt+shift?+backspace",deleteWordForward:"opt+shift?+delete",extendLineBackward:"opt+shift+up",extendLineForward:"opt+shift+down",redo:"cmd+shift+z",transposeCharacter:"ctrl+t"},_X={deleteWordBackward:"ctrl+shift?+backspace",deleteWordForward:"ctrl+shift?+delete",redo:["ctrl+y","ctrl+shift+z"]},xX=e=>{var t=bX[e],n=wX[e],r=_X[e],i=t&&(0,VY.TB)(t),o=n&&(0,VY.TB)(n),a=r&&(0,VY.TB)(r);return e=>!(!i||!i(e))||!!(IZ&&o&&o(e))||!(IZ||!a||!a(e))},kX={isBold:xX("bold"),isCompose:xX("compose"),isMoveBackward:xX("moveBackward"),isMoveForward:xX("moveForward"),isDeleteBackward:xX("deleteBackward"),isDeleteForward:xX("deleteForward"),isDeleteLineBackward:xX("deleteLineBackward"),isDeleteLineForward:xX("deleteLineForward"),isDeleteWordBackward:xX("deleteWordBackward"),isDeleteWordForward:xX("deleteWordForward"),isExtendBackward:xX("extendBackward"),isExtendForward:xX("extendForward"),isExtendLineBackward:xX("extendLineBackward"),isExtendLineForward:xX("extendLineForward"),isItalic:xX("italic"),isMoveLineBackward:xX("moveLineBackward"),isMoveLineForward:xX("moveLineForward"),isMoveWordBackward:xX("moveWordBackward"),isMoveWordForward:xX("moveWordForward"),isRedo:xX("redo"),isSoftBreak:xX("insertSoftBreak"),isSplitBlock:xX("splitBlock"),isTransposeCharacter:xX("transposeCharacter"),isUndo:xX("undo")},EX={subtree:!0,childList:!0,characterData:!0,characterDataOldValue:!0};class CX extends o.Component{constructor(){super(...arguments),this.context=null,this.manager=null,this.mutationObserver=null}observe(){var e,{node:t}=this.props;if(!t.current)throw new Error("Failed to attach MutationObserver, `node` is undefined");null===(e=this.mutationObserver)||void 0===e||e.observe(t.current,EX)}componentDidMount(){var{receivedUserInput:e}=this.props,t=this.context;this.manager=((e,t)=>{var n=[],r=()=>{n=[]};return{registerMutations:r=>{if(t.current){var i=r.filter((t=>PZ(e,t,r)));n.push(...i)}},restoreDOM:function(){n.length>0&&(n.reverse().forEach((e=>{"characterData"!==e.type&&(e.removedNodes.forEach((t=>{e.target.insertBefore(t,e.nextSibling)})),e.addedNodes.forEach((t=>{e.target.removeChild(t)})))})),r())},clear:r}})(t,e),this.mutationObserver=new MutationObserver(this.manager.registerMutations),this.observe()}getSnapshotBeforeUpdate(){var e,t,n,r,i=null===(e=this.mutationObserver)||void 0===e?void 0:e.takeRecords();return null!=i&&i.length&&(null===(r=this.manager)||void 0===r||r.registerMutations(i)),null===(t=this.mutationObserver)||void 0===t||t.disconnect(),null===(n=this.manager)||void 0===n||n.restoreDOM(),null}componentDidUpdate(){var e;null===(e=this.manager)||void 0===e||e.clear(),this.observe()}componentWillUnmount(){var e;null===(e=this.mutationObserver)||void 0===e||e.disconnect()}render(){return this.props.children}}CX.contextType=tX;var SX=MZ?CX:e=>{var{children:t}=e;return o.createElement(o.Fragment,null,t)};function AX(e,t){var{path:n,diff:r}=t;if(!sK.hasPath(e,n))return!1;var i=pK.get(e,n);if(!IK.isText(i))return!1;if(r.start!==i.text.length||0===r.text.length)return i.text.slice(r.start,r.start+r.text.length)===r.text;var o=gK.next(n);if(!sK.hasPath(e,o))return!1;var a=pK.get(e,o);return IK.isText(a)&&a.text.startsWith(r.text)}function TX(e){for(var t=arguments.length,n=new Array(t>1?t-1:0),r=1;r<t;r++)n[r-1]=arguments[r];return n.reduce(((e,t)=>e.slice(0,t.start)+t.text+e.slice(t.end)),e)}function OX(e,t){var{start:n,end:r,text:i}=t,o=e.slice(n,r),a=function(e,t){for(var n=Math.min(e.length,t.length),r=0;r<n;r++)if(e.charAt(r)!==t.charAt(r))return r;return n}(o,i),s=function(e,t,n){for(var r=Math.min(e.length,t.length,n),i=0;i<r;i++)if(e.charAt(e.length-i-1)!==t.charAt(t.length-i-1))return i;return r}(o,i,Math.min(o.length-a,i.length-a)),l={start:n+a,end:r-s,text:i.slice(a,i.length-s)};return l.start===l.end&&0===l.text.length?null:l}function PX(e){var{path:t,diff:n}=e;return{anchor:{path:t,offset:n.start},focus:{path:t,offset:n.end}}}function DX(e,t){var{path:n,offset:r}=t;if(!sK.hasPath(e,n))return null;var i=pK.get(e,n);if(!IK.isText(i))return null;var o=sK.above(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t),at:n});if(!o)return null;for(;r>i.text.length;){var a=sK.next(e,{at:n,match:IK.isText});if(!a||!gK.isDescendant(a[1],o[1]))return null;r-=i.text.length,i=a[0],n=a[1]}return{path:n,offset:r}}function RX(e,t){var n=DX(e,t.anchor);if(!n)return null;if(EK.isCollapsed(t))return{anchor:n,focus:n};var r=DX(e,t.focus);return r?{anchor:n,focus:r}:null}function IX(e,t,n){var r=hZ.get(e),i=null==r?void 0:r.find((e=>{var{path:n}=e;return gK.equals(n,t.path)}));if(!i||t.offset<=i.diff.start)return wK.transform(t,n,{affinity:"backward"});var{diff:o}=i;if(t.offset<=o.start+o.text.length){var a={path:t.path,offset:o.start},s=wK.transform(a,n,{affinity:"backward"});return s?{path:s.path,offset:s.offset+t.offset-o.start}:null}var l={path:t.path,offset:t.offset-o.text.length+o.end-o.start},u=wK.transform(l,n,{affinity:"backward"});return u?"split_node"===n.type&&gK.equals(n.path,t.path)&&l.offset<n.position&&o.start<n.position?u:{path:u.path,offset:u.offset+o.text.length-o.end+o.start}:null}function MX(e,t,n){var r=IX(e,t.anchor,n);if(!r)return null;if(EK.isCollapsed(t))return{anchor:r,focus:r};var i=IX(e,t.focus,n);return i?{anchor:r,focus:i}:null}function LX(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function NX(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?LX(Object(n),!0).forEach((function(t){GY(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):LX(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var jX=25,FX=200,BX=function(){},zX=e=>"DataTransfer"===(null==e?void 0:e.constructor.name);var UX=["node"];function qX(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}var $X={subtree:!0,childList:!0,characterData:!0};function WX(e){var{node:t}=e,n=KY(e,UX);if(!MZ)return null;var r,i=nX(),a=(r=(0,o.useRef)(!1),(0,o.useEffect)((()=>(r.current=!0,()=>{r.current=!1})),[]),r.current),[s]=(0,o.useState)((()=>function(e){var{editor:t,scheduleOnDOMSelectionChange:n,onDOMSelectionChange:r}=e,i=!1,o=null,a=null,s=null,l=0,u=!1,c=()=>{var e=gZ.get(t);if(gZ.delete(t),e){var{selection:n}=t,r=RX(t,e);!r||n&&EK.equals(r,n)||XK.select(t,r)}},d=()=>{if(a&&(clearTimeout(a),a=null),s&&(clearTimeout(s),s=null),g()||m()){i||(i=!0,setTimeout((()=>i=!1))),m()&&(i="action");var e=t.selection&&sK.rangeRef(t,t.selection,{affinity:"forward"});fZ.set(t,t.marks),BX("flush",mZ.get(t),hZ.get(t));for(var o,l=g();o=null===(d=hZ.get(t))||void 0===d?void 0:d[0];){var d,p,f=pZ.get(t);void 0!==f&&(pZ.delete(t),t.marks=f),f&&!1===u&&(u=null);var h=PX(o);t.selection&&EK.equals(t.selection,h)||XK.select(t,h),o.diff.text?sK.insertText(t,o.diff.text):sK.deleteFragment(t),hZ.set(t,null===(p=hZ.get(t))||void 0===p?void 0:p.filter((e=>{var{id:t}=e;return t!==o.id}))),AX(t,o)||(l=!1,mZ.delete(t),fZ.delete(t),i="action",gZ.delete(t),n.cancel(),r.cancel(),null==e||e.unref())}var v=null==e?void 0:e.unref();if(!v||gZ.get(t)||t.selection&&EK.equals(v,t.selection)||XK.select(t,v),m())(()=>{var e=mZ.get(t);if(mZ.delete(t),e){if(e.at){var n=wK.isPoint(e.at)?DX(t,e.at):RX(t,e.at);if(!n)return;var r=sK.range(t,n);t.selection&&EK.equals(t.selection,r)||XK.select(t,n)}e.run()}})();else{l&&n(),n.flush(),r.flush(),c();var y=fZ.get(t);fZ.delete(t),void 0!==y&&(t.marks=y,t.onChange())}}else c()},p=function(){var e=arguments.length>0&&void 0!==arguments[0]&&arguments[0],n=tZ.get(t);n&&(g()||e?n.style.display="none":n.style.removeProperty("display"))},f=(e,n)=>{var r,i=null!==(r=hZ.get(t))&&void 0!==r?r:[];hZ.set(t,i);var o=pK.leaf(t,e),a=i.findIndex((t=>gK.equals(t.path,e)));if(a<0)return OX(o.text,n)&&i.push({path:e,diff:n,id:l++}),void p();var s=function(e,t,n){var r=Math.min(t.start,n.start),i=Math.max(0,Math.min(t.start+t.text.length,n.end)-n.start),o=TX(e,t,n),a=Math.max(n.start+n.text.length,t.start+t.text.length+(t.start+t.text.length>n.start?n.text.length:0)-i),s=o.slice(r,a);return OX(e,{start:r,end:Math.max(t.end,n.end-t.text.length+(t.end-t.start)),text:s})}(o.text,i[a].diff,n);if(!s)return i.splice(a,1),void p();i[a]=NX(NX({},i[a]),{},{diff:s})},h=function(e){var{at:i}=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};u=!1,gZ.delete(t),n.cancel(),r.cancel(),m()&&d(),mZ.set(t,{at:i,run:e}),s=setTimeout(d)},m=()=>!!mZ.get(t),g=()=>{var e;return!(null===(e=hZ.get(t))||void 0===e||!e.length)},v=e=>{gZ.set(t,e),a&&(clearTimeout(a),a=null);var{selection:n}=t;if(e){var r=!n||!gK.equals(n.anchor.path,e.anchor.path),i=!n||!gK.equals(n.anchor.path.slice(0,-1),e.anchor.path.slice(0,-1));(r&&u||i)&&(u=!1),(r||g())&&(a=setTimeout(d,FX))}},y=()=>{m()||(s=setTimeout(d))};return{flush:d,scheduleFlush:y,hasPendingDiffs:g,hasPendingAction:m,hasPendingChanges:()=>m()||g(),isFlushing:()=>i,handleUserSelect:v,handleCompositionEnd:e=>{o&&clearTimeout(o),o=setTimeout((()=>{lZ.set(t,!1),d()}),jX)},handleCompositionStart:e=>{lZ.set(t,!0),o&&(clearTimeout(o),o=null)},handleDOMBeforeInput:e=>{var n;a&&(clearTimeout(a),a=null);var{inputType:r}=e,i=null,o=e.dataTransfer||e.data||void 0;!1!==u&&"insertText"!==r&&"insertCompositionText"!==r&&(u=!1);var[s]=e.getTargetRanges();s&&(i=VZ.toSlateRange(t,s,{exactMatch:!1,suppressThrow:!0}));var l=VZ.getWindow(t).getSelection();if(!i&&l&&(s=l,i=VZ.toSlateRange(t,l,{exactMatch:!1,suppressThrow:!0})),i=null!==(n=i)&&void 0!==n?n:t.selection){var c=!0;if(r.startsWith("delete")){if(EK.isExpanded(i)){var[d,p]=EK.edges(i);if(pK.leaf(t,d.path).text.length===d.offset&&0===p.offset){var m=sK.next(t,{at:d.path,match:IK.isText});m&&gK.equals(m[1],p.path)&&(i={anchor:p,focus:p})}}var g=r.endsWith("Backward")?"backward":"forward",[b,w]=EK.edges(i),[_,x]=sK.leaf(t,b.path),k={text:"",start:b.offset,end:w.offset},E=hZ.get(t),C=null==E?void 0:E.find((e=>gK.equals(e.path,x))),S=C?[C.diff,k]:[k];if(0===TX(_.text,...S).length&&(c=!1),EK.isExpanded(i)){if(c&&gK.equals(i.anchor.path,i.focus.path)){var A={path:i.anchor.path,offset:b.offset},T=sK.range(t,A,A);return v(T),f(i.anchor.path,{text:"",end:w.offset,start:b.offset})}return h((()=>sK.deleteFragment(t,{direction:g})),{at:i})}}switch(r){case"deleteByComposition":case"deleteByCut":case"deleteByDrag":return h((()=>sK.deleteFragment(t)),{at:i});case"deleteContent":case"deleteContentForward":var{anchor:O}=i;if(c&&EK.isCollapsed(i)){var P=pK.leaf(t,O.path);if(O.offset<P.text.length)return f(O.path,{text:"",start:O.offset,end:O.offset+1})}return h((()=>sK.deleteForward(t)),{at:i});case"deleteContentBackward":var D,{anchor:R}=i,I=EZ(s)?s.isCollapsed:!(null===(D=s)||void 0===D||!D.collapsed);return c&&I&&EK.isCollapsed(i)&&R.offset>0?f(R.path,{text:"",start:R.offset-1,end:R.offset}):h((()=>sK.deleteBackward(t)),{at:i});case"deleteEntireSoftLine":return h((()=>{sK.deleteBackward(t,{unit:"line"}),sK.deleteForward(t,{unit:"line"})}),{at:i});case"deleteHardLineBackward":return h((()=>sK.deleteBackward(t,{unit:"block"})),{at:i});case"deleteSoftLineBackward":return h((()=>sK.deleteBackward(t,{unit:"line"})),{at:i});case"deleteHardLineForward":return h((()=>sK.deleteForward(t,{unit:"block"})),{at:i});case"deleteSoftLineForward":return h((()=>sK.deleteForward(t,{unit:"line"})),{at:i});case"deleteWordBackward":return h((()=>sK.deleteBackward(t,{unit:"word"})),{at:i});case"deleteWordForward":return h((()=>sK.deleteForward(t,{unit:"word"})),{at:i});case"insertLineBreak":return h((()=>sK.insertSoftBreak(t)),{at:i});case"insertParagraph":return h((()=>sK.insertBreak(t)),{at:i});case"insertCompositionText":case"deleteCompositionText":case"insertFromComposition":case"insertFromDrop":case"insertFromPaste":case"insertFromYank":case"insertReplacementText":case"insertText":if(zX(o))return h((()=>VZ.insertData(t,o)),{at:i});var M=null!=o?o:"";if(pZ.get(t)&&(M=M.replace("\ufeff","")),"insertText"===r&&/.*\n.*\n$/.test(M)&&(M=M.slice(0,-1)),M.includes("\n"))return h((()=>{var e=M.split("\n");e.forEach(((n,r)=>{n&&sK.insertText(t,n),r!==e.length-1&&sK.insertSoftBreak(t)}))}),{at:i});if(gK.equals(i.anchor.path,i.focus.path)){var[L,N]=EK.edges(i),j={start:L.offset,end:N.offset,text:M};if(M&&u&&"insertCompositionText"===r){var F=u.start+u.text.search(/\S|$/);j.start+j.text.search(/\S|$/)===F+1&&j.end===u.start+u.text.length?(j.start-=1,u=null,y()):u=!1}else u="insertText"===r&&(null===u?j:!(!u||!EK.isCollapsed(i)||u.end+u.text.length!==L.offset)&&NX(NX({},u),{},{text:u.text+M}));if(c)return void f(L.path,j)}return h((()=>sK.insertText(t,M)),{at:i})}}},handleKeyDown:e=>{g()||(p(!0),setTimeout(p))},handleDomMutations:e=>{var n;g()||m()||e.some((n=>PZ(t,n,e)))&&(null===(n=vZ.get(t))||void 0===n||n())},handleInput:()=>{!m()&&g()||d()}}}(function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?qX(Object(n),!0).forEach((function(t){GY(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):qX(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({editor:i},n))));return function(e,t,n){var[r]=(0,o.useState)((()=>new MutationObserver(t)));ZZ((()=>{r.takeRecords()})),(0,o.useEffect)((()=>{if(!e.current)throw new Error("Failed to attach MutationObserver, `node` is undefined");return r.observe(e.current,n),()=>r.disconnect()}),[])}(t,s.handleDomMutations,$X),dZ.set(i,s.scheduleFlush),a&&s.flush(),s}var HX=["autoFocus","decorate","onDOMBeforeInput","placeholder","readOnly","renderElement","renderLeaf","renderPlaceholder","scrollSelectionIntoView","style","as","disableDefaultStyles"],VX=["text"];function GX(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function KX(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?GX(Object(n),!0).forEach((function(t){GY(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):GX(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var YX=e=>o.createElement(o.Fragment,null,hX(e)),ZX=e=>{var t,n,r=(0,o.useCallback)((e=>o.createElement(XX,Object.assign({},e))),[]),{autoFocus:i,decorate:a=QX,onDOMBeforeInput:s,placeholder:l,readOnly:u=!1,renderElement:c,renderLeaf:d,renderPlaceholder:p=r,scrollSelectionIntoView:f=JX,style:h={},as:m="div",disableDefaultStyles:g=!1}=e,v=KY(e,HX),y=yX(),[b,w]=(0,o.useState)(!1),_=(0,o.useRef)(null),x=(0,o.useRef)([]),{onUserInput:k,receivedUserInput:E}=function(){var e=nX(),t=(0,o.useRef)(!1),n=(0,o.useRef)(0),r=(0,o.useCallback)((()=>{if(!t.current){t.current=!0;var r=VZ.getWindow(e);r.cancelAnimationFrame(n.current),n.current=r.requestAnimationFrame((()=>{t.current=!1}))}}),[]);return(0,o.useEffect)((()=>()=>cancelAnimationFrame(n.current)),[]),{receivedUserInput:t,onUserInput:r}}(),[,C]=(0,o.useReducer)((e=>e+1),0);vZ.set(y,C),aZ.set(y,u);var S=(0,o.useMemo)((()=>({isDraggingInternally:!1,isUpdatingSelection:!1,latestElement:null,hasMarkPlaceholder:!1})),[]);(0,o.useEffect)((()=>{_.current&&i&&_.current.focus()}),[i]);var A=(0,o.useCallback)(tY()((()=>{if((MZ||!VZ.isComposing(y))&&(!S.isUpdatingSelection||null!=O&&O.isFlushing())&&!S.isDraggingInternally){var e=VZ.findDocumentOrShadowRoot(y),{activeElement:t}=e,n=VZ.toDOMNode(y,y),r=e.getSelection();if(t===n?(S.latestElement=t,sZ.set(y,!0)):sZ.delete(y),!r)return XK.deselect(y);var{anchorNode:i,focusNode:o}=r,a=VZ.hasEditableTarget(y,i)||VZ.isTargetInsideNonReadonlyVoid(y,i),s=VZ.hasEditableTarget(y,o)||VZ.isTargetInsideNonReadonlyVoid(y,o);if(a&&s){var l=VZ.toSlateRange(y,r,{exactMatch:!1,suppressThrow:!0});l&&(VZ.isComposing(y)||null!=O&&O.hasPendingChanges()||null!=O&&O.isFlushing()?null==O||O.handleUserSelect(l):XK.select(y,l))}!u||a&&s||XK.deselect(y)}}),100),[u]),T=(0,o.useMemo)((()=>JS()(A,0)),[A]),O=WX({node:_,onDOMSelectionChange:A,scheduleOnDOMSelectionChange:T});ZZ((()=>{var e;_.current&&(e=_Z(_.current))?(JY.set(y,e),eZ.set(y,_.current),rZ.set(y,_.current),nZ.set(_.current,y)):rZ.delete(y);var{selection:t}=y,n=VZ.findDocumentOrShadowRoot(y).getSelection();if(n&&VZ.isFocused(y)&&(null==O||!O.hasPendingAction())){var r=e=>{var r="None"!==n.type;if(t||r){var i=eZ.get(y),o=!1;if(i.contains(n.anchorNode)&&i.contains(n.focusNode)&&(o=!0),r&&o&&t&&!e){var a=VZ.toSlateRange(y,n,{exactMatch:!0,suppressThrow:!0});if(a&&EK.equals(a,t)){var s;if(!S.hasMarkPlaceholder)return;var{anchorNode:l}=n;if(null!=l&&null!==(s=l.parentElement)&&void 0!==s&&s.hasAttribute("data-slate-mark-placeholder"))return}}if(!t||VZ.hasRange(y,t)){S.isUpdatingSelection=!0;var u=t&&VZ.toDOMRange(y,t);return u?(EK.isBackward(t)?n.setBaseAndExtent(u.endContainer,u.endOffset,u.startContainer,u.startOffset):n.setBaseAndExtent(u.startContainer,u.startOffset,u.endContainer,u.endOffset),f(y,u)):n.removeAllRanges(),u}y.selection=VZ.toSlateRange(y,n,{exactMatch:!1,suppressThrow:!0})}},i=r(),o="action"===(null==O?void 0:O.isFlushing());if(MZ&&o){var a=null,s=requestAnimationFrame((()=>{if(o){var e=e=>{try{VZ.toDOMNode(y,y).focus(),r(e)}catch(e){}};e(),a=setTimeout((()=>{e(!0),S.isUpdatingSelection=!1}))}}));return()=>{cancelAnimationFrame(s),a&&clearTimeout(a)}}setTimeout((()=>{i&&LZ&&VZ.toDOMNode(y,y).focus(),S.isUpdatingSelection=!1}))}}));var P=(0,o.useCallback)((e=>{if(k(),!u&&VZ.hasEditableTarget(y,e.target)&&!tQ(e,s)){var t;if(O)return O.handleDOMBeforeInput(e);T.flush(),A.flush();var{selection:n}=y,{inputType:r}=e,i=e.dataTransfer||e.data||void 0,o="insertCompositionText"===r||"deleteCompositionText"===r;if(o&&VZ.isComposing(y))return;var a=!1;if("insertText"===r&&n&&EK.isCollapsed(n)&&e.data&&1===e.data.length&&/[a-z ]/i.test(e.data)&&0!==n.anchor.offset){var l,c;a=!0,y.marks&&(a=!1);var{anchor:d}=n,[p,f]=VZ.toDOMPoint(y,d),h=null===(l=p.parentElement)||void 0===l?void 0:l.closest("a"),m=VZ.getWindow(y);if(a&&h&&VZ.hasDOMNode(y,h)){var g,v=null==m?void 0:m.document.createTreeWalker(h,NodeFilter.SHOW_TEXT).lastChild();v===p&&(null===(g=v.textContent)||void 0===g?void 0:g.length)===f&&(a=!1)}if(a&&p.parentElement&&"pre"===(null==m||null===(c=m.getComputedStyle(p.parentElement))||void 0===c?void 0:c.whiteSpace)){var b=sK.above(y,{at:d.path,match:e=>tK.isElement(e)&&sK.isBlock(y,e)});b&&pK.string(b[0]).includes("\t")&&(a=!1)}}if(!r.startsWith("delete")||r.startsWith("deleteBy")){var[_]=e.getTargetRanges();if(_){var E=VZ.toSlateRange(y,_,{exactMatch:!1,suppressThrow:!1});if(!n||!EK.equals(n,E)){a=!1;var C=!o&&y.selection&&sK.rangeRef(y,y.selection);XK.select(y,E),C&&uZ.set(y,C)}}}if(o)return;if(a||e.preventDefault(),n&&EK.isExpanded(n)&&r.startsWith("delete")){var S=r.endsWith("Backward")?"backward":"forward";return void sK.deleteFragment(y,{direction:S})}switch(r){case"deleteByComposition":case"deleteByCut":case"deleteByDrag":sK.deleteFragment(y);break;case"deleteContent":case"deleteContentForward":sK.deleteForward(y);break;case"deleteContentBackward":sK.deleteBackward(y);break;case"deleteEntireSoftLine":sK.deleteBackward(y,{unit:"line"}),sK.deleteForward(y,{unit:"line"});break;case"deleteHardLineBackward":sK.deleteBackward(y,{unit:"block"});break;case"deleteSoftLineBackward":sK.deleteBackward(y,{unit:"line"});break;case"deleteHardLineForward":sK.deleteForward(y,{unit:"block"});break;case"deleteSoftLineForward":sK.deleteForward(y,{unit:"line"});break;case"deleteWordBackward":sK.deleteBackward(y,{unit:"word"});break;case"deleteWordForward":sK.deleteForward(y,{unit:"word"});break;case"insertLineBreak":sK.insertSoftBreak(y);break;case"insertParagraph":sK.insertBreak(y);break;case"insertFromComposition":case"insertFromDrop":case"insertFromPaste":case"insertFromYank":case"insertReplacementText":case"insertText":"insertFromComposition"===r&&VZ.isComposing(y)&&(w(!1),lZ.set(y,!1)),"DataTransfer"===(null==i?void 0:i.constructor.name)?VZ.insertData(y,i):"string"==typeof i&&(a?x.current.push((()=>sK.insertText(y,i))):sK.insertText(y,i))}var P=null===(t=uZ.get(y))||void 0===t?void 0:t.unref();uZ.delete(y),!P||y.selection&&EK.equals(y.selection,P)||XK.select(y,P)}}),[u,s]),D=(0,o.useCallback)((e=>{null==e?(A.cancel(),T.cancel(),eZ.delete(y),rZ.delete(y),_.current&&HZ&&_.current.removeEventListener("beforeinput",P)):HZ&&e.addEventListener("beforeinput",P),_.current=e}),[_,P,A,T]);ZZ((()=>{var e=VZ.getWindow(y);return e.document.addEventListener("selectionchange",T),()=>{e.document.removeEventListener("selectionchange",T)}}),[T]);var R=a([y,[]]);if(l&&1===y.children.length&&1===Array.from(pK.texts(y)).length&&""===pK.string(y)&&!b){var I=sK.start(y,[]);R.push({[yZ]:!0,placeholder:l,anchor:I,focus:I})}var{marks:M}=y;if(S.hasMarkPlaceholder=!1,y.selection&&EK.isCollapsed(y.selection)&&M){var{anchor:L}=y.selection,N=pK.leaf(y,L.path),j=KY(N,VX);if(!IK.equals(N,M,{loose:!0})){S.hasMarkPlaceholder=!0;var F=Object.fromEntries(Object.keys(j).map((e=>[e,null])));R.push(KX(KX(KX({[bZ]:!0},F),M),{},{anchor:L,focus:L}))}}(0,o.useEffect)((()=>{setTimeout((()=>{var{selection:e}=y;if(e){var{anchor:t}=e,n=pK.leaf(y,t.path);if(M&&!IK.equals(n,M,{loose:!0}))return void pZ.set(y,M)}pZ.delete(y)}))}));var B=null===(t=tZ.get(y))||void 0===t||null===(n=t.getBoundingClientRect())||void 0===n?void 0:n.height;return o.createElement(mX.Provider,{value:u},o.createElement(dX.Provider,{value:a},o.createElement(SX,{node:_,receivedUserInput:E},o.createElement(m,Object.assign({role:u?void 0:"textbox","aria-multiline":!u||void 0},v,{spellCheck:!(!HZ&&WZ)&&v.spellCheck,autoCorrect:HZ||!WZ?v.autoCorrect:"false",autoCapitalize:HZ||!WZ?v.autoCapitalize:"false","data-slate-editor":!0,"data-slate-node":"value",contentEditable:!u,zindex:-1,suppressContentEditableWarning:!0,ref:D,style:KX(KX({},g?{}:KX({position:"relative",outline:"none",whiteSpace:"pre-wrap",wordWrap:"break-word"},B?{minHeight:B}:{})),h),onBeforeInput:(0,o.useCallback)((e=>{if(!HZ&&!u&&!eQ(e,v.onBeforeInput)&&VZ.hasSelectableTarget(y,e.target)&&(e.preventDefault(),!VZ.isComposing(y))){var t=e.data;sK.insertText(y,t)}}),[u]),onInput:(0,o.useCallback)((e=>{if(!eQ(e,v.onInput))if(O)O.handleInput();else{for(var t of x.current)t();x.current=[]}}),[]),onBlur:(0,o.useCallback)((e=>{if(!u&&!S.isUpdatingSelection&&VZ.hasSelectableTarget(y,e.target)&&!eQ(e,v.onBlur)){var t=VZ.findDocumentOrShadowRoot(y);if(S.latestElement!==t.activeElement){var{relatedTarget:n}=e;if(!(n===VZ.toDOMNode(y,y)||xZ(n)&&n.hasAttribute("data-slate-spacer"))){if(null!=n&&kZ(n)&&VZ.hasDOMNode(y,n)){var r=VZ.toSlateNode(y,n);if(tK.isElement(r)&&!y.isVoid(r))return}if(NZ){var i=t.getSelection();null==i||i.removeAllRanges()}sZ.delete(y)}}}}),[u,v.onBlur]),onClick:(0,o.useCallback)((e=>{if(VZ.hasTarget(y,e.target)&&!eQ(e,v.onClick)&&kZ(e.target)){var t=VZ.toSlateNode(y,e.target),n=VZ.findPath(y,t);if(!sK.hasPath(y,n)||pK.get(y,n)!==t)return;if(3===e.detail&&n.length>=1){var r=n;if(!tK.isElement(t)||!sK.isBlock(y,t)){var i,o=sK.above(y,{match:e=>tK.isElement(e)&&sK.isBlock(y,e),at:n});r=null!==(i=null==o?void 0:o[1])&&void 0!==i?i:n.slice(0,1)}var a=sK.range(y,r);return void XK.select(y,a)}if(u)return;var s=sK.start(y,n),l=sK.end(y,n),c=sK.void(y,{at:s}),d=sK.void(y,{at:l});if(c&&d&&gK.equals(c[1],d[1])){var p=sK.range(y,s);XK.select(y,p)}}}),[u,v.onClick]),onCompositionEnd:(0,o.useCallback)((e=>{if(VZ.hasSelectableTarget(y,e.target)){if(VZ.isComposing(y)&&(w(!1),lZ.set(y,!1)),null==O||O.handleCompositionEnd(e),eQ(e,v.onCompositionEnd)||MZ)return;if(!NZ&&!UZ&&!RZ&&!$Z&&!qZ&&e.data){var t=pZ.get(y);pZ.delete(y),void 0!==t&&(fZ.set(y,y.marks),y.marks=t),sK.insertText(y,e.data);var n=fZ.get(y);fZ.delete(y),void 0!==n&&(y.marks=n)}}}),[v.onCompositionEnd]),onCompositionUpdate:(0,o.useCallback)((e=>{VZ.hasSelectableTarget(y,e.target)&&!eQ(e,v.onCompositionUpdate)&&(VZ.isComposing(y)||(w(!0),lZ.set(y,!0)))}),[v.onCompositionUpdate]),onCompositionStart:(0,o.useCallback)((e=>{if(VZ.hasSelectableTarget(y,e.target)){if(null==O||O.handleCompositionStart(e),eQ(e,v.onCompositionStart)||MZ)return;w(!0);var{selection:t}=y;if(t){if(EK.isExpanded(t))return void sK.deleteFragment(y);var n=sK.above(y,{match:e=>tK.isElement(e)&&sK.isInline(y,e),mode:"highest"});if(n){var[,r]=n;if(sK.isEnd(y,t.anchor,r)){var i=sK.after(y,r);XK.setSelection(y,{anchor:i,focus:i})}}}}}),[v.onCompositionStart]),onCopy:(0,o.useCallback)((e=>{VZ.hasSelectableTarget(y,e.target)&&!eQ(e,v.onCopy)&&(e.preventDefault(),VZ.setFragmentData(y,e.clipboardData,"copy"))}),[v.onCopy]),onCut:(0,o.useCallback)((e=>{if(!u&&VZ.hasSelectableTarget(y,e.target)&&!eQ(e,v.onCut)){e.preventDefault(),VZ.setFragmentData(y,e.clipboardData,"cut");var{selection:t}=y;if(t)if(EK.isExpanded(t))sK.deleteFragment(y);else{var n=pK.parent(y,t.anchor.path);sK.isVoid(y,n)&&XK.delete(y)}}}),[u,v.onCut]),onDragOver:(0,o.useCallback)((e=>{if(VZ.hasTarget(y,e.target)&&!eQ(e,v.onDragOver)){var t=VZ.toSlateNode(y,e.target);tK.isElement(t)&&sK.isVoid(y,t)&&e.preventDefault()}}),[v.onDragOver]),onDragStart:(0,o.useCallback)((e=>{if(!u&&VZ.hasTarget(y,e.target)&&!eQ(e,v.onDragStart)){var t=VZ.toSlateNode(y,e.target),n=VZ.findPath(y,t);if(tK.isElement(t)&&sK.isVoid(y,t)||sK.void(y,{at:n,voids:!0})){var r=sK.range(y,n);XK.select(y,r)}S.isDraggingInternally=!0,VZ.setFragmentData(y,e.dataTransfer,"drag")}}),[u,v.onDragStart]),onDrop:(0,o.useCallback)((e=>{if(!u&&VZ.hasTarget(y,e.target)&&!eQ(e,v.onDrop)){e.preventDefault();var t=y.selection,n=VZ.findEventRange(y,e),r=e.dataTransfer;XK.select(y,n),S.isDraggingInternally&&(!t||EK.equals(t,n)||sK.void(y,{at:n,voids:!0})||XK.delete(y,{at:t})),VZ.insertData(y,r),VZ.isFocused(y)||VZ.focus(y)}S.isDraggingInternally=!1}),[u,v.onDrop]),onDragEnd:(0,o.useCallback)((e=>{!u&&S.isDraggingInternally&&v.onDragEnd&&VZ.hasTarget(y,e.target)&&v.onDragEnd(e),S.isDraggingInternally=!1}),[u,v.onDragEnd]),onFocus:(0,o.useCallback)((e=>{if(!u&&!S.isUpdatingSelection&&VZ.hasEditableTarget(y,e.target)&&!eQ(e,v.onFocus)){var t=VZ.toDOMNode(y,y),n=VZ.findDocumentOrShadowRoot(y);if(S.latestElement=n.activeElement,LZ&&e.target!==t)return void t.focus();sZ.set(y,!0)}}),[u,v.onFocus]),onKeyDown:(0,o.useCallback)((e=>{if(!u&&VZ.hasEditableTarget(y,e.target)){null==O||O.handleKeyDown(e);var{nativeEvent:t}=e;if(VZ.isComposing(y)&&!1===t.isComposing&&(lZ.set(y,!1),w(!1)),eQ(e,v.onKeyDown)||VZ.isComposing(y))return;var{selection:n}=y,r=y.children[null!==n?n.focus.path[0]:0],i="rtl"===JK()(pK.string(r));if(kX.isRedo(t)){e.preventDefault();var o=y;return void("function"==typeof o.redo&&o.redo())}if(kX.isUndo(t)){e.preventDefault();var a=y;return void("function"==typeof a.undo&&a.undo())}if(kX.isMoveLineBackward(t))return e.preventDefault(),void XK.move(y,{unit:"line",reverse:!0});if(kX.isMoveLineForward(t))return e.preventDefault(),void XK.move(y,{unit:"line"});if(kX.isExtendLineBackward(t))return e.preventDefault(),void XK.move(y,{unit:"line",edge:"focus",reverse:!0});if(kX.isExtendLineForward(t))return e.preventDefault(),void XK.move(y,{unit:"line",edge:"focus"});if(kX.isMoveBackward(t))return e.preventDefault(),void(n&&EK.isCollapsed(n)?XK.move(y,{reverse:!i}):XK.collapse(y,{edge:"start"}));if(kX.isMoveForward(t))return e.preventDefault(),void(n&&EK.isCollapsed(n)?XK.move(y,{reverse:i}):XK.collapse(y,{edge:"end"}));if(kX.isMoveWordBackward(t))return e.preventDefault(),n&&EK.isExpanded(n)&&XK.collapse(y,{edge:"focus"}),void XK.move(y,{unit:"word",reverse:!i});if(kX.isMoveWordForward(t))return e.preventDefault(),n&&EK.isExpanded(n)&&XK.collapse(y,{edge:"focus"}),void XK.move(y,{unit:"word",reverse:i});if(HZ){if((FZ||NZ)&&n&&(kX.isDeleteBackward(t)||kX.isDeleteForward(t))&&EK.isCollapsed(n)){var s=pK.parent(y,n.anchor.path);if(tK.isElement(s)&&sK.isVoid(y,s)&&(sK.isInline(y,s)||sK.isBlock(y,s)))return e.preventDefault(),void sK.deleteBackward(y,{unit:"block"})}}else{if(kX.isBold(t)||kX.isItalic(t)||kX.isTransposeCharacter(t))return void e.preventDefault();if(kX.isSoftBreak(t))return e.preventDefault(),void sK.insertSoftBreak(y);if(kX.isSplitBlock(t))return e.preventDefault(),void sK.insertBreak(y);if(kX.isDeleteBackward(t))return e.preventDefault(),void(n&&EK.isExpanded(n)?sK.deleteFragment(y,{direction:"backward"}):sK.deleteBackward(y));if(kX.isDeleteForward(t))return e.preventDefault(),void(n&&EK.isExpanded(n)?sK.deleteFragment(y,{direction:"forward"}):sK.deleteForward(y));if(kX.isDeleteLineBackward(t))return e.preventDefault(),void(n&&EK.isExpanded(n)?sK.deleteFragment(y,{direction:"backward"}):sK.deleteBackward(y,{unit:"line"}));if(kX.isDeleteLineForward(t))return e.preventDefault(),void(n&&EK.isExpanded(n)?sK.deleteFragment(y,{direction:"forward"}):sK.deleteForward(y,{unit:"line"}));if(kX.isDeleteWordBackward(t))return e.preventDefault(),void(n&&EK.isExpanded(n)?sK.deleteFragment(y,{direction:"backward"}):sK.deleteBackward(y,{unit:"word"}));if(kX.isDeleteWordForward(t))return e.preventDefault(),void(n&&EK.isExpanded(n)?sK.deleteFragment(y,{direction:"forward"}):sK.deleteForward(y,{unit:"word"}))}}}),[u,v.onKeyDown]),onPaste:(0,o.useCallback)((e=>{u||!VZ.hasEditableTarget(y,e.target)||eQ(e,v.onPaste)||(!HZ||(e=>e.clipboardData&&""!==e.clipboardData.getData("text/plain")&&1===e.clipboardData.types.length)(e.nativeEvent)||NZ)&&(e.preventDefault(),VZ.insertData(y,e.clipboardData))}),[u,v.onPaste])}),o.createElement(YX,{decorations:R,node:y,renderElement:c,renderPlaceholder:p,renderLeaf:d,selection:y.selection})))))},XX=e=>{var{attributes:t,children:n}=e;return o.createElement("span",Object.assign({},t),n,MZ&&o.createElement("br",null))},QX=()=>[],JX=(e,t)=>{if(t.getBoundingClientRect&&(!e.selection||e.selection&&EK.isCollapsed(e.selection))){var n=t.startContainer.parentElement;n.getBoundingClientRect=t.getBoundingClientRect.bind(t),function(e,t){var n=e.isConnected||e.ownerDocument.documentElement.contains(e);if(sY(t)&&"function"==typeof t.behavior)return t.behavior(n?aY(e,t):[]);if(n){var r=function(e){return!1===e?{block:"end",inline:"nearest"}:sY(e)?e:{block:"start",inline:"nearest"}}(t);(function(e,t){void 0===t&&(t="auto");var n="scrollBehavior"in document.body.style;e.forEach((function(e){var r=e.el,i=e.top,o=e.left;r.scroll&&n?r.scroll({top:i,left:o,behavior:t}):(r.scrollTop=i,r.scrollLeft=o)}))})(aY(e,r),r.behavior)}}(n,{scrollMode:"if-needed"}),delete n.getBoundingClientRect}},eQ=(e,t)=>{if(!t)return!1;var n=t(e);return null!=n?n:e.isDefaultPrevented()||e.isPropagationStopped()},tQ=(e,t)=>{if(!t)return!1;var n=t(e);return null!=n?n:e.defaultPrevented},nQ=(0,o.createContext)(!1),rQ=(0,o.createContext)({}),iQ=["editor","children","onChange","value"],oQ=e=>{var{editor:t,children:n,onChange:r,value:i}=e,a=KY(e,iQ),s=(0,o.useRef)(!1),[l,u]=o.useState((()=>{if(!pK.isNodeList(i))throw new Error("[Slate] value is invalid! Expected a list of elements but got: ".concat(AK.stringify(i)));if(!sK.isEditor(t))throw new Error("[Slate] editor is invalid! You passed: ".concat(AK.stringify(t)));return t.children=i,Object.assign(t,a),{v:0,editor:t}})),{selectorContext:c,onChange:d}=function(e){var t=(0,o.useRef)([]).current,n=(0,o.useRef)({editor:e}).current,r=(0,o.useCallback)((e=>{n.editor=e,t.forEach((t=>t(e)))}),[]);return{selectorContext:(0,o.useMemo)((()=>({getSlate:()=>n.editor,addEventListener:e=>(t.push(e),()=>{t.splice(t.indexOf(e),1)})})),[t,n]),onChange:r}}(t),p=(0,o.useCallback)((()=>{r&&r(t.children),u((e=>({v:e.v+1,editor:t}))),d(t)}),[r]);(0,o.useEffect)((()=>(cZ.set(t,p),()=>{cZ.set(t,(()=>{})),s.current=!0})),[p]);var[f,h]=(0,o.useState)(VZ.isFocused(t));return(0,o.useEffect)((()=>{h(VZ.isFocused(t))})),ZZ((()=>{var e=()=>h(VZ.isFocused(t));return DZ?(document.addEventListener("focusin",e),document.addEventListener("focusout",e),()=>{document.removeEventListener("focusin",e),document.removeEventListener("focusout",e)}):(document.addEventListener("focus",e,!0),document.addEventListener("blur",e,!0),()=>{document.removeEventListener("focus",e,!0),document.removeEventListener("blur",e,!0)})}),[]),o.createElement(rQ.Provider,{value:c},o.createElement(vX.Provider,{value:l},o.createElement(tX.Provider,{value:l.editor},o.createElement(nQ.Provider,{value:f},n))))},aQ=(e,t)=>{var n=(t.top+t.bottom)/2;return e.top<=n&&e.bottom>=n},sQ=(e,t,n)=>{var r=VZ.toDOMRange(e,t).getBoundingClientRect(),i=VZ.toDOMRange(e,n).getBoundingClientRect();return aQ(r,i)&&aQ(i,r)};function lQ(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function uQ(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?lQ(Object(n),!0).forEach((function(t){GY(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):lQ(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var cQ=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"x-slate-fragment",n=e,{apply:r,onChange:i,deleteBackward:o,addMark:a,removeMark:s}=n;return oZ.set(n,new WeakMap),n.addMark=(e,t)=>{var r,i;null===(r=dZ.get(n))||void 0===r||r(),!pZ.get(n)&&null!==(i=hZ.get(n))&&void 0!==i&&i.length&&pZ.set(n,null),fZ.delete(n),a(e,t)},n.removeMark=e=>{var t;!pZ.get(n)&&null!==(t=hZ.get(n))&&void 0!==t&&t.length&&pZ.set(n,null),fZ.delete(n),s(e)},n.deleteBackward=e=>{if("line"!==e)return o(e);if(n.selection&&EK.isCollapsed(n.selection)){var t=sK.above(n,{match:e=>tK.isElement(e)&&sK.isBlock(n,e),at:n.selection});if(t){var[,r]=t,i=sK.range(n,r,n.selection.anchor),a=((e,t)=>{var n=sK.range(e,EK.end(t)),r=Array.from(sK.positions(e,{at:t})),i=0,o=r.length,a=Math.floor(o/2);if(sQ(e,sK.range(e,r[i]),n))return sK.range(e,r[i],n);if(r.length<2)return sK.range(e,r[r.length-1],n);for(;a!==r.length&&a!==i;)sQ(e,sK.range(e,r[a]),n)?o=a:i=a,a=Math.floor((i+o)/2);return sK.range(e,r[o],n)})(n,i);EK.isCollapsed(a)||XK.delete(n,{at:a})}}},n.apply=e=>{var t=[],i=hZ.get(n);if(null!=i&&i.length){var o=i.map((t=>function(e,t){var{path:n,diff:r,id:i}=e;switch(t.type){case"insert_text":return!gK.equals(t.path,n)||t.offset>=r.end?e:t.offset<=r.start?{diff:{start:t.text.length+r.start,end:t.text.length+r.end,text:r.text},id:i,path:n}:{diff:{start:r.start,end:r.end+t.text.length,text:r.text},id:i,path:n};case"remove_text":return!gK.equals(t.path,n)||t.offset>=r.end?e:t.offset+t.text.length<=r.start?{diff:{start:r.start-t.text.length,end:r.end-t.text.length,text:r.text},id:i,path:n}:{diff:{start:r.start,end:r.end-t.text.length,text:r.text},id:i,path:n};case"split_node":return!gK.equals(t.path,n)||t.position>=r.end?{diff:r,id:i,path:gK.transform(n,t,{affinity:"backward"})}:t.position>r.start?{diff:{start:r.start,end:Math.min(t.position,r.end),text:r.text},id:i,path:n}:{diff:{start:r.start-t.position,end:r.end-t.position,text:r.text},id:i,path:gK.transform(n,t,{affinity:"forward"})};case"merge_node":return gK.equals(t.path,n)?{diff:{start:r.start+t.position,end:r.end+t.position,text:r.text},id:i,path:gK.transform(n,t)}:{diff:r,id:i,path:gK.transform(n,t)}}var o=gK.transform(n,t);return o?{diff:r,path:o,id:i}:null}(t,e))).filter(Boolean);hZ.set(n,o)}var a=gZ.get(n);a&&gZ.set(n,MX(n,a,e));var s=mZ.get(n);if(null!=s&&s.at){var l=wK.isPoint(null==s?void 0:s.at)?IX(n,s.at,e):MX(n,s.at,e);mZ.set(n,l?uQ(uQ({},s),{},{at:l}):null)}switch(e.type){case"insert_text":case"remove_text":case"set_node":case"split_node":t.push(...dQ(n,e.path));break;case"set_selection":var u;null===(u=uZ.get(n))||void 0===u||u.unref(),uZ.delete(n);break;case"insert_node":case"remove_node":t.push(...dQ(n,gK.parent(e.path)));break;case"merge_node":var c=gK.previous(e.path);t.push(...dQ(n,c));break;case"move_node":var d=gK.common(gK.parent(e.path),gK.parent(e.newPath));t.push(...dQ(n,d))}for(var[p,f]of(r(e),t)){var[h]=sK.node(n,p);iZ.set(h,f)}},n.setFragmentData=e=>{var{selection:r}=n;if(r){var[i,o]=EK.edges(r),a=sK.void(n,{at:i.path}),s=sK.void(n,{at:o.path});if(!EK.isCollapsed(r)||a){var l=VZ.toDOMRange(n,r),u=l.cloneContents(),c=u.childNodes[0];if(u.childNodes.forEach((e=>{e.textContent&&""!==e.textContent.trim()&&(c=e)})),s){var[d]=s,p=l.cloneRange(),f=VZ.toDOMNode(n,d);p.setEndAfter(f),u=p.cloneContents()}if(a&&(c=u.querySelector("[data-slate-spacer]")),Array.from(u.querySelectorAll("[data-slate-zero-width]")).forEach((e=>{var t="n"===e.getAttribute("data-slate-zero-width");e.textContent=t?"\n":""})),CZ(c)){var h=c.ownerDocument.createElement("span");h.style.whiteSpace="pre",h.appendChild(c),u.appendChild(h),c=h}var m=n.getFragment(),g=JSON.stringify(m),v=window.btoa(encodeURIComponent(g));c.setAttribute("data-slate-fragment",v),e.setData("application/".concat(t),v);var y=u.ownerDocument.createElement("div");return y.appendChild(u),y.setAttribute("hidden","true"),u.ownerDocument.body.appendChild(y),e.setData("text/html",y.innerHTML),e.setData("text/plain",TZ(y)),u.ownerDocument.body.removeChild(y),e}}},n.insertData=e=>{n.insertFragmentData(e)||n.insertTextData(e)},n.insertFragmentData=e=>{var r=e.getData("application/".concat(t))||(e=>{var t=e.getData("text/html"),[,n]=t.match(OZ)||[];return n})(e);if(r){var i=decodeURIComponent(window.atob(r)),o=JSON.parse(i);return n.insertFragment(o),!0}return!1},n.insertTextData=e=>{var t=e.getData("text/plain");if(t){var r=t.split(/\r\n|\r|\n/),i=!1;for(var o of r)i&&XK.splitNodes(n,{always:!0}),n.insertText(o),i=!0;return!0}return!1},n.onChange=e=>{ee.unstable_batchedUpdates((()=>{var t=cZ.get(n);t&&t(),i(e)}))},n},dQ=(e,t)=>{var n=[];for(var[r,i]of sK.levels(e,{at:t})){var o=VZ.findKey(e,r);n.push([i,o])}return n},pQ=e=>hG(e)&&Array.isArray(e.redos)&&Array.isArray(e.undos)&&(0===e.redos.length||mK.isOperationList(e.redos[0].operations))&&(0===e.undos.length||mK.isOperationList(e.undos[0].operations)),fQ=(new WeakMap,new WeakMap),hQ=new WeakMap,mQ={isHistoryEditor:e=>pQ(e.history)&&sK.isEditor(e),isMerging:e=>hQ.get(e),isSaving:e=>fQ.get(e),redo(e){e.redo()},undo(e){e.undo()},withoutMerging(e,t){var n=mQ.isMerging(e);hQ.set(e,!1),t(),hQ.set(e,n)},withoutSaving(e,t){var n=mQ.isSaving(e);fQ.set(e,!1),t(),fQ.set(e,n)}},gQ=e=>{var t=e,{apply:n}=t;return t.history={undos:[],redos:[]},t.redo=()=>{var{history:e}=t,{redos:n}=e;if(n.length>0){var r=n[n.length-1];r.selectionBefore&&XK.setSelection(t,r.selectionBefore),mQ.withoutSaving(t,(()=>{sK.withoutNormalizing(t,(()=>{for(var e of r.operations)t.apply(e)}))})),e.redos.pop(),t.writeHistory("undos",r)}},t.undo=()=>{var{history:e}=t,{undos:n}=e;if(n.length>0){var r=n[n.length-1];mQ.withoutSaving(t,(()=>{sK.withoutNormalizing(t,(()=>{var e=r.operations.map(mK.inverse).reverse();for(var n of e)t.apply(n);r.selectionBefore&&XK.setSelection(t,r.selectionBefore)}))})),t.writeHistory("redos",r),e.undos.pop()}},t.apply=e=>{var{operations:r,history:i}=t,{undos:o}=i,a=o[o.length-1],s=a&&a.operations[a.operations.length-1],l=mQ.isSaving(t),u=mQ.isMerging(t);if(null==l&&(l=yQ(e)),l){if(null==u&&(u=null!=a&&(0!==r.length||vQ(e,s))),a&&u)a.operations.push(e);else{var c={operations:[e],selectionBefore:t.selection};t.writeHistory("undos",c)}for(;o.length>100;)o.shift();i.redos=[]}n(e)},t.writeHistory=(e,n)=>{t.history[e].push(n)},t},vQ=(e,t)=>!(!t||"insert_text"!==e.type||"insert_text"!==t.type||e.offset!==t.offset+t.text.length||!gK.equals(e.path,t.path))||!(!t||"remove_text"!==e.type||"remove_text"!==t.type||e.offset+e.text.length!==t.offset||!gK.equals(e.path,t.path)),yQ=(e,t)=>"set_selection"!==e.type;const bQ={stickyDistanceBottom:"100px"},wQ=gb("div",{target:"ehaqqzm0",label:"EditorControlBar"})("z-index:",hr.zIndex200,";position:sticky;top:0;margin-bottom:",bQ.stickyDistanceBottom,";"),_Q=gb("button",{target:"ek00w7u3",label:"ToggleContainer"})({name:"1afa32y",styles:"display:inline-flex;align-items:center;justify-content:center;position:relative;width:40px;height:20px;cursor:pointer;border:none;padding:0;margin:0;background:transparent"});var xQ={name:"18x3ccc-ToggleHandle",styles:"transform:translateX(20px);label:ToggleHandle;"};const kQ=gb("span",{target:"ek00w7u2",label:"ToggleHandle"})(tr,";position:absolute;left:0;top:0;width:20px;height:20px;border-radius:50%;background-color:",Rn,";transition:transform ",Xn,";",(e=>e.isActive&&xQ),";"),EQ=gb("span",{target:"ek00w7u1",label:"ToggleBackground"})("width:34px;height:14px;border-radius:10px;background-color:",Kn.active,";");function CQ({id:e,active:t,onChange:n,onFocus:r,onBlur:i,className:a,Container:s=_Q,Background:l=EQ,Handle:u=kQ}){const[c,d]=(0,o.useState)(t);return xn(s,{id:e,onFocus:r,onBlur:i,className:a,onClick:function(){d((e=>!e)),n&&n(!c)},role:"switch","aria-checked":null==c?void 0:c.toString(),"aria-expanded":null},xn(l,{isActive:c}),xn(u,{isActive:c}))}CQ.propTypes={id:ie().string,active:ie().bool,onChange:ie().func,onFocus:ie().func,onBlur:ie().func,className:ie().string,Container:ie().func,Background:ie().func,Handle:ie().func};const SQ=gb(CQ,{target:"ek00w7u0",label:"StyledToggle"})(""),AQ=gb("button",{target:"e1ps9s9m0",label:"StyledToolbarButton"})(cr.button,";display:inline-block;padding:6px;border:none;background-color:transparent;font-size:16px;color:",(e=>e.isActive?"#1e2532":"inherit"),";cursor:pointer;&:disabled{cursor:auto;opacity:0.5;}",O_,"{display:block;}");function TQ({type:e,label:t,icon:n,onClick:r,isActive:i,disabled:o}){return xn(AQ,{isActive:i,onClick:t=>r&&r(t,e),title:t,disabled:o},n?xn(O_,{type:n}):t)}TQ.propTypes={type:ie().string,label:ie().string.isRequired,icon:ie().string,onClick:ie().func,isActive:ie().bool,disabled:ie().bool};const OQ=TQ;function PQ(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const DQ=gb("div",{target:"e11cox783",label:"ToolbarContainer"})("background-color:",Kn.textFieldBorder,";border-top-right-radius:",Yn.borderRadius,";position:relative;display:flex;justify-content:space-between;align-items:center;padding:11px 14px;min-height:58px;transition:background-color ",Xn,",color ",Xn,";color:",Kn.text,";"),RQ=gb("div",{target:"e11cox782",label:"ToolbarDropdownWrapper"})({name:"11ffxfj",styles:"display:inline-block;position:relative"}),IQ=gb("div",{target:"e11cox781",label:"ToolbarToggle"})({name:"1qi6e7n",styles:"flex-shrink:0;display:flex;align-items:center;font-size:14px;margin:0 10px"}),MQ=IQ.withComponent(SQ,{target:"e11cox784",label:"StyledToggle"}),LQ=gb("span",{target:"e11cox780",label:"ToolbarToggleLabel"})("display:inline-block;text-align:center;white-space:nowrap;line-height:20px;min-width:",(e=>e.offPosition?"62px":"70px"),";",(e=>e.isActive&&En("font-weight:600;color:",Kn.active,";;label:ToolbarToggleLabel;",";label:ToolbarToggleLabel;")),";");class NQ extends o.Component{constructor(...e){super(...e),PQ(this,"isVisible",(e=>{const{buttons:t}=this.props;return!kr.List.isList(t)||t.includes(e)})),PQ(this,"handleBlockClick",((e,t)=>{e&&e.preventDefault(),this.props.onBlockClick(t)})),PQ(this,"handleMarkClick",((e,t)=>{e.preventDefault(),this.props.onMarkClick(t)}))}render(){const{onLinkClick:e,onToggleMode:t,rawMode:n,isShowModeToggle:r,plugins:i,disabled:o,onSubmit:a,hasMark:s=(()=>{}),hasInline:l=(()=>{}),hasBlock:u=(()=>{}),hasQuote:c=(()=>{}),hasListItems:d=(()=>{}),editorComponents:p,t:f}=this.props,h=this.isVisible,m=!p||p.size>=1,g=i?i.toList().filter((function({id:e}){return!p||p.includes(e)})):(0,kr.List)(),v={"heading-one":f("editor.editorWidgets.headingOptions.headingOne"),"heading-two":f("editor.editorWidgets.headingOptions.headingTwo"),"heading-three":f("editor.editorWidgets.headingOptions.headingThree"),"heading-four":f("editor.editorWidgets.headingOptions.headingFour"),"heading-five":f("editor.editorWidgets.headingOptions.headingFive"),"heading-six":f("editor.editorWidgets.headingOptions.headingSix")};return xn(DQ,null,xn("div",null,h("bold")&&xn(OQ,{type:"bold",label:f("editor.editorWidgets.markdown.bold"),icon:"bold",onClick:this.handleMarkClick,isActive:s("bold"),disabled:o}),h("italic")&&xn(OQ,{type:"italic",label:f("editor.editorWidgets.markdown.italic"),icon:"italic",onClick:this.handleMarkClick,isActive:s("italic"),disabled:o}),h("code")&&xn(OQ,{type:"code",label:f("editor.editorWidgets.markdown.code"),icon:"code",onClick:this.handleMarkClick,isActive:s("code"),disabled:o}),h("link")&&xn(OQ,{type:"link",label:f("editor.editorWidgets.markdown.link"),icon:"link",onClick:e,isActive:l("link"),disabled:o}),Object.keys(v).some(h)&&xn(RQ,null,xn(j_,{dropdownWidth:"max-content",dropdownTopOverlap:"36px",renderButton:()=>xn(kw.Button,null,xn(OQ,{type:"headings",label:f("editor.editorWidgets.markdown.headings"),icon:"hOptions",disabled:o,isActive:!o&&Object.keys(v).some(u)}))},!o&&Object.keys(v).map(((e,t)=>h(e)&&xn(F_,{key:t,label:v[e],className:u(e)?"active":"",onClick:()=>this.handleBlockClick(null,e)}))))),h("quote")&&xn(OQ,{type:"quote",label:f("editor.editorWidgets.markdown.quote"),icon:"quote",onClick:this.handleBlockClick,isActive:c("quote"),disabled:o}),h("bulleted-list")&&xn(OQ,{type:"bulleted-list",label:f("editor.editorWidgets.markdown.bulletedList"),icon:"list-bulleted",onClick:this.handleBlockClick,isActive:d("bulleted-list"),disabled:o}),h("numbered-list")&&xn(OQ,{type:"numbered-list",label:f("editor.editorWidgets.markdown.numberedList"),icon:"list-numbered",onClick:this.handleBlockClick,isActive:d("numbered-list"),disabled:o}),m&&xn(RQ,null,xn(j_,{dropdownTopOverlap:"36px",dropdownWidth:"max-content",renderButton:()=>xn(kw.Button,null,xn(OQ,{label:f("editor.editorWidgets.markdown.addComponent"),icon:"add-with",onClick:this.handleComponentsMenuToggle,disabled:o}))},g.map(((e,t)=>xn(F_,{key:t,label:e.label,onClick:()=>a(e)})))))),r&&xn(IQ,null,xn(LQ,{isActive:!n,offPosition:!0},f("editor.editorWidgets.markdown.richText")),xn(MQ,{active:n,onChange:t}),xn(LQ,{isActive:n},f("editor.editorWidgets.markdown.markdown"))))}}PQ(NQ,"propTypes",{buttons:Q_().list,editorComponents:Q_().list,onToggleMode:ie().func.isRequired,rawMode:ie().bool,isShowModeToggle:ie().bool.isRequired,plugins:Q_().map,onSubmit:ie().func,onAddAsset:ie().func,getAsset:ie().func,disabled:ie().bool,onMarkClick:ie().func,onBlockClick:ie().func,onLinkClick:ie().func,hasMark:ie().func,hasInline:ie().func,hasBlock:ie().func,t:ie().func.isRequired});const jQ=function(e=""){return{type:"paragraph",children:[{text:e}]}};function FQ({minimal:e}){return`\n position: relative;\n overflow: hidden;\n overflow-x: auto;\n min-height: ${e?"auto":Yn.richTextEditorMinHeight};\n font-family: ${Dn.mono};\n border-top-left-radius: 0;\n border-top-right-radius: 0;\n border-top: 0;\n margin-top: -${bQ.stickyDistanceBottom};\n`}const BQ=gb("div",{target:"e12tj7710",label:"RawEditorContainer"})({name:"bjn8wh",styles:"position:relative"});function zQ(e){const{className:t,field:n,isShowModeToggle:r,t:i,onChange:a}=e,s=(0,o.useMemo)((()=>cQ(gQ(CG()))),[]),[l,u]=(0,o.useState)(e.value?e.value.split("\n").map((e=>jQ(e))):[jQ()]);function c(e){a(e.map((e=>e.children[0].text)).join("\n")),u(e)}return(0,o.useEffect)((()=>{e.pendingFocus&&(VZ.focus(s),e.pendingFocus())}),[e.pendingFocus]),xn(oQ,{editor:s,value:l,onChange:c},xn(BQ,null,xn(wQ,null,xn(NQ,{onToggleMode:function(){e.onMode("rich_text")},buttons:n.get("buttons"),disabled:!0,rawMode:!0,isShowModeToggle:r,t:i})),xn(Tn,null,(({css:e,cx:r})=>xn(ZX,{className:r(t,e`
${FQ({minimal:n.get("minimal")})}
`),value:l,onChange:c})))))}zQ.propTypes={onChange:ie().func.isRequired,onMode:ie().func.isRequired,className:ie().string.isRequired,value:ie().string,field:Q_().map.isRequired,isShowModeToggle:ie().bool.isRequired,t:ie().func.isRequired};const UQ=zQ;function qQ(){return qQ=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},qQ.apply(this,arguments)}function $Q(e){return xn("div",qQ({css:En("height:32px;cursor:text;position:relative;z-index:",hr.zIndex1,";margin-top:-16px;;label:InsertionPoint;",";label:css;")},e))}const WQ=function({attributes:e,children:t,element:n}){const r=yX(),i=VZ.findPath(r,n);function o(e){XK.insertNodes(r,jQ(),{select:!0,at:e})}const a=0===i[0],s=r.children[i[0]+1],l=i[0]===r.children.length-1||r.isVoid(s);return xn("div",qQ({},e,{onClick:function(e){e.stopPropagation()},contentEditable:!1}),a&&xn($Q,{onClick:function(){o(i)}}),t,l&&xn($Q,{onClick:function(){o([...i.slice(0,-1),i[i.length-1]+1])}}))};var HQ=__webpack_require__(17620),VQ=__webpack_require__.n(HQ);function GQ(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function KQ(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?GQ(Object(n),!0).forEach((function(t){YQ(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):GQ(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function YQ(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var ZQ={name:"1xfnuhy-Shortcode",styles:"margin-top:0;margin-bottom:16px;&:first-of-type{margin-top:0;};label:Shortcode;"};const XQ=function(e){const t=yX(),{element:n,dataKey:r="shortcodeData",children:i}=e,a=k2,s=C2().get(n.data.shortcode),l=(0,kr.fromJS)(VQ()(s,["id","fromBlock","toBlock","toPreview","pattern","icon"])),[u,c]=(0,o.useState)((0,kr.fromJS)(n.data[r]));function d(){const e=VZ.findPath(t,n);XK.select(t,e)}const p=VZ.findPath(t,n),f=t.selection&&p&&EK.isRange(t.selection)&&EK.includes(t.selection,p);return!l.isEmpty()&&xn("div",{onClick:d,onFocus:d},xn(a,{css:ZQ,value:u,field:l,onChange:function(e,i,o){const a=VZ.findPath(t,n),s={data:KQ(KQ({},n.data),{},{[r]:i.toJS(),metadata:o})};XK.setNodes(t,s,{at:a}),c(i)},isEditorComponent:!0,onValidateObject:()=>{},isNewEditorComponent:n.data.shortcodeNew,isSelected:f}),i)};function QQ(){return QQ=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},QQ.apply(this,arguments)}const JQ="16px",eJ="\n font-weight: 700;\n line-height: 1;\n",tJ=gb("h1",{target:"evlbpgx13",label:"StyledH1"})(eJ,";font-size:32px;margin-top:16px;"),nJ=gb("h2",{target:"evlbpgx12",label:"StyledH2"})(eJ,";font-size:24px;margin-top:12px;"),rJ=gb("h3",{target:"evlbpgx11",label:"StyledH3"})(eJ,";font-size:20px;"),iJ=gb("h4",{target:"evlbpgx10",label:"StyledH4"})(eJ,";font-size:18px;margin-top:8px;"),oJ=gb("h5",{target:"evlbpgx9",label:"StyledH5"})(eJ,";font-size:16px;margin-top:8px;"),aJ=oJ.withComponent("h6",{target:"evlbpgx14",label:"StyledH6"}),sJ=gb("p",{target:"evlbpgx8",label:"StyledP"})("margin-bottom:",JQ,";"),lJ=gb("blockquote",{target:"evlbpgx7",label:"StyledBlockQuote"})("padding-left:16px;border-left:3px solid ",Kn.background,";margin-left:0;margin-right:0;margin-bottom:",JQ,";"),uJ=gb("code",{target:"evlbpgx6",label:"StyledCode"})("background-color:",Kn.background,";border-radius:",Yn.borderRadius,";padding:0 2px;font-size:85%;"),cJ=gb("ul",{target:"evlbpgx5",label:"StyledUl"})("margin-bottom:",JQ,";padding-left:30px;"),dJ=cJ.withComponent("ol",{target:"evlbpgx15",label:"StyledOl"}),pJ=gb("li",{target:"evlbpgx4",label:"StyledLi"})({name:"1smjpj0",styles:"&>p:first-of-type{margin-top:8px;}&>p:last-of-type{margin-bottom:8px;}"}),fJ=gb("a",{target:"evlbpgx3",label:"StyledA"})({name:"11z7vuy",styles:"text-decoration:underline;font-size:inherit"}),hJ=gb("hr",{target:"evlbpgx2",label:"StyledHr"})({name:"1y2p2hw",styles:"border:1px solid;margin-bottom:16px"}),mJ=gb("table",{target:"evlbpgx1",label:"StyledTable"})({name:"tkxk5i",styles:"border-collapse:collapse"}),gJ=gb("td",{target:"evlbpgx0",label:"StyledTd"})({name:"zsehaa",styles:"border:2px solid black;padding:8px;text-align:left"});function vJ(e){return xn("strong",null,e.children)}function yJ(e){return xn("em",null,e.children)}function bJ(e){return xn("s",null,e.children)}function wJ(e){return xn(uJ,null,e.children)}function _J(e){return xn(sJ,e.attributes,e.children)}function xJ(e){return xn(pJ,e.attributes,e.children)}function kJ(e){return xn(lJ,e.attributes,e.children)}function EJ(e){return xn(tJ,e.attributes,e.children)}function CJ(e){return xn(nJ,e.attributes,e.children)}function SJ(e){return xn(rJ,e.attributes,e.children)}function AJ(e){return xn(iJ,e.attributes,e.children)}function TJ(e){return xn(oJ,e.attributes,e.children)}function OJ(e){return xn(aJ,e.attributes,e.children)}function PJ(e){return xn(mJ,null,xn("tbody",e.attributes,e.children))}function DJ(e){return xn("tr",e.attributes,e.children)}function RJ(e){return xn(gJ,e.attributes,e.children)}function IJ(e){const t=fX();return xn("div",e.attributes,e.children,xn("div",{contentEditable:!1},xn(hJ,QQ({},e.attributes,{css:t&&En("box-shadow:0 0 0 2px ",Kn.active,";border-radius:8px;color:",Kn.active,";;label:ThematicBreak;",";label:css;")}))))}function MJ(e){return xn(o.Fragment,null,xn("br",e.attributes),e.children)}function LJ(e){return xn(cJ,e.attributes,e.children)}function NJ(e){return xn(dJ,QQ({},e.attributes,{start:1}),e.children)}function jJ(e){const t=e.element.url,n=e.element.title||t;return xn(fJ,QQ({href:t,title:n},e.attributes),e.children)}function FJ(e){const{url:t,title:n,alt:r}=e.element.data,i=fX();return xn("span",e.attributes,e.children,xn("img",QQ({src:t,title:n,alt:r},e.attributes,{css:i&&En("box-shadow:0 0 0 2px ",Kn.active,";;label:Image;",";label:css;")})))}function BJ({attributes:e,children:t,leaf:n}){return n.bold&&(t=xn(vJ,null,t)),n.italic&&(t=xn(yJ,null,t)),n.delete&&(t=xn(bJ,null,t)),n.code&&(t=xn(wJ,null,t)),xn("span",e,t)}function zJ(e){const{children:t,element:n,classNameWrapper:r,codeBlockComponent:i}=e,o={textAlign:n.align};switch(n.type){case"bulleted-list":return xn(LJ,null,t);case"quote":return xn(kJ,null,t);case"heading-one":return xn(EJ,null,t);case"heading-two":return xn(CJ,null,t);case"heading-three":return xn(SJ,null,t);case"heading-four":return xn(AJ,null,t);case"heading-five":return xn(TJ,null,t);case"heading-six":return xn(OJ,null,t);case"list-item":return xn(xJ,null,t);case"numbered-list":return xn(NJ,null,t);case"table":return xn(PJ,e);case"table-row":return xn(DJ,e);case"table-cell":return xn(RJ,e);case"thematic-break":return xn(WQ,e,xn(IJ,e));case"link":return xn(jJ,e);case"image":return xn(FJ,e);case"break":return xn(MJ,e);case"shortcode":return"code-block"===n.id&&i?xn(WQ,e,xn(XQ,QQ({classNameWrapper:r,typeOverload:"code-block"},e))):xn(WQ,e,xn(XQ,e,t));default:return xn(_J,{style:o},t)}}var UJ=__webpack_require__(87202);function qJ(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function $J(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const WJ=function(e,t,n){XK.liftNodes(e,function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?qJ(Object(n),!0).forEach((function(t){$J(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):qJ(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({match:e=>e.type===t||"paragraph"===t&&`${e.type}`.startsWith("heading"),mode:"lowest"},n))},HJ=function(e,t,n){return{match:n=>!sK.isEditor(n)&&tK.isElement(n)&&sK.isBlock(e,n)&&(n.type===t||"heading"===t&&`${n.type}`.startsWith("heading-")||"paragraph"===t&&`${n.type}`.startsWith("heading-")||"block"===t&&!`${n.type}`.startsWith("heading-")&&"paragraph"!==n.type||"list"===t&&`${n.type}`.endsWith("-list"))||"non-default"===t&&"paragraph"!==n.type,mode:n}},VJ=function(e,t){return HJ(e,t,"lowest")},GJ=function(e,t,n){XK.wrapNodes(e,n,VJ(e,t))},KJ=function(e){return!!e.selection&&sK.above(e,VJ(e,"list"))},YJ=function(e){sK.withoutNormalizing(e,(()=>{WJ(e,"list-item",{split:!0});const t=KJ(e),n=function(e){return!!e.selection&&sK.above(e,VJ(e,"quote"))}(e);t&&!n||t&&n&&t[1].length>n[1].length?WJ(e,"list-item",{split:!0}):function(e,t){XK.unwrapNodes(e,VJ(e,t))}(e,"list-item")})),sK.normalize(e,{force:!0})},ZJ=function(e){if(!e.selection)return!1;const{offset:t,path:n}=EK.start(e.selection);return 0==t&&n.length>2&&n[n.length-2]>0},XJ=function(e){if(!e.selection)return;if(EK.isExpanded(e.selection)&&XK.delete(e),function(e){if(!e.selection)return!1;const{offset:t,path:n}=EK.start(e.selection);return 0===t&&n.length>=2&&0===n[n.length-1]&&0===n[n.length-2]}(e))return YJ(e);const t=function(e){if(!e.selection)return!1;const[,t]=sK.above(e,VJ(e,"paragraph"));return sK.next(e,{at:t})}(e);return t&&`${t[0].type}`.endsWith("-list")?function(e,t){if(!e.selection)return!1;EK.isExpanded(e.selection)&&XK.delete(e),sK.withoutNormalizing(e,(()=>{XK.splitNodes(e,{always:!0}),XK.setNodes(e,{type:"paragraph"}),GJ(e,"paragraph",{type:"list-item"}),GJ(e,"list-item",{type:t})})),sK.normalize(e,{force:!0})}(e,t[0].type):ZJ(e)?function(e){sK.withoutNormalizing(e,(()=>{GJ(e,"paragraph",{type:"list-item"}),WJ(e,"list-item",{split:!0})})),sK.normalize(e,{force:!0})}(e):void function(e){if(!e.selection)return!1;EK.isExpanded(e.selection)&&XK.delete(e),sK.withoutNormalizing(e,(()=>{XK.splitNodes(e,{always:!0}),XK.setNodes(e,{type:"paragraph"}),GJ(e,"paragraph",{type:"list-item"}),WJ(e,"list-item")})),sK.normalize(e,{force:!0})}(e)},QJ=function(e){if(!e.selection)return!1;const[,t]=sK.above(e,{match:e=>"list-item"===e.type,mode:"lowest",at:EK.start(e.selection)});return!!(t&&t.length>0&&t[t.length-1]>0)||void 0},JJ=function(e,t,n){const[r,i]=n;XK.moveNodes(e,{at:t,to:[...i,r.children.length]})};function e1(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function t1(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?e1(Object(n),!0).forEach((function(t){n1(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):e1(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function n1(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function r1(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function i1(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?r1(Object(n),!0).forEach((function(t){o1(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):r1(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function o1(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const a1=function(e,t){const{selection:n}=e;if(!n)return!1;const[r]=Array.from(sK.nodes(e,{match:n=>tK.isElement(n)&&sK.isBlock(e,n)&&"paragraph"!==n.type&&(t||!`${n.type}`.startsWith("heading-")),mode:"lowest"}));return!!r&&"list-item"===r[0].type};function s1(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function l1(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?s1(Object(n),!0).forEach((function(t){u1(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):s1(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function u1(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const c1=function(e){sK.withoutNormalizing(e,(()=>{XK.unwrapNodes(e,l1(l1({},VJ(e,"list")),{},{split:!0})),XK.unwrapNodes(e,VJ(e,"list-item"))})),sK.normalize(e)},d1=function(e){if(e.selection&&EK.isCollapsed(e.selection)&&0===e.selection.anchor.offset&&a1(e,!0)&&!ZJ(e))return QJ(e)?function(e){sK.withoutNormalizing(e,(()=>{XK.mergeNodes(e,VJ(e,"list-item"))})),sK.normalize(e,{force:!0})}(e):c1(e),!1},p1=function(e,t){if(t.isListItem())return(0,UJ.ZP)("enter",e)?(e.preventDefault(),XJ(t),!1):(0,UJ.ZP)("backspace",e)?!1===d1(t)?(e.preventDefault(),!1):void 0:(0,UJ.ZP)("tab",e)?(e.preventDefault(),function(e){if(!e.selection)return;if(!QJ(e))return;const{focus:t,anchor:n}=e.selection,r=t.path.length>n.path.length?n.path.length:t.path.length,i={anchor:{offset:0,path:[...n.path.slice(0,r-2),0,0]},focus:{offset:0,path:[...t.path.slice(0,r-2),0,0]}};sK.withoutNormalizing(e,(()=>{XK.wrapNodes(e,{type:"bulleted-list"},t1(t1({},VJ(e,"list-item")),{},{at:i}));const[,t]=sK.above(e,VJ(e,"list")),n=sK.previous(e,{at:t});JJ(e,t,n)})),sK.normalize(e)}(t)):(0,UJ.ZP)("shift+tab",e)?(e.preventDefault(),function(e){e.selection&&(Array.from(sK.nodes(e,HJ(e,"list"))).length<2||(sK.withoutNormalizing(e,(()=>{XK.liftNodes(e,i1(i1({},VJ(e,"list-item")),{},{split:!0})),XK.liftNodes(e,i1(i1({},VJ(e,"list-item")),{},{split:!0}))})),sK.normalize(e)))}(t)):void 0},f1=function(e,t){if(!a1(e))return function(e,t){sK.withoutNormalizing(e,(()=>{XK.wrapNodes(e,{type:t});const n=sK.nodes(e,VJ(e,"paragraph"));for(const t of n)XK.wrapNodes(e,{type:"list-item"},{at:t[1]})})),sK.normalize(e)}(e,t);const n=KJ(e);return n&&n[0].type!==t?function(e,t){sK.withoutNormalizing(e,(()=>{XK.wrapNodes(e,{type:t},VJ(e,"list-item")),XK.liftNodes(e,VJ(e,t))})),sK.normalize(e,{force:!0})}(e,t):c1(e)},h1=function(e,t,n,r){const{selection:i}=e;if(!i)return!1;const[o]=Array.from(sK.nodes(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t)&&"paragraph"!==t.type&&"list-item"!==t.type&&(n||!`${t.type}`.startsWith("heading-"))&&(!r||!`${t.type}`.endsWith("-list")),mode:"lowest"}));return!!o&&(o[0].type===t||`${o[0].type}`.startsWith(`${t}-`||`${o[0].type}`.endsWith(`-${t}`)))},m1=function(e,t=!1){if(0!==e.selection.anchor.offset)return!1;let[n,r]=sK.above(e,VJ(e,"non-default"));if(0==r.length)return!1;if(`${n.type}`.startsWith("heading-"))return XK.setNodes(e,{type:"paragraph"}),!1;const i=sK.isBlock(e,n),[o,a]=sK.above(e,VJ(e,"block"));if(!i){if(!sK.isStart(e,r,a))return!1;[n,r]=[o,a]}return sK.withoutNormalizing(e,(()=>{XK.unwrapNodes(e,{match:e=>e.type===n.type,split:!0}),t&&XK.mergeNodes(e)})),sK.normalize(e,{force:!0}),!0},g1=function(e){if(e.selection)return h1(e,"heading",!0)?function(e){if(function(e){const{selection:t}=e;if(!t)return!1;const n=sK.above(e,VJ(e,"paragraph"));return!!n&&sK.isEnd(e,e.selection.focus,n[1])}(e))return function(e){sK.withoutNormalizing(e,(()=>{XK.splitNodes(e,{always:!0}),XK.setNodes(e,{type:"paragraph"})})),sK.normalize(e,{force:!0})}(e),!0}(e):m1(e)},v1=function(e){if(e.selection&&!function(e){const{selection:t}=e;if(!t)return!1;const[n]=Array.from(sK.nodes(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t)&&`${t.type}`.startsWith("heading-"),mode:"lowest"}));return!!n&&sK.isStart(e,e.selection.focus,n[1])&&!sK.isEmpty(e,n[0])}(e))return function(e,t){const{selection:n}=e;if(!n)return!1;const r=sK.above(e,VJ(e,t));return!!r&&sK.isStart(e,e.selection.focus,r[1])}(e,"quote")&&function(e,t){const{selection:n}=e;if(!n)return!1;const[r]=sK.nodes(e,VJ(e,"block")),i=sK.previous(e,VJ(e,t));return r&&i&&r[0].type===i[0].type}(e,"quote")?(XK.mergeNodes(e,VJ(e,"quote")),!0):m1(e,!0)},y1=function(e,t){const{selection:n}=e;if(!n)return;const r=t.startsWith("heading-"),i=h1(e,t,r,EK.isExpanded(n)),o=function(e){const t=sK.above(e,VJ(e,"list"));return t?t[0].type:null}(e);if(r)return void XK.setNodes(e,{type:i?"paragraph":t});const{focus:a,anchor:s}=n;return!i&&o&&a.path[a.path.length-3]!=s.path[s.path.length-3]?function(e,t,n){sK.withoutNormalizing(e,(()=>{XK.wrapNodes(e,{type:n}),XK.wrapNodes(e,{type:t},{match:e=>e.type===n}),XK.liftNodes(e,{match:e=>e.type===t})})),sK.normalize(e,{force:!0})}(e,t,o):i?void XK.unwrapNodes(e,{match:e=>e.type===t}):XK.wrapNodes(e,{type:t})},b1={"mod+1":"heading-one","mod+2":"heading-two","mod+3":"heading-three","mod+4":"heading-four","mod+5":"heading-five","mod+6":"heading-six"},w1=function(e,t){if(t.selection){for(const n in b1)if((0,UJ.ZP)(n,e))return y1(t,b1[n]),e.preventDefault(),!1;if((0,UJ.ZP)("backspace",e)&&function(e){const{selection:t}=e;if(!t)return!1;if(EK.isExpanded(t))return!1;const n=sK.previous(e);return n&&"break"==n[0].type}(t)){const[,n]=sK.previous(t);return XK.removeNodes(t,{at:n}),e.preventDefault(),!1}if(function(e){const{selection:t}=e;if(!t)return!1;const[n]=Array.from(sK.nodes(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t)&&"paragraph"!==t.type,mode:"lowest"}));return!!n&&!sK.isEditor(n[0])}(t)){if((0,UJ.ZP)("enter",e)&&g1(t))return e.preventDefault(),!1;if((0,UJ.ZP)("backspace",e)&&v1(t))return e.preventDefault(),!1}}},_1=function(e,t){const{selection:n}=e;if(!n)return!1;const r=sK.marks(e);return!!r&&!0===r[t]},x1=function(e,t){_1(e,t)?sK.removeMark(e,t):sK.addMark(e,t,!0)},k1=function(){return{match:e=>!sK.isEditor(e)&&tK.isElement(e)&&"link"===e.type}},E1=function(e){const[t]=sK.nodes(e,k1());return t},C1=function(e,t){var n,r;const i=E1(e),o=i?null===(n=i[0])||void 0===n||null===(r=n.data)||void 0===r?void 0:r.url:"",a=window.prompt(t,o);null!=a&&(""!==a?function(e,t){if(E1(e))return void XK.setNodes(e,{data:{url:t}},k1());const{selection:n}=e,r=n&&EK.isCollapsed(n),i={type:"link",data:{url:t},children:r?[{text:t}]:[]};r?XK.insertNodes(e,i):(XK.wrapNodes(e,i,{split:!0}),XK.collapse(e,{edge:"end"}))}(e,a):function(e){XK.unwrapNodes(e,k1())}(e))},S1={"mod+b":"bold","mod+i":"italic","mod+u":"underline","mod+`":"code","mod+shift+s":"delete","mod+shift+c":"code"},A1=function(e,t){if(t.selection){for(const n in S1)if((0,UJ.ZP)(n,e))return x1(t,S1[n]),e.preventDefault(),!1;return(0,UJ.ZP)("mod+k",e)?(e.preventDefault(),C1(t)):(0,UJ.ZP)("shift+enter",e)?(e.preventDefault(),function(e){if(!e.selection)return;const t={path:[...e.selection.focus.path.slice(0,-1),e.selection.focus.path[e.selection.focus.path.length-1]+2],offset:0};return XK.insertNodes(e,{type:"break",children:[{text:""}]}),sK.normalize(e,{force:!0}),XK.select(e,t),!1}(t)):void 0}};var T1=__webpack_require__(43367),O1=__webpack_require__.n(T1),P1=__webpack_require__(73610),D1=__webpack_require__.n(P1),R1=__webpack_require__(98431),I1=__webpack_require__.n(R1),M1=__webpack_require__(48283),L1=__webpack_require__.n(M1),N1=__webpack_require__(32578),j1=__webpack_require__.n(N1),F1=__webpack_require__(27809),B1=__webpack_require__.n(F1),z1=(__webpack_require__(52824),__webpack_require__(62100),__webpack_require__(93352)),U1=__webpack_require__.n(z1),q1=__webpack_require__(48090);function $1(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function W1(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?$1(Object(n),!0).forEach((function(t){H1(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):$1(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function H1(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function V1({plugins:e,getAsset:t,resolveWidget:n}){return function(e){const t=Ex()(e.children,r);return W1(W1({},e),{},{children:t})};function r(r){if(!U1()(r,["data","shortcode"]))return r;const{shortcode:i,shortcodeData:a}=r.data,s=function(e,r){const{toPreview:i,widget:a,fields:s}=e;if(i)return i(r,t,s);const l=n(a);return o.createElement(l.preview,{value:r,field:e,getAsset:t})}(e.get(i),a),l="string"==typeof s?s:(0,q1.Dq)(s),u=[D1()("html",l)];return W1(W1({},r),{},{children:u})}}function G1(){return function(e){return e.children=e.children.map((e=>"html"===e.type?D1()("paragraph",[e]):e)),e}}var K1=__webpack_require__(35838),Y1=__webpack_require__.n(K1),Z1=__webpack_require__(86152),X1=__webpack_require__.n(Z1);function Q1(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function J1(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Q1(Object(n),!0).forEach((function(t){e0(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Q1(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function e0(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const t0={root:"root",paragraph:"paragraph",blockquote:"quote",code:"code-block",listItem:"list-item",table:"table",tableRow:"table-row",tableCell:"table-cell",thematicBreak:"thematic-break",link:"link",image:"image",shortcode:"shortcode"},n0={strong:"bold",emphasis:"italic",delete:"delete",inlineCode:"code"};function r0(e){return!!e.text}function i0({voidCodeBlock:e}={}){return t;function t(e){let n=!["strong","emphasis","delete"].includes(e.type)&&!nl()(e.children)&&Y1()(e.children,t).filter((e=>e));return Array.isArray(n)&&(n=function(e){if(e.length<=0)return e;const t=[];let n,r=!1;for(let a=0;a<e.length-1;a++){r||(n=e[a]);const s=e[a+1];r0(n)&&r0(s)&&(i=n,o=s,wu()(i.marks,o.marks))?(r=!0,n=J1(J1({},n),{},{text:`${n.text}${s.text}`})):(t.push(n),r=!1)}var i,o;return r?t.push(n):t.push(e[e.length-1]),t}(n)),u(e,n||void 0)}function n(e,t){return t?J1(J1({},e),{},{children:t}):e}function r(e,t,r={}){X1()(t)||(r=t,t=void 0);const i=nl()(t)?[o("")]:t;return n(J1({type:e},r),i)}function i(e,t={},r){return n(J1({type:e},t),nl()(r)?[o("")]:r)}function o(e){const t={};if("string"==typeof e)return J1(J1({},t),{},{text:e});const{text:n,marks:r}=e;return l(J1(J1({},t),{},{text:n,marks:r}))}function a(e,n){switch(e.type){case"html":case"text":return J1(J1({},u(e)),{},{marks:n});case"inlineCode":return J1(J1({},u(e)),{},{marks:[...n,{type:"code"}]});case"strong":case"emphasis":case"delete":return s(e,n);case"link":{const t=Ex()(e.children,(e=>l(a(e,n))));return u(e,Li()(t))}default:return t(J1(J1({},e),{},{data:J1(J1({},e.data),{},{marks:n})}))}}function s(e,t=[]){const n=n0[e.type],r=n?[...t.filter((({type:e})=>e!==n)),{type:n}]:t;return Y1()(e.children,(e=>l(a(e,r))))}function l(e){return e.marks&&e.marks.forEach((t=>{e[t.type]=!0})),e}function u(t,n){switch(t.type){case"paragraph":case"blockquote":case"tableRow":case"tableCell":return r(t0[t.type],n);case"root":{const e=nl()(n)?[r("paragraph")]:n;return r(t0[t.type],e)}case"listItem":{const e=nl()(n)?[r("paragraph")]:n;return r(t0[t.type],e)}case"shortcode":{const e=[o("")],n=J1(J1({},t.data),{},{id:t.data.shortcode,shortcodeNew:!0});return r(t0[t.type],e,{data:n})}case"text":case"html":return o(t.value);case"inlineCode":return o({text:t.value,code:!0,marks:[{type:"code"}]});case"strong":case"emphasis":case"delete":return s(t);case"heading":return r(`heading-${{1:"one",2:"two",3:"three",4:"four",5:"five",6:"six"}[t.depth]}`,n);case"code":{const n=J1(J1({lang:t.lang},e?{code:t.value}:{}),{},{shortcode:"code-block",shortcodeData:{code:t.value,lang:t.lang}});return r("shortcode",[o(e?"":t.value)],{data:n})}case"list":return r(t.ordered?"numbered-list":"bulleted-list",n,{data:{start:t.start}});case"break":{const{data:e}=t;return i("break",{data:e})}case"thematicBreak":return r(t0[t.type]);case"link":{const{title:e,url:r,data:o}=t,a=J1(J1({},o),{},{title:e,url:r});return i(t0[t.type],{data:a},n)}case"image":{const{title:e,url:n,alt:r,data:o}=t,a=J1(J1({},o),{},{title:e,alt:r,url:n});return i(t0[t.type],{data:a})}case"table":{const e={align:t.align};return r(t0[t.type],n,{data:e})}}}}var o0=__webpack_require__(67304),a0=__webpack_require__.n(o0),s0=__webpack_require__(99983),l0=__webpack_require__.n(s0);function u0(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function c0(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?u0(Object(n),!0).forEach((function(t){d0(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):u0(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function d0(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function p0(){return function(t){const n=l0()(t);return e.call(null,n,t)};function e(t,n){const r=e.bind(null,t),i=n.children?n.children.map(r):n.children;if(["imageReference","linkReference"].includes(n.type)){const e="imageReference"===n.type?"image":"link",r=t(n.identifier);if(r){const{title:t,url:o}=r;return D1()(e,{title:t,url:o,alt:n.alt},i)}const o=D1()("text","imageReference"===n.type?"![":"["),a=D1()("text","]");return[o,...i||[D1()("text",n.alt)],a]}if("definition"===n.type)return null;const o=a0()(i,null);return c0(c0({},n),{},{children:Li()(o)})}}function f0({plugins:e}){const t=this.Parser,n=t.prototype.blockTokenizers,r=t.prototype.blockMethods;n.shortcode=function({plugins:e}){return function(t,n,r){const i=function(e){const t="\n\n",n=e.split(t).reduce(((e,t)=>{const{start:n,originalLength:r}=e[e.length-1];return[...e,{line:t.trimEnd(),start:n+r+2,originalLength:t.length}]}),[{start:-2,originalLength:0}]).slice(1).map((({line:e,start:t})=>({line:e,start:t})));return n}(n),[{plugin:o,match:a}={}]=e.toArray().map((e=>({match:n.match(e.pattern)||h0({trimmedLines:i,plugin:e}),plugin:e}))).filter((({match:e})=>!!e)).sort(((e,t)=>e.match.index-t.match.index));if(a){if(r)return!0;const e=o.fromBlock(a);try{return t(a[0])({type:"shortcode",data:{shortcode:o.id,shortcodeData:e}})}catch(t){return console.warn(`Sent invalid data to remark. Plugin: ${o.id}. Value: ${a[0]}. Data: ${JSON.stringify(e)}`),!1}}}}({plugins:e}),r.unshift("shortcode")}function h0({trimmedLines:e,plugin:t}){for(const{line:n,start:r}of e){const e=n.match(t.pattern);if(e)return e.index+=r,e}}function m0(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function g0(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?m0(Object(n),!0).forEach((function(t){v0(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):m0(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function v0(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const y0={htmlOpeningTagEnd:/(?: *\w+=(?:(?:"[^"]*")|(?:'[^']*')))* *>/},b0={htmlTags:[/<(?!pre|style|script)[\w]+/,y0.htmlOpeningTagEnd],preformattedHtmlBlocks:[/<(pre|style|script)/,y0.htmlOpeningTagEnd,/(.|[\n\r])*?/,/<\/\1>/]},w0=Ex()(b0,(e=>new RegExp(e.map((e=>e.source)).join("")))),_0=w0.map((e=>`(?:${e.source})`)).join("|"),x0=[/(\*+)[^*]*(\1)/g,/(_)[^_]+(_)\b/g,/(_{2,})[^_]*(\1)/g,/(~+)[^~]*(\1)/g,/(`+)[^`]*(\1)/g,/(\[(?!\^)+)[^\]]*]/g].map((e=>gC()(C0,e))),k0=Hi()(x0);function E0(e){return function(e,t,n,r){function i(e,t,n,r=!1){e.push({index:t,text:n,match:r})}const o=function e(t,n,r){const o=t.exec(n),a=DR()(r);if(!o)return r;if(0===o.index)i(r,0,o[0],!0);else if(a)if(o.index===a.index+a.text.length)i(r,o.index,o[0],!0);else{const e=a.index+a.text.length;i(r,e,o.input.slice(e,o.index)),i(r,o.index,o[0],!0)}else i(r,0,o.input.slice(0,o.index)),i(r,o.index,o[0],!0);return e(t,n,r)}(e,n,[]),a=DR()(o);if(!a)return t(n);const s=a.index+a.text.length;return n.length>s&&o.push({index:s,text:n.slice(s)}),o.map((e=>e.match?e.text:t(e.text))).join("")}(new RegExp(_0,"gm"),k0,e)}function C0(e,t){return t.replace(e,((e,t,n)=>{const r="string"==typeof n,i=r?e.length-n.length:e.length,o=e.slice(t.length,i);return`${S0(t)}${o}${r?S0(n):""}`}))}function S0(e){let t="";for(const n of e)t+=`\\${n}`;return t}function A0(){return function e(t,n){if(U1()(t.data,"shortcode"))return t;const r=t.children?{children:t.children.map(e)}:{};if(["text","html"].includes(t.type)&&t.value){const e=0===n?E0(t.value).replace(/^\s*([-#*>=|]| {4,}|`{3,})/,"$`\\$1"):E0(t.value);return g0(g0({},t),{},{value:e},r)}return g0(g0({},t),r)}}var T0=__webpack_require__(75330),O0=__webpack_require__.n(T0);function P0(){return function e(t){return t.children&&(t.children=t.children.map(((e,t,n)=>{if("break"===e.type){const r={type:"root",children:n.slice(t+1)};return O0()(r).trim()?e:null}return e})).filter((e=>e)).map(e)),t}}function D0(){this.Parser.prototype.inlineTokenizers.text=function(e,t,n){var r,i,o,a,s,l,u,c,d,p=this;if(n)return!0;for(a=(r=p.inlineMethods).length,i=p.inlineTokenizers,o=-1,d=t.length;++o<a;)"text"!==(c=r[o])&&i[c]&&((u=i[c].locator)||e.file.fail("Missing locator: `"+c+"`"),-1!==(l=u.call(p,t,1))&&l<d&&(d=l));e(s=t.slice(0,d))({type:"text",value:s})}}const R0=["lang","code"],I0=["url","title","alt"];function M0(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}function L0(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function N0(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?L0(Object(n),!0).forEach((function(t){j0(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):L0(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function j0(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const F0={root:"root",paragraph:"paragraph","heading-one":"heading","heading-two":"heading","heading-three":"heading","heading-four":"heading","heading-five":"heading","heading-six":"heading",quote:"blockquote","code-block":"code","numbered-list":"list","bulleted-list":"list","list-item":"listItem",table:"table","table-row":"tableRow","table-cell":"tableCell",break:"break","thematic-break":"thematicBreak",link:"link",image:"image",shortcode:"shortcode"},B0={bold:"strong",italic:"emphasis",delete:"delete",code:"inlineCode"},z0=["paragraph","quote","heading-one","heading-two","heading-three","heading-four","heading-five","heading-six","bulleted-list","numbered-list","list-item","shortcode","table","table-row","table-cell"],U0=["link","image","break"],q0=/^\s+\S/,$0=/(?!\S)\s+$/;function W0(e,{voidCodeBlock:t}){return function e(n){const r=n.children&&n.children[0]&&z0.includes(n.children[0].type)?n.children.map(e).filter((e=>e)):d(n.children),i=function(e,n){switch("shortcode"==e.type&&"code-block"==e.data.shortcode&&(e=function(e){return N0(N0({},e),{},{type:"code-block",data:N0(N0({},e.data),e.data.shortcodeData)})}(e)),e.type){case"root":case"paragraph":case"quote":case"list-item":case"table":case"table-row":case"table-cell":return D1()(F0[e.type],n);case"shortcode":{const{data:t}=e;return D1()(F0[e.type],{data:t})}case"heading-one":case"heading-two":case"heading-three":case"heading-four":case"heading-five":case"heading-six":{const t={one:1,two:2,three:3,four:4,five:5,six:6}[e.type.split("-")[1]],r=D1()(F0[e.type],{depth:t},n);return O0()(r)?r:void 0}case"code-block":{var r;const i=Ci()(e,"data",{}),{lang:o,code:a}=i,s=M0(i,R0),l=t?a:null===(r=n[0])||void 0===r?void 0:r.value;return D1()(F0[e.type],{lang:o,data:s},l||"")}case"numbered-list":case"bulleted-list":{const t={ordered:"numbered-list"===e.type,start:Ci()(e.data,"start")||1};return D1()(F0[e.type],t,n)}case"thematic-break":return D1()(F0[e.type])}}(n,r);return i}({type:"root",children:e});function n(e,t){return e.map((e=>{const r=N0({},e);switch(e.type){case"link":{const r=n(e.children,t);return N0(N0({},e),{},{children:r})}case"image":case"break":{const t=VQ()(e.data,"marks");return N0(N0({},e),{},{data:t})}default:return delete r[t],r.marks=r.marks?r.marks.filter((({type:e})=>e!==t)):[],0===r.marks.length&&delete r.marks,r}}))}function r(e){switch(e.type){case"link":{const t=e.children.map((e=>{const t=N0({},e);return t.marks=e.marks?e.marks.filter((({type:e})=>"code"!==e)):e.marks,delete t.code,t})),n=Ex()(t,r);return VF()(...n)}case"break":case"image":return Ex()(Ci()(e,["data","marks"]),(e=>e.type));default:return i(e)}}function i(e){return Object.keys(B0).filter((t=>!!e[t]))}function o(e,t){const n=r(t),i=VF()(e,n);return"code"===i[0]?1===n.length?e:[]:i}function a(e){let t=r(e[0])||[];"code"===t[0]&&t.length>1&&(t=[...a0()("firstGroupMarks","code"),"code"]);let i=1;if(t.length>0)for(;i<e.length;){if(e[i]){const n=o(t,e[i]);if(!(n.length>0))break;t=n}i+=1}const a=t[0],s=e.slice(0,i);return[a,a?n(s,a):s,e.slice(i)]}function s(e,{trailing:t}={}){if(!e.text)return{trimmedNode:e};const n=t?$0:q0,r=e.text.search(n);if(r>-1){const n=t?r:r+1,i=e.text.slice(0,n),o=e.text.slice(n),a=t?i:o;return{whitespace:t?o:i,trimmedNode:N0(N0({},e),{},{text:a})}}return{trimmedNode:e}}function l(e){const{whitespace:t,trimmedNode:n}=s(e[0]),r=s(e.length>1?DR()(e):n,{trailing:!0}),{whitespace:i,trimmedNode:o}=r,a=function(e,t,n){switch(e.length){case 0:return[];case 1:return[n];case 2:return[t,n];default:return[t,...e.slice(1,-1),n]}}(e,n,o).filter((e=>e));return{leadingWhitespace:t,centerNodes:a,trailingWhitespace:i}}function u(e){return e&&D1()("html",e)}function c(e){return U0.includes(e.type)}function d(e=[]){const t=[];let n=[...e];for(;n.length>0;){const e=n[0];if(c(e)||i(e).length>0){const[r,i,o]=a(n);if("code"===r){const e=i[0];t.push(D1()(B0[r],e.data,e.text))}else if(!r&&1===i.length&&c(e)){const e=i[0];t.push(p(e,d(e.children)))}else{const{leadingWhitespace:e,trailingWhitespace:a,centerNodes:s}=l(i),c=d(s),p=D1()(B0[r],c);if(""===O0()(p)){n=o;continue}const f=[u(e),p,u(a)].filter((e=>e));t.push(...f)}n=o}else"break"===e.type?(n=n.slice(1),t.push(p(e))):(n.shift(),t.push(D1()("html",e.text)))}return t}function p(e,t){switch(e.type){case"break":return D1()(F0[e.type]);case"link":{const{title:n,data:r}=e;return D1()(F0[e.type],N0({url:e.url,title:n},r),t)}case"image":{const t=Ci()(e,"data",{}),{url:n,title:r,alt:i}=t,o=M0(t,I0);return D1()(F0[e.type],{url:n,title:r,alt:i,data:o})}}}}function H0(e,t){const n=O1()().use(I1(),{fences:!0,commonmark:!0}).use(V0,{inlineTokenizers:["url"]}).use(f0,{plugins:C2()}).use(D0).use(p0).use(t),r=n.parse(e);return n.runSync(r)}function V0({inlineTokenizers:e}){e&&e.forEach((e=>{delete this.Parser.prototype.inlineTokenizers[e]}))}const G0=function(e,t){const n=t.fields.toMap().mapKeys(((e,t)=>t.get("name"))).filter((e=>e.has("default"))).map((e=>e.get("default"))),r={type:"shortcode",id:t.id,data:{shortcode:t.id,shortcodeNew:!0,shortcodeData:n.toJS()},children:[{text:""}]};!function(e){const{selection:t}=e;if(!t)return!1;const[n]=Array.from(sK.nodes(e,{match:t=>tK.isElement(t)&&sK.isBlock(e,t)&&"paragraph"===t.type,mode:"lowest"}));return!!n&&sK.isEmpty(e,n[0])}(e)?XK.insertNodes(e,r):XK.setNodes(e,r)};function K0(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}var Y0=new WeakMap,Z0=new WeakMap;class X0{}class Q0 extends X0{constructor(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};super();var{offset:t,path:n}=e;this.offset=t,this.path=n}}class J0 extends X0{constructor(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};super();var{offset:t,path:n}=e;this.offset=t,this.path=n}}var e2=e=>Z0.get(e);function t2(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function n2(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?t2(Object(n),!0).forEach((function(t){K0(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):t2(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var r2=new WeakSet,i2=e=>{var t=[],n=e=>{if(null!=e){var r=t[t.length-1];if("string"==typeof e){var i={text:e};r2.add(i),e=i}if(IK.isText(e)){var o=e;IK.isText(r)&&r2.has(r)&&r2.has(o)&&IK.equals(r,o,{loose:!0})?r.text+=o.text:t.push(o)}else if(tK.isElement(e))t.push(e);else{if(!(e instanceof X0))throw new Error("Unexpected hyperscript child object: ".concat(e));var a=t[t.length-1];IK.isText(a)||(n(""),a=t[t.length-1]),e instanceof Q0?((e,t)=>{var n=e.text.length;Y0.set(e,[n,t])})(a,e):e instanceof J0&&((e,t)=>{var n=e.text.length;Z0.set(e,[n,t])})(a,e)}}};for(var r of e.flat(1/0))n(r);return t};function o2(e,t,n){return n2(n2({},t),{},{children:i2(n)})}function a2(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function s2(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?a2(Object(n),!0).forEach((function(t){K0(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):a2(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var l2,u2={anchor:function(e,t,n){return new Q0(t)},cursor:function(e,t,n){return[new Q0(t),new J0(t)]},editor:(l2=CG,(e,t,n)=>{var r,i=[];for(var o of n)EK.isRange(o)?r=o:i.push(o);var a,s=i2(i),l={},u=l2();for(var[c,d]of(Object.assign(u,t),u.children=s,pK.texts(u))){var p=(a=c,Y0.get(a)),f=e2(c);if(null!=p){var[h]=p;l.anchor={path:d,offset:h}}if(null!=f){var[m]=f;l.focus={path:d,offset:m}}}if(l.anchor&&!l.focus)throw new Error("Slate hyperscript ranges must have both `<anchor />` and `<focus />` defined if one is defined, but you only defined `<anchor />`. For collapsed selections, use `<cursor />` instead.");if(!l.anchor&&l.focus)throw new Error("Slate hyperscript ranges must have both `<anchor />` and `<focus />` defined if one is defined, but you only defined `<focus />`. For collapsed selections, use `<cursor />` instead.");return null!=r?u.selection=r:EK.isRange(l)&&(u.selection=l),u}),element:o2,focus:function(e,t,n){return new J0(t)},fragment:function(e,t,n){return i2(n)},selection:function(e,t,n){var r=n.find((e=>e instanceof Q0)),i=n.find((e=>e instanceof J0));if(!r||null==r.offset||null==r.path)throw new Error("The <selection> hyperscript tag must have an <anchor> tag as a child with `path` and `offset` attributes defined.");if(!i||null==i.offset||null==i.path)throw new Error("The <selection> hyperscript tag must have a <focus> tag as a child with `path` and `offset` attributes defined.");return n2({anchor:{offset:r.offset,path:r.path},focus:{offset:i.offset,path:i.path}},t)},text:function(e,t,n){var r=i2(n);if(r.length>1)throw new Error("The <text> hyperscript tag must only contain a single node's worth of children.");var[i]=r;if(null==i&&(i={text:""}),!IK.isText(i))throw new Error("\n The <text> hyperscript tag can only contain text content as children.");return r2.delete(i),Object.assign(i,t),i}},c2=function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},{elements:t={}}=e,n=(e=>{var t={},n=function(n){var r=e[n];if("object"!=typeof r)throw new Error("Properties specified for a hyperscript shorthand should be an object, but for the custom element <".concat(n,"> tag you passed: ").concat(r));t[n]=(e,t,n)=>o2(0,s2(s2({},r),t),n)};for(var r in e)n(r);return t})(t);return(e=>function(t,n){for(var r=arguments.length,i=new Array(r>2?r-2:0),o=2;o<r;o++)i[o-2]=arguments[o];var a=e[t];if(!a)throw new Error("No hyperscript creator found for tag: <".concat(t,">"));return null==n&&(n={}),hG(n)||(i=[n].concat(i),n={}),a(t,n,i=i.filter((e=>Boolean(e))).flat())})(s2(s2(s2({},u2),n),e.creators))}();const d2={A:e=>({type:"link",url:e.getAttribute("href")}),BLOCKQUOTE:()=>({type:"quote"}),H1:()=>({type:"heading-one"}),H2:()=>({type:"heading-two"}),H3:()=>({type:"heading-three"}),H4:()=>({type:"heading-four"}),H5:()=>({type:"heading-five"}),H6:()=>({type:"heading-six"}),IMG:e=>({type:"image",url:e.getAttribute("src")}),LI:()=>({type:"list-item"}),OL:()=>({type:"numbered-list"}),P:()=>({type:"paragraph"}),PRE:()=>({type:"code"}),UL:()=>({type:"bulleted-list"})},p2={CODE:()=>({code:!0}),DEL:()=>({strikethrough:!0}),EM:()=>({italic:!0}),I:()=>({italic:!0}),S:()=>({strikethrough:!0}),STRONG:()=>({bold:!0}),U:()=>({underline:!0})},f2={"font-style":e=>"italic"===e?{italic:!0}:{},"font-weight":e=>"bold"===e||parseInt(e,10)>=600?{bold:!0}:{}};function h2(e){if(3===e.nodeType)return e.textContent;if(1!==e.nodeType)return null;if("BR"===e.nodeName)return"\n";const{nodeName:t}=e;let n=e;"PRE"===t&&e.childNodes[0]&&"CODE"===e.childNodes[0].nodeName&&(n=e.childNodes[0]);let r=Array.from(n.childNodes).map(h2).flat();if(0===r.length&&(r=[{text:""}]),"BODY"===e.nodeName)return c2("fragment",{},r);if(d2[t]){const n=d2[t](e);return c2("element",n,r)}if(p2[t]){const n=p2[t](e);return r.map((e=>c2("text",n,e)))}if("SPAN"===t){const t={};for(let n=0;n<e.style.length;n++){const r=e.style[n];if(f2[r]){const n=e.style.getPropertyValue(r),i=f2[r](n);Object.assign(t,i)}}return r.map((e=>c2("text",t,e)))}return r}function m2(){return m2=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},m2.apply(this,arguments)}function g2({minimal:e}){return`\n position: relative;\n overflow: auto;\n font-family: ${Dn.primary};\n min-height: ${e?"auto":Yn.richTextEditorMinHeight};\n border-top-left-radius: 0;\n border-top-right-radius: 0;\n border-top: 0;\n margin-top: -${bQ.stickyDistanceBottom};\n padding: 0;\n display: flex;\n flex-direction: column;\n z-index: ${hr.zIndex100};\n`}const v2=gb("div",{target:"ebiot7z0",label:"InsertionPoint"})({name:"ma7xbc",styles:"flex:1 1 auto;cursor:text"});var y2={name:"j8ayvn-Editor",styles:"position:relative;label:Editor;"};function b2(e){const{onAddAsset:t,getAsset:n,className:r,field:i,isShowModeToggle:a,t:s,isDisabled:l,getEditorComponents:u,getRemarkPlugins:c,onChange:d}=e,p=(0,o.useMemo)((()=>function(e){const{insertData:t,isInline:n,isVoid:r}=e;return e.isInline=e=>"link"===e.type||n(e),e.isVoid=e=>"image"===e.type||r(e),e.insertData=n=>{const r=n.getData("text/html");if(r){const t=h2((new DOMParser).parseFromString(r,"text/html").body);XK.insertFragment(e,t)}else t(n)},e}(cQ(gQ(function(e){const{isVoid:t,normalizeNode:n}=e;return e.isVoid=e=>"shortcode"===e.type||t(e),e.normalizeNode=t=>{const[r]=t;sK.isEditor(r)&&0==r.children.length&&XK.insertNodes(e,jQ()),n(t)},e}(function(e){return void 0===e.keyDownHandlers&&(e.keyDownHandlers=[]),e.keyDownHandlers.push(((e,t)=>w1(e,t))),e.toggleBlock=t=>y1(e,t),e}(function(e){const{normalizeNode:t}=e;return void 0===e.keyDownHandlers&&(e.keyDownHandlers=[]),e.keyDownHandlers.push(((e,t)=>p1(e,t))),e.toggleList=t=>f1(e,t),e.isListItem=()=>{const{selection:t}=e;if(!t)return!1;const[n]=Array.from(sK.nodes(e,{at:sK.unhangRange(e,t),match:t=>!sK.isEditor(t)&&tK.isElement(t)&&sK.isBlock(e,t)&&"paragraph"!==t.type&&!`${t.type}`.startsWith("heading-"),mode:"lowest"}));return!!n&&"list-item"===n[0].type},e.normalizeNode=n=>{t(n);const[r,i]=n;let o=null;if(tK.isElement(r)||sK.isEditor(r))for(const[t,n]of pK.children(e,i)){if(`${t.type}`.endsWith("-list")&&t.type===o){XK.mergeNodes(e,{at:n});break}o=t.type}if(tK.isElement(r)&&`${r.type}`.endsWith("-list")){const t=sK.previous(e,{at:i}),[n,r]=sK.parent(e,i);if(!t&&"list-item"===n.type){const t=sK.previous(e,{at:r});JJ(e,i,t),XK.removeNodes(e,{at:r})}}},e}(function(e){const{isInline:t,isVoid:n}=e;return e.isInline=e=>["link","button","break","image"].includes(e.type)||t(e),e.isVoid=e=>["break","image","thematic-break"].includes(e.type)||n(e),void 0===e.keyDownHandlers&&(e.keyDownHandlers=[]),e.keyDownHandlers.push(((e,t)=>A1(e,t))),e}(CG())))))))),[]),f=[jQ()];let h=u();const m=(0,kr.fromJS)(h.find((({type:e})=>"code-block"===e)));h=m||h.has("code-block")?h:h.set("code-block",{label:"Code Block",type:"code-block"}),function(e,t){if(e.has("image")){const n=e.get("image"),r=null==n?void 0:n.fields;r&&(n.fields=r.update(r.findIndex((e=>"image"===e.get("widget"))),(e=>(t.has("media_library")&&(e=e.set("media_library",t.get("media_library").mergeDeep(e.get("media_library")))),t.has("media_folder")&&!e.has("media_folder")&&(e=e.set("media_folder",t.get("media_folder"))),t.has("public_folder")&&!e.has("public_folder")&&(e=e.set("public_folder",t.get("public_folder"))),e))))}}(h,i);const[g,v]=(0,o.useState)(e.value?function(e,{voidCodeBlock:t,remarkPlugins:n=[]}={}){const r=H0(e,n);return O1()().use(G1).use(i0,{voidCodeBlock:t}).runSync(r).children}(e.value,{voidCodeBlock:!!m,remarkPlugins:c()}):f),y=(0,o.useCallback)((e=>xn(zJ,m2({},e,{classNameWrapper:r,codeBlockComponent:m}))),[]),b=(0,o.useCallback)((e=>xn(BJ,e)),[]);function w(e){for(const t of p.keyDownHandlers||[])if(!1===t(e,p))break;VZ.focus(p)}function _(){VZ.focus(p),XK.select(p,{path:[0,0],offset:0}),XK.select(p,sK.end(p,[]))}(0,o.useEffect)((()=>{e.pendingFocus&&(VZ.focus(p),e.pendingFocus())}),[e.pendingFocus]);const[x,k]=(0,o.useState)(0);return xn("div",{css:y2},xn(oQ,{editor:p,value:g,onChange:function(e){wu()(e,g)||(v((()=>e)),d(function(e,{voidCodeBlock:t,remarkPlugins:n=[]}={}){const r=function(e,t){const n=e||D1()("root",[D1()("paragraph",[D1()("text","")])]),r=O1()().use({settings:{commonmark:!0,fences:!0,listItemIndent:"1",bullet:"*",emphasis:"*",strong:"*",rule:"-"}}).use(A0).use(P0).use(L1()).use((function(){this.Compiler.prototype.visitors.text=e=>e.value})).use(function({plugins:e}){return function(){this.Compiler.prototype.visitors.shortcode=function(t){const{data:n}=t;return e.find((e=>n.shortcode===e.id)).toBlock(n.shortcodeData)}}}({plugins:C2()})).use(t),i=r.runSync(n),o=r.stringify(i).replace(/\r?/g,"");return da()(o)}(W0(e,{voidCodeBlock:t}),n);return r}(e,{voidCodeBlock:!!m,remarkPlugins:c()}))),k((e=>e+1))}},xn(wQ,null,xn(NQ,{key:x,onMarkClick:function(e){VZ.focus(p),x1(p,e)},onBlockClick:function(e){VZ.focus(p),e.endsWith("-list")?p.toggleList(e):p.toggleBlock(e)},onLinkClick:function(){C1(p,s("editor.editorWidgets.markdown.linkPrompt")),VZ.focus(p)},onToggleMode:function(){e.onMode("raw")},plugins:h,onSubmit:function(e){G0(p,e)},onAddAsset:t,getAsset:n,buttons:i.get("buttons"),editorComponents:i.get("editor_components"),hasMark:function(e){return _1(p,e)},hasInline:function(e){return"link"==e&&!!E1(p)},hasBlock:function(e){return h1(p,e)},hasQuote:function(){return h1(p,"quote")},hasListItems:function(e){return h1(p,e)},isShowModeToggle:a,t:s,disabled:l})),xn(Tn,null,(({css:e,cx:t})=>xn("div",{className:t(r,e`
${g2({minimal:i.get("minimal")})}
`)},0!==g.length&&xn(ZX,{className:e`
padding: 16px 20px 0;
`,renderElement:y,renderLeaf:b,onKeyDown:w,autoFocus:!1}),xn(v2,{onClick:_}))))))}b2.propTypes={onAddAsset:ie().func.isRequired,getAsset:ie().func.isRequired,onChange:ie().func.isRequired,onMode:ie().func.isRequired,className:ie().string.isRequired,value:ie().string,field:Q_().map.isRequired,getEditorComponents:ie().func.isRequired,getRemarkPlugins:ie().func.isRequired,isShowModeToggle:ie().bool.isRequired,t:ie().func.isRequired};const w2=b2;function _2(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const x2="cms.md-mode";let k2,E2=()=>(0,kr.Map)();function C2(){return E2()}class S2 extends o.Component{constructor(e){var t;super(e),_2(this,"handleMode",(e=>{this.setState({mode:e,pendingFocus:!0}),localStorage.setItem(x2,e)})),_2(this,"processRef",(e=>this.ref=e)),_2(this,"setFocusReceived",(()=>{this.setState({pendingFocus:!1})})),_2(this,"getAllowedModes",(()=>this.props.field.get("modes",(0,kr.List)(["rich_text","raw"])).toArray())),k2=e.editorControl;const n=null!==(t=localStorage.getItem(x2))&&void 0!==t?t:"rich_text";E2=e.getEditorComponents,this.state={mode:-1!==this.getAllowedModes().indexOf(n)?n:this.getAllowedModes()[0],pendingFocus:!1}}focus(){this.setState({pendingFocus:!0})}render(){const{onChange:e,onAddAsset:t,getAsset:n,value:r,classNameWrapper:i,field:o,getEditorComponents:a,getRemarkPlugins:s,resolveWidget:l,t:u,isDisabled:c}=this.props,{mode:d,pendingFocus:p}=this.state,f=this.getAllowedModes().length>1,h=xn("div",{className:"cms-editor-visual",ref:this.processRef},xn(w2,{onChange:e,onAddAsset:t,isShowModeToggle:f,onMode:this.handleMode,getAsset:n,className:i,value:r,field:o,getEditorComponents:a,getRemarkPlugins:s,resolveWidget:l,pendingFocus:p&&this.setFocusReceived,t:u,isDisabled:c})),m=xn("div",{className:"cms-editor-raw",ref:this.processRef},xn(UQ,{onChange:e,onAddAsset:t,isShowModeToggle:f,onMode:this.handleMode,getAsset:n,className:i,value:r,field:o,pendingFocus:p&&this.setFocusReceived,t:u}));return"rich_text"===d?h:m}}_2(S2,"propTypes",{onChange:ie().func.isRequired,onAddAsset:ie().func.isRequired,getAsset:ie().func.isRequired,classNameWrapper:ie().string.isRequired,editorControl:ie().elementType.isRequired,value:ie().string,field:Q_().map.isRequired,getEditorComponents:ie().func,t:ie().func.isRequired}),_2(S2,"defaultProps",{value:""});var A2=__webpack_require__(35368),T2=__webpack_require__.n(A2);class O2 extends o.Component{render(){const{value:e,getAsset:t,resolveWidget:n,field:r,getRemarkPlugins:i}=this.props;if(null===e)return null;const o=function(e,{getAsset:t,resolveWidget:n,remarkPlugins:r=[]}={}){const i=H0(e,r),o=O1()().use(V1,{plugins:C2(),getAsset:t,resolveWidget:n}).use(j1(),{allowDangerousHTML:!0}).runSync(i);return O1()().use(B1(),{allowDangerousHtml:!0,allowDangerousCharacters:!0,closeSelfClosing:!0,entities:{useNamedReferences:!0}}).stringify(o)}(e,{getAsset:t,resolveWidget:n},null==i||i()),a=null!=r&&r.get("sanitize_preview",!1)?T2().sanitize(o):o;return xn(oU,{dangerouslySetInnerHTML:{__html:a}})}}!function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(O2,"propTypes",{getAsset:ie().func.isRequired,resolveWidget:ie().func.isRequired,value:ie().string});const P2=O2,D2={properties:{minimal:{type:"boolean"},buttons:{type:"array",items:{type:"string",enum:["bold","italic","code","link","heading-one","heading-two","heading-three","heading-four","heading-five","heading-six","quote","bulleted-list","numbered-list"]}},editor_components:{type:"array",items:{type:"string"}},modes:{type:"array",items:{type:"string",enum:["raw","rich_text"]},minItems:1}}};function R2(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function I2(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const M2={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?R2(Object(n),!0).forEach((function(t){I2(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):R2(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"markdown",controlComponent:S2,previewComponent:P2,schema:D2},e)},controlComponent:S2,previewComponent:P2},L2=M2,N2=gb("div",{target:"ekazr9y3",label:"TopBarContainer"})("align-items:center;background-color:",Kn.textFieldBorder,";display:flex;justify-content:space-between;margin:0 -14px;padding:13px;");var j2={name:"5r0eyy-ExpandButtonContainer",styles:"display:flex;align-items:center;font-size:14px;font-weight:500;line-height:1;label:ExpandButtonContainer;"};const F2=gb("div",{target:"ekazr9y2",label:"ExpandButtonContainer"})((e=>e.hasHeading&&j2),";"),B2=gb("button",{target:"ekazr9y1",label:"ExpandButton"})(cr.button,";padding:4px;background-color:transparent;color:inherit;&:last-of-type{margin-right:4px;}"),z2=gb("button",{target:"ekazr9y0",label:"AddButton"})(cr.button," ",cr.widget," ",O_,"{margin-left:6px;}");class U2 extends o.Component{renderAddUI(){return this.props.allowAdd?this.props.types&&this.props.types.size>0?this.renderTypesDropdown(this.props.types):this.renderAddButton():null}renderTypesDropdown(e){return xn(j_,{renderButton:()=>xn(I_,null,this.props.t("editor.editorWidgets.list.addType",{item:this.props.label}))},e.map(((e,t)=>xn(F_,{key:t,label:e.get("label",e.get("name")),onClick:()=>this.props.onAddType(e.get("name"))}))))}renderAddButton(){return xn(z2,{onClick:this.props.onAdd},this.props.t("editor.editorWidgets.list.add",{item:this.props.label}),xn(O_,{type:"add",size:"xsmall"}))}render(){const{onCollapseToggle:e,collapsed:t,heading:n=null}=this.props;return xn(N2,null,xn(F2,{hasHeading:!!n},xn(B2,{onClick:e,"data-testid":"expand-button"},xn(O_,{type:"chevron",direction:t?"right":"down",size:"small"})),n),this.renderAddUI())}}!function(e,t,n){t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n}(U2,"propTypes",{allowAdd:ie().bool,types:Q_().list,onAdd:ie().func,onAddType:ie().func,onCollapseToggle:ie().func,collapsed:ie().bool,heading:ie().node,label:ie().string,t:ie().func.isRequired});const q2=U2;function $2(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const W2=`\n padding: ${Yn.objectWidgetTopBarContainerPadding};\n `;class H2 extends o.Component{constructor(e){super(e),$2(this,"childRefs",{}),$2(this,"processControlRef",(e=>{var t,n;if(!e)return;const r=e.props.field.get("name");this.childRefs[r]=e,null===(t=(n=this.props).controlRef)||void 0===t||t.call(n,e)})),$2(this,"validate",(()=>{const{field:e}=this.props;let t=e.get("field")||e.get("fields");t=kr.List.isList(t)?t:(0,kr.List)([t]),t.forEach((e=>{var t;if("hidden"===e.get("widget"))return;const n=e.get("name"),r=this.childRefs[n];var i;null!=r&&null!==(t=r.innerWrappedControl)&&void 0!==t&&t.validate?r.innerWrappedControl.validate():null==r||null===(i=r.validate)||void 0===i||i.call(r)}))})),$2(this,"handleCollapseToggle",(()=>{this.setState({collapsed:!this.state.collapsed})})),$2(this,"renderFields",((e,t)=>e?e.map(((e,t)=>this.controlFor(e,t))):this.controlFor(t))),$2(this,"objectLabel",(()=>{const{value:e,field:t}=this.props,n=t.get("label",t.get("name")),r=t.get("summary");return r?Ea(r,null,"",e):n})),this.state={collapsed:e.field.get("collapsed",!1)}}shouldComponentUpdate(){return!0}controlFor(e,t){const{value:n,onChangeObject:r,onValidateObject:i,clearFieldErrors:o,metadata:a,fieldsErrors:s,editorControl:l,parentIds:u,isFieldDuplicate:c,isFieldHidden:d,locale:p,collapsed:f,forID:h}=this.props;if("hidden"===e.get("widget"))return null;const m=e.get("name"),g=n&&kr.Map.isMap(n)?n.get(m):n,v=c&&c(e),y=d&&d(e);return xn(l,{key:t,field:e,value:g,onChange:r,clearFieldErrors:o,fieldsMetaData:a,fieldsErrors:s,onValidate:i,controlRef:this.processControlRef,parentIds:[...u,h],isDisabled:v,isHidden:y,isFieldDuplicate:c,isFieldHidden:d,locale:p,isParentListCollapsed:f})}focus(e){if(this.state.collapsed)this.setState({collapsed:!1},(()=>{if(e){const[t,...n]=e.split("."),r=this.childRefs[t];null!=r&&r.focus&&r.focus(n.join("."))}}));else if(e){const[t,...n]=e.split("."),r=this.childRefs[t];null!=r&&r.focus&&r.focus(n.join("."))}}render(){const{field:e,forID:t,classNameWrapper:n,forList:r,hasError:i,t:o}=this.props,a=r?this.props.collapsed:this.state.collapsed,s=e.get("fields"),l=e.get("field");return s||l?xn(Tn,null,(({css:e,cx:u})=>xn("div",{id:t,className:u(n,e`
${W2}
`,{[e`
${"\n padding: 6px 14px 14px;\n border-top: 0;\n border-top-left-radius: 0;\n border-top-right-radius: 0;\n "}
`]:r},{[e`
border-color: ${Kn.textFieldBorder};
`]:!!r&&!i})},r?null:xn(q2,{collapsed:a,onCollapseToggle:this.handleCollapseToggle,heading:a&&this.objectLabel(),t:o}),xn("div",{className:u({[e`
${"\n display: none;\n "}
`]:a})},this.renderFields(s,l))))):xn("h3",null,"No field(s) defined for this widget")}}function V2({field:e}){return xn(oU,null,e&&e.get("fields")||e.get("field")||null)}$2(H2,"propTypes",{onChangeObject:ie().func.isRequired,onValidateObject:ie().func,value:ie().oneOfType([ie().node,ie().object,ie().bool]),field:ie().object,forID:ie().string,classNameWrapper:ie().string.isRequired,forList:ie().bool,controlRef:ie().func,editorControl:ie().elementType.isRequired,resolveWidget:ie().func.isRequired,clearFieldErrors:ie().func.isRequired,fieldsErrors:Q_().map,hasError:ie().bool,t:ie().func,locale:ie().string,collapsed:ie().bool}),$2(H2,"defaultProps",{value:(0,kr.Map)()}),V2.propTypes={field:ie().node};const G2=V2,K2={properties:{collapsed:{type:"boolean"},i18n:{type:"boolean"}}};function Y2(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Z2(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const X2={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Y2(Object(n),!0).forEach((function(t){Z2(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Y2(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"object",controlComponent:H2,previewComponent:G2,schema:K2},e)},controlComponent:H2,previewComponent:G2},Q2=X2,J2=gb("div",{target:"e11ki7in3",label:"TopBar"})("display:flex;justify-content:space-between;height:26px;border-radius:",Yn.borderRadius," ",Yn.borderRadius," 0 0;position:relative;"),e5=gb("button",{target:"e11ki7in2",label:"TopBarButton"})(cr.button,";color:",Kn.controlLabel,";background:transparent;font-size:16px;line-height:1;padding:0;width:32px;text-align:center;cursor:pointer;display:flex;justify-content:center;align-items:center;"),t5=gb(e5.withComponent("span",{target:"e11ki7in4",label:"TopBarButtonSpan"}),{target:"e11ki7in1",label:"DragIconContainer"})({name:"1nwpzc",styles:"width:100%;cursor:move"});function n5({Wrapper:e,id:t}){return xn(e,{id:t},xn(t5,null,xn(O_,{type:"drag-handle",size:"small"})))}function r5(e){const{className:t,collapsed:n,onCollapseToggle:r,onRemove:i,dragHandle:o,id:a}=e;return xn(J2,{className:t},r?xn(e5,{onClick:r},xn(O_,{type:"chevron",size:"small",direction:n?"right":"down"})):null,o?xn(n5,{Wrapper:o,id:a}):null,i?xn(e5,{onClick:i},xn(O_,{type:"close",size:"small"})):null)}r5.propTypes={className:ie().string,collapsed:ie().bool,onCollapseToggle:ie().func,onRemove:ie().func};const i5=gb(r5,{target:"e11ki7in0",label:"StyledListItemTopBar"})("display:flex;justify-content:space-between;height:26px;border-radius:",Yn.borderRadius," ",Yn.borderRadius," 0 0;position:relative;"),o5="types",a5="typeKey",s5="type";function l5(e,t){const n=u5(e),r=e.get(o5),i=t.get(n);return r.find((e=>e.get("name")===i))}function u5(e){return e.get(a5,s5)}function c5(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function d5(){return d5=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},d5.apply(this,arguments)}const p5=Q2.controlComponent,f5=gb("div",{target:"e11zrb3c2",label:"ListItem"})(""),h5=gb(i5,{target:"e11zrb3c1",label:"StyledListItemTopBar"})("background-color:",Kn.textFieldBorder,";"),m5=gb("div",{target:"e11zrb3c0",label:"NestedObjectLabel"})("display:",(e=>e.collapsed?"block":"none"),";border-top:0;color:",(e=>e.error?Kn.errorText:"inherit"),";background-color:",Kn.textFieldBorder,";padding:13px;border-radius:0 0 ",Yn.borderRadius," ",Yn.borderRadius,";"),g5=`\n padding: ${Yn.objectWidgetTopBarContainerPadding};\n `,v5={listControlItem:{name:"1pb8r5b-listControlItem",styles:"margin-top:18px;&:first-of-type{margin-top:26px;};label:listControlItem;"},listControlItemCollapsed:{name:"1gjco9g-listControlItemCollapsed",styles:"padding-bottom:0;label:listControlItemCollapsed;"}};function y5({items:e,children:t,onSortEnd:n,keys:r}){const i={distance:4},o=Eq(kq(v$,{activationConstraint:i}),kq(b$,{activationConstraint:i}));return xn("div",null,xn(G$,{modifiers:[mW],collisionDetection:Pq,sensors:o,onDragEnd:function({active:e,over:t}){n({oldIndex:r.indexOf(e.id),newIndex:r.indexOf(t.id)})}},xn(sW,{items:e},t)))}function b5(e){const{setNodeRef:t,transform:n,transition:r}=hW({id:e.id}),i={transform:cq.Transform.toString(n),transition:r},{collapsed:o}=e;return xn(f5,{sortable:!0,ref:t,style:i,css:[v5.listControlItem,o&&v5.listControlItemCollapsed,";label:SortableListItem;"]},e.children)}function w5({children:e,id:t}){const{attributes:n,listeners:r}=hW({id:t});return xn("div",d5({},n,r),e)}const _5="SINGLE",x5="MULTIPLE",k5="MIXED";function E5(e,t,n,r){return Ea(e,null,"",Sa(t.get("path"),r.set("fields.label",n)))}function C5(e,t){return!!kr.Map.isMap(t)||(console.warn(`'${e.get("name")}' field item value value should be a map but is a '${typeof t}'`),!1)}function S5({field:e,isActive:t,hasErrors:n,uniqueFieldId:r,isFieldOptional:i,t:o}){const a=`${e.get("label",e.get("name"))}`;return xn(OA,{isActive:t,hasErrors:n,htmlFor:r},a," ",i?` (${o("editor.editorControl.field.optional")})`:"")}class A5 extends o.Component{constructor(e){super(e),c5(this,"childRefs",{}),c5(this,"valueToString",(e=>{let t;return kr.List.isList(e)||Array.isArray(e)?t=e.join(","):(console.warn(`Expected List value to be an array but received '${e}' with type of '${typeof e}'. Please check the value provided to the '${this.props.field.get("name")}' field`),t=String(e)),t.replace(/,([^\s]|$)/g,", $1")})),c5(this,"getValueType",(()=>{const{field:e}=this.props;return e.get("fields")?x5:e.get("field")?_5:e.get(o5)?k5:null})),c5(this,"uniqueFieldId",SA()(`${this.props.field.get("name")}-field-`)),c5(this,"handleChange",(e=>{const{onChange:t}=this.props,n=this.state.value,r=e.target.value.trim(),i=r?r.split(","):[];r.match(/,$/)&&n.match(/, $/)&&i.pop();const o=this.valueToString(i);this.setState({value:o}),t((0,kr.List)(i.map((e=>e.trim()))))})),c5(this,"handleFocus",(()=>{this.props.setActiveStyle()})),c5(this,"handleBlur",(e=>{const t=e.target.value.split(",").map((e=>e.trim())).filter((e=>e));this.setState({value:this.valueToString(t)}),this.props.setInactiveStyle()})),c5(this,"handleAdd",(e=>{e.preventDefault();const{field:t}=this.props,n=this.getValueType()===_5?this.singleDefault():(0,kr.fromJS)(this.multipleDefault(t.get("fields")));this.addItem(n)})),c5(this,"singleDefault",(()=>this.props.field.getIn(["field","default"],null))),c5(this,"multipleDefault",(e=>this.getFieldsDefault(e))),c5(this,"handleAddType",((e,t)=>{const n=(0,kr.fromJS)(this.mixedDefault(t,e));this.addItem(n)})),c5(this,"mixedDefault",((e,t)=>{const n=this.props.field.get(o5).find((e=>e.get("name")===t)),r=n.get("fields")||[n.get("field")];return this.getFieldsDefault(r,{[e]:t})})),c5(this,"getFieldsDefault",((e,t={})=>e.reduce(((e,t)=>{const n=t.get("field")||t.get("fields"),r="object"==t.get("widget"),i=t.get("name"),o=t.get("default",null);if(kr.List.isList(n)&&r){const t=this.getFieldsDefault(n);return!nl()(t)&&(e[i]=t),e}if(kr.Map.isMap(n)&&r){const t=this.getFieldsDefault([n]);return!nl()(t)&&(e[i]=t),e}return null!==o&&(e[i]=o),e}),t))),c5(this,"addItem",(e=>{const{value:t,onChange:n,field:r}=this.props,i=r.get("add_to_top",!1),o=xl();this.setState({itemsCollapsed:i?[!1,...this.state.itemsCollapsed]:[...this.state.itemsCollapsed,!1],keys:i?[o,...this.state.keys]:[...this.state.keys,o]});const a=t||(0,kr.List)();n(i?a.unshift(e):a.push(e))})),c5(this,"processControlRef",(e=>{var t,n;if(!e)return;const{props:{validationKey:r}}=e;this.childRefs[r]=e,null===(t=(n=this.props).controlRef)||void 0===t||t.call(n,this)})),c5(this,"validate",(()=>{this.getValueType()&&Object.keys(this.childRefs).length>0?Object.values(this.childRefs).forEach((e=>{var t;null==e||null===(t=e.validate)||void 0===t||t.call(e)})):this.props.validate(),this.props.onValidateObject(this.props.forID,this.validateSize())})),c5(this,"validateSize",(()=>{const{field:e,value:t,t:n}=this.props,r=e.get("min"),i=e.get("max");if(!(e.get("required",!0)||null!=t&&t.size))return[];const o=eG(n,e.get("label",e.get("name")),t,r,i);return o?[o]:[]})),c5(this,"getObjectValue",(e=>this.props.value.get(e)||(0,kr.Map)())),c5(this,"handleRemove",((e,t)=>{t.preventDefault();const{itemsCollapsed:n}=this.state,{value:r,metadata:i,onChange:o,field:a,clearFieldErrors:s,onValidateObject:l,forID:u,fieldsErrors:c}=this.props,d=a.get("name"),p=this.getValueType()===_5?r.get(e):r.get(e).valueSeq(),f=i&&!i.isEmpty()?{[d]:i.removeIn(p)}:i,h=this.state.keys[e],m=[...this.state.keys];m.splice(e,1),n.splice(e,1),this.setState({itemsCollapsed:[...n],keys:m}),delete this.childRefs[h];const g=r.delete(e);c&&Object.entries(c.toJS()).forEach((([e,t])=>{t.some((e=>{var t;return null===(t=e.parentIds)||void 0===t?void 0:t.includes(h)}))&&s(e)})),0===g.size&&(s(u),l(u,[])),o(g,f)})),c5(this,"handleItemCollapseToggle",((e,t)=>{t.preventDefault();const{itemsCollapsed:n}=this.state,r=n.map(((t,n)=>e===n?!t:t));this.setState({itemsCollapsed:r})})),c5(this,"handleCollapseAllToggle",(e=>{e.preventDefault();const{value:t,field:n}=this.props,{itemsCollapsed:r,listCollapsed:i}=this.state,o=n.get("minimize_collapsed",!1),a=n.get("collapsed",!0),s=r.every((e=>!0===e));if(o){let e=r;i&&a||(e=Array(t.size).fill(!i)),this.setState({listCollapsed:!i,itemsCollapsed:e})}else this.setState({itemsCollapsed:Array(t.size).fill(!s)})})),c5(this,"onSortEnd",(({oldIndex:e,newIndex:t})=>{const{value:n}=this.props,{itemsCollapsed:r,keys:i}=this.state,o=n.get(e),a=n.delete(e).insert(t,o);this.props.onChange(a);const s=r[e];r.splice(e,1);const l=[...r];l.splice(t,0,s);const u=i[e],c=[...i];c.splice(e,1),c.splice(t,0,u),this.setState({itemsCollapsed:l,keys:c})})),c5(this,"hasError",(e=>{const{fieldsErrors:t}=this.props;if(t&&t.size>0)return Object.values(t.toJS()).some((t=>t.some((t=>t.parentIds&&t.parentIds.includes(this.state.keys[e])))))})),c5(this,"renderItem",((e,t)=>{const{classNameWrapper:n,editorControl:r,onValidateObject:i,metadata:o,clearFieldErrors:a,fieldsErrors:s,controlRef:l,resolveWidget:u,parentIds:c,forID:d,t:p}=this.props,{itemsCollapsed:f,keys:h}=this.state,m=f[t],g=h[t];let v=this.props.field;const y=this.hasError(t),b=this.getValueType()===k5;return b&&(v=l5(v,e),!v)?this.renderErroneousTypedItem(t,e):xn(b5,{css:[v5.listControlItem,m&&v5.listControlItemCollapsed,";label:ListControl;"],index:t,key:g,id:g,keys:h},b&&xn(S5,{field:v,isActive:!1,hasErrors:y,uniqueFieldId:this.uniqueFieldId,isFieldOptional:!1===v.get("required"),t:p}),xn(h5,{collapsed:m,onCollapseToggle:gC()(this.handleItemCollapseToggle,t),dragHandle:w5,id:g,onRemove:gC()(this.handleRemove,t),"data-testid":`styled-list-item-top-bar-${g}`}),xn(m5,{collapsed:m,error:y},this.objectLabel(e)),xn(Tn,null,(({css:p,cx:f})=>xn(p5,{classNameWrapper:f(n,{[p`
${"\n display: none;\n "};
`]:m}),value:e,field:v,onChangeObject:this.handleChangeFor(t),editorControl:r,resolveWidget:u,metadata:o,forList:!0,onValidateObject:i,clearFieldErrors:a,fieldsErrors:s,ref:this.processControlRef,controlRef:l,validationKey:g,collapsed:m,"data-testid":`object-control-${g}`,hasError:y,parentIds:[...c,d,g]}))))}));const{field:t,value:n}=e,r=t.get("collapsed",!0),i=n&&Array(n.size).fill(r)||[],o=n&&Array.from({length:n.size},(()=>xl()))||[];this.state={listCollapsed:r,itemsCollapsed:i,value:this.valueToString(n),keys:o}}shouldComponentUpdate(){return!0}handleChangeFor(e){return(t,n,r)=>{const{value:i,metadata:o,onChange:a,field:s}=this.props,l=s.get("name"),u="object"===s.getIn(["field","widget"]),c=this.getValueType()!==_5||this.getValueType()===_5&&u?this.getObjectValue(e).set(t.get("name"),n):n,d={[l]:Object.assign(o?o.toJS():{},r||{})};a(i.set(e,c),d)}}objectLabel(e){const{field:t,entry:n}=this.props;switch(this.getValueType()){case k5:{if(!C5(t,e))return;const r=l5(t,e),i=r.get("label",r.get("name")),o=r.get("summary",t.get("summary"));return o?E5(o,n,i,e):i}case _5:{const r=t.get("field"),i=r.get("label",r.get("name")),o=t.get("summary"),a=(0,kr.fromJS)({[r.get("name")]:e});return o?E5(o,n,i,a):i}case x5:{if(!C5(t,e))return;const r=t.get("fields"),i=r&&r.first(),o=e.get(i.get("name")),a=t.get("summary");return((a?E5(a,n,o,e):o)||`No ${i.get("name")}`).toString()}}return""}focus(e){const[t,...n]=e.split(".");if(this.state.listCollapsed||this.state.itemsCollapsed[t]){const e=[...this.state.itemsCollapsed];e[t]=!1,this.setState({listCollapsed:!1,itemsCollapsed:e},(()=>{const e=this.state.keys[t],r=this.childRefs[e];null!=r&&r.focus&&r.focus(n.join("."))}))}else{const e=this.state.keys[t],r=this.childRefs[e];null!=r&&r.focus&&r.focus(n.join("."))}}renderErroneousTypedItem(e,t){const n=function(e,t){const n=u5(e),r=t.get(n);let i;return i=r?`Error: item has illegal '${n}' property: '${r}'`:`Error: item has no '${n}' property`,i}(this.props.field,t),r=`item-${e}`;return xn(b5,{css:[v5.listControlItem,v5.listControlItemCollapsed,";label:ListControl;"],index:e,key:r},xn(h5,{onCollapseToggle:null,onRemove:gC()(this.handleRemove,e,r),dragHandle:w5,id:r}),xn(m5,{collapsed:!0,error:!0},n))}renderListControl(){const{value:e,forID:t,field:n,classNameWrapper:r,t:i}=this.props,{itemsCollapsed:o,listCollapsed:a,keys:s}=this.state,l=e||(0,kr.List)(),u=n.get("label",n.get("name")),c=n.get("label_singular")||n.get("label",n.get("name")),d=1===l.size?c.toLowerCase():u.toLowerCase(),p=n.get("minimize_collapsed",!1),f=o.every((e=>!0===e))&&(a||!p),h=s.map((e=>({id:e})));return xn(Tn,null,(({cx:e,css:o})=>xn("div",{id:t,className:e(r,o`
${g5}
`)},xn(q2,{allowAdd:n.get("allow_add",!0),onAdd:this.handleAdd,types:n.get(o5,null),onAddType:e=>this.handleAddType(e,u5(n)),heading:`${l.size} ${d}`,label:c.toLowerCase(),onCollapseToggle:this.handleCollapseAllToggle,collapsed:f,t:i}),(!f||!p)&&xn(y5,{items:h,keys:s,onSortEnd:this.onSortEnd},l.map(this.renderItem)))))}renderInput(){const{forID:e,classNameWrapper:t}=this.props,{value:n}=this.state;return xn("input",{type:"text",id:e,value:n,onChange:this.handleChange,onFocus:this.handleFocus,onBlur:this.handleBlur,className:t})}render(){return null!==this.getValueType()?this.renderListControl():this.renderInput()}}c5(A5,"propTypes",{metadata:Q_().map,onChange:ie().func.isRequired,onChangeObject:ie().func.isRequired,onValidateObject:ie().func.isRequired,validate:ie().func.isRequired,value:Q_().list,field:ie().object,forID:ie().string,controlRef:ie().func,mediaPaths:Q_().map.isRequired,getAsset:ie().func.isRequired,onOpenMediaLibrary:ie().func.isRequired,onAddAsset:ie().func.isRequired,onRemoveInsertedMedia:ie().func.isRequired,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,editorControl:ie().elementType.isRequired,resolveWidget:ie().func.isRequired,clearFieldErrors:ie().func.isRequired,fieldsErrors:Q_().map.isRequired,entry:Q_().map.isRequired,t:ie().func}),c5(A5,"defaultProps",{value:(0,kr.List)(),parentIds:[]});const T5={properties:{allow_add:{type:"boolean"},collapsed:{type:"boolean"},summary:{type:"string"},minimize_collapsed:{type:"boolean"},label_singular:{type:"string"},i18n:{type:"boolean"},min:{type:"number"},max:{type:"number"}}};function O5(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function P5(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const D5=Q2.previewComponent,R5={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?O5(Object(n),!0).forEach((function(t){P5(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):O5(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"list",controlComponent:A5,previewComponent:D5,schema:T5},e)},controlComponent:A5,previewComponent:D5},I5=R5;var M5,L5,N5,j5=__webpack_require__(84636),F5=__webpack_require__.n(j5),B5=ZV(KV),z5=(M5=B5,N5=L5=function(e){pH(n,e);var t=yH(n);function n(e){var r;return lH(this,n),(r=t.call(this)).select=void 0,r.lastRequest=void 0,r.mounted=!1,r.handleInputChange=function(e,t){var n=r.props,i=n.cacheOptions,o=function(e,t,n){if(n){var r=n(e,t);if("string"==typeof r)return r}return e}(e,t,n.onInputChange);if(!o)return delete r.lastRequest,void r.setState({inputValue:"",loadedInputValue:"",loadedOptions:[],isLoading:!1,passEmptyOptions:!1});if(i&&r.state.optionsCache[o])r.setState({inputValue:o,loadedInputValue:o,loadedOptions:r.state.optionsCache[o],isLoading:!1,passEmptyOptions:!1});else{var a=r.lastRequest={};r.setState({inputValue:o,isLoading:!0,passEmptyOptions:!r.state.loadedInputValue},(function(){r.loadOptions(o,(function(e){r.mounted&&a===r.lastRequest&&(delete r.lastRequest,r.setState((function(t){return{isLoading:!1,loadedInputValue:o,loadedOptions:e||[],passEmptyOptions:!1,optionsCache:e?gH(gH({},t.optionsCache),{},(0,fH.Z)({},o,e)):t.optionsCache}})))}))}))}return o},r.state={defaultOptions:Array.isArray(e.defaultOptions)?e.defaultOptions:void 0,inputValue:void 0!==e.inputValue?e.inputValue:"",isLoading:!0===e.defaultOptions,loadedOptions:[],passEmptyOptions:!1,optionsCache:{},prevDefaultOptions:void 0,prevCacheOptions:void 0},r}return dH(n,[{key:"componentDidMount",value:function(){var e=this;this.mounted=!0;var t=this.props.defaultOptions,n=this.state.inputValue;!0===t&&this.loadOptions(n,(function(t){if(e.mounted){var n=!!e.lastRequest;e.setState({defaultOptions:t||[],isLoading:n})}}))}},{key:"componentWillUnmount",value:function(){this.mounted=!1}},{key:"focus",value:function(){this.select.focus()}},{key:"blur",value:function(){this.select.blur()}},{key:"loadOptions",value:function(e,t){var n=this.props.loadOptions;if(!n)return t();var r=n(e,t);r&&"function"==typeof r.then&&r.then(t,(function(){return t()}))}},{key:"render",value:function(){var e=this,t=this.props;t.loadOptions;var n=t.isLoading,r=oH(t,["loadOptions","isLoading"]),i=this.state,a=i.defaultOptions,s=i.inputValue,l=i.isLoading,u=i.loadedInputValue,c=i.loadedOptions,d=i.passEmptyOptions?[]:s&&u?c:a||[];return o.createElement(M5,m({},r,{ref:function(t){e.select=t},options:d,isLoading:l||n,onInputChange:this.handleInputChange}))}}],[{key:"getDerivedStateFromProps",value:function(e,t){var n=e.cacheOptions!==t.prevCacheOptions?{prevCacheOptions:e.cacheOptions,optionsCache:{}}:{},r=e.defaultOptions!==t.prevDefaultOptions?{prevDefaultOptions:e.defaultOptions,defaultOptions:Array.isArray(e.defaultOptions)?e.defaultOptions:void 0}:{};return gH(gH({},n),r)}}]),n}(o.Component),L5.defaultProps={cacheOptions:!1,defaultOptions:!1,filterOption:null,isLoading:!1},N5);const U5=z5;function q5(){return q5=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},q5.apply(this,arguments)}function $5(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function W5(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?$5(Object(n),!0).forEach((function(t){H5(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):$5(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function H5(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function V5(e){const{setNodeRef:t,transform:n,transition:r}=hW({id:e.data.data.id}),i={transform:cq.Transform.toString(n),transition:r},o=W5(W5({},e.innerProps),{},{onMouseDown:function(e){e.preventDefault(),e.stopPropagation()}});return xn("div",{ref:t,style:i},xn(iV.MultiValue,q5({},e,{innerProps:o})))}function G5(e){const{attributes:t,listeners:n}=hW({id:e.data.data.id});return xn("div",q5({},t,n),xn(iV.MultiValueLabel,e))}function K5(e){const{distance:t,value:n,onSortEnd:r,isMulti:i}=e;if(!i)return xn(U5,e);const o=Array.isArray(n)?n.map((({data:e})=>e.id)):[],a={distance:t},s=Eq(kq(v$,{activationConstraint:a}),kq(b$,{activationConstraint:a}));return xn(G$,{modifiers:[mW],collisionDetection:Pq,sensors:s,onDragEnd:function({active:e,over:t}){r({oldIndex:o.indexOf(e.id),newIndex:o.indexOf(t.id)})}},xn(sW,{items:o,strategy:rW},xn(U5,e)))}function Y5({index:e,style:t,data:n}){return xn("div",{style:t},n.options[e])}function Z5(e){if(e.isLoading||e.options.length<=0||!Array.isArray(e.children))return e.children;const t=e.children;return xn(Ok,{style:{width:"100%"},width:300,height:Math.min(300,30*t.length+10),itemCount:t.length,itemSize:30,itemData:{options:t}},Y5)}function X5(e){return e&&e.value?e.value:""}function Q5(e){const t=kr.List.isList(e)?e.toJS():e;return t&&Array.isArray(t)?t:null}function J5(e,t){return F5()(e.concat(t),(e=>e.value))}function e9(e){return e?kr.List.isList(e)?e.toJS():[e]:[]}function t9(e){const t=function(e){return"string"==typeof e?{label:e,value:e}:kr.Map.isMap(e)?e.toJS():e}(e);return W5(W5({},t),{},{data:W5(W5({},t.data),{},{id:xl()})})}class n9 extends o.Component{constructor(...e){super(...e),H5(this,"mounted",!1),H5(this,"state",{initialOptions:[]}),H5(this,"isValid",(()=>{const{field:e,value:t,t:n}=this.props,r=e.get("min"),i=e.get("max");if(!this.isMultiple())return{error:!1};const o=eG(n,e.get("label",e.get("name")),t,r,i);return o?{error:o}:{error:!1}})),H5(this,"onSortEnd",(e=>({oldIndex:t,newIndex:n})=>{const{onChange:r,field:i}=this.props,o=function(e,t,n){const r=e.slice();return r.splice(n<0?e.length+n:n,0,r.splice(t,1)[0]),r}(e.map(X5),t,n),a=!nl()(e)&&{[i.get("name")]:{[i.get("collection")]:{[DR()(o)]:DR()(e).data}}}||{};r((0,kr.fromJS)(o),a)})),H5(this,"handleChange",(e=>{const{onChange:t,field:n}=this.props;if(this.isMultiple()){const r=e;this.setState({initialOptions:r.filter(Boolean)});const i=r.map(X5),o=!nl()(r)&&{[n.get("name")]:{[n.get("collection")]:{[DR()(i)]:DR()(r).data}}}||{};t((0,kr.fromJS)(i),o)}else{this.setState({initialOptions:[e].filter(Boolean)});const r=X5(e);t(r,e&&{[n.get("name")]:{[n.get("collection")]:{[r]:e.data}}})}})),H5(this,"parseNestedFields",((e,t)=>{const{locale:n}=this.props,r=null!=n&&null!=e.i18n&&null!=e.i18n[n]?e.i18n[n].data:e.data;if(Ca(t).length<=0)return Ci()(r,t);const i=Sa(e.path,(0,kr.fromJS)(r));return Ea(t,null,e.slug,i)})),H5(this,"parseHitOptions",(e=>{const{field:t}=this.props,n=t.get("value_field"),r=t.get("display_fields")||(0,kr.List)([t.get("value_field")]),i=e9(t.get("filters")),o=e.reduce(((e,t)=>{if(i.every((e=>{const n=e.field.split(".");let r=t.data;for(let e=0;e<n.length;e++){if(!Object.prototype.hasOwnProperty.call(r,n[e]))return!1;r=r[n[e]]}return e.values.includes(r)}))){const i=ka({data:t.data,path:n});for(let n=0;n<i.length;n++){const o=r.toJS().map((e=>{const r=ka({data:t.data,path:e});return this.parseNestedFields(t,r[n]||r[0])})).join(" "),a=this.parseNestedFields(t,i[n]);e.push({data:t.data,value:a,label:o})}}return e}),[]);return o})),H5(this,"loadOptions",JS()(((e,t)=>{const{field:n,query:r,forID:i}=this.props,o=n.get("collection"),a=n.get("options_length")||20;r(i,o,e9(n.get("search_fields")),e,n.get("file")).then((({payload:e})=>{const n=e.hits||[],r=this.parseHitOptions(n),i=J5(this.state.initialOptions,r).slice(0,a);t(i)}))}),500))}shouldComponentUpdate(e){return this.props.value!==e.value||this.props.hasActiveStyle!==e.hasActiveStyle||this.props.queryHits!==e.queryHits}async componentDidMount(){this.mounted=!0;const{forID:e,field:t,value:n,query:r,onChange:i}=this.props,o=t.get("collection"),a=t.get("file"),s=n&&(this.isMultiple()?Q5(n):[n]);if(s&&s.length>0){const n={},l=e9(t.get("search_fields")),{payload:u}=await r(e,o,l,"",a),c=u.hits||[],d=this.parseHitOptions(c),p=s.map((e=>{const t=d.find((t=>t.value===e));return n[e]=null==t?void 0:t.data,t})).filter(Boolean),f=p.map((e=>e.value));this.mounted&&this.setState({initialOptions:p}),this.mounted&&i(1!==f.length||this.isMultiple()?(0,kr.fromJS)(f):f[0],{[t.get("name")]:{[t.get("collection")]:n}})}}componentWillUnmount(){this.mounted=!1}isMultiple(){return this.props.field.get("multiple",!1)}render(){const{value:e,field:t,forID:n,classNameWrapper:r,setActiveStyle:i,setInactiveStyle:o,queryHits:a}=this.props,s=this.isMultiple(),l=!t.get("required",!0)||s,u=this.parseHitOptions(a),c=function({value:e,options:t,isMultiple:n}){if(n){const n=Q5(e);if(null===n)return null;const r=n.map((e=>t.find((t=>t.value===(e.value||e))))).filter(Boolean).map(t9);return r}return iH()(t,["value",e])||null}({options:J5(this.state.initialOptions,u),value:e,isMultiple:s});return xn(K5,{useDragHandle:!0,onSortEnd:this.onSortEnd(c),distance:4,components:{MenuList:Z5,MultiValue:V5,MultiValueLabel:G5},value:c,inputId:n,cacheOptions:!0,defaultOptions:!0,loadOptions:this.loadOptions,onChange:this.handleChange,className:r,onFocus:i,onBlur:o,styles:fr,isMulti:s,isClearable:l,placeholder:""})}}function r9({value:e}){return xn(oU,null,e)}H5(n9,"propTypes",{onChange:ie().func.isRequired,forID:ie().string.isRequired,value:ie().node,field:Q_().map,query:ie().func.isRequired,queryHits:ie().array,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,locale:ie().string}),r9.propTypes={value:ie().node};const i9=r9,o9={properties:{collection:{type:"string"},value_field:{type:"string"},search_fields:{type:"array",minItems:1,items:{type:"string"}},file:{type:"string"},multiple:{type:"boolean"},min:{type:"integer"},max:{type:"integer"},display_fields:{type:"array",minItems:1,items:{type:"string"}},options_length:{type:"integer"},filters:{type:"array",items:{type:"object",properties:{field:{type:"string"},values:{type:"array",minItems:1,items:{type:["string","boolean","integer"]}}},required:["field","values"]}}},oneOf:[{required:["collection","value_field","search_fields"]},{required:["collection","valueField","searchFields"]}]};function a9(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function s9(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const l9={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?a9(Object(n),!0).forEach((function(t){s9(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):a9(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"relation",controlComponent:n9,previewComponent:i9,schema:o9},e)},controlComponent:n9,previewComponent:i9},u9=l9,c9=["isActive"];function d9(){return d9=Object.assign?Object.assign.bind():function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},d9.apply(this,arguments)}function p9(e){let{isActive:t}=e,n=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,c9);return xn(EQ,d9({css:En("background-color:",t?Kn.active:Kn.textFieldBorder,";;label:BooleanBackground;",";label:css;")},n))}class f9 extends o.Component{render(){const{value:e,forID:t,onChange:n,classNameWrapper:r,setActiveStyle:i,setInactiveStyle:o}=this.props;return xn("div",{className:r},xn(SQ,{id:t,active:e,onChange:n,onFocus:i,onBlur:o,Background:p9}))}}function h9(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function m9(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}f9.propTypes={field:Q_().map.isRequired,onChange:ie().func.isRequired,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,forID:ie().string,value:ie().bool},f9.defaultProps={value:!1};const g9={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?h9(Object(n),!0).forEach((function(t){m9(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):h9(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"boolean",controlComponent:f9},e)},controlComponent:f9},v9=g9;var y9=__webpack_require__(64552),b9=__webpack_require__.n(y9),w9=function(){function e(){this.disposed=!1}return e.prototype.dispose=function(){this.disposed||(this.disposed=!0,this.disposeInternal())},e.prototype.disposeInternal=function(){},e}();const _9=w9;function x9(e,t){return e>t?1:e<t?-1:0}function k9(e,t,n){var r=e.length;if(e[0]<=t)return 0;if(t<=e[r-1])return r-1;var i=void 0;if(n>0){for(i=1;i<r;++i)if(e[i]<t)return i-1}else if(n<0){for(i=1;i<r;++i)if(e[i]<=t)return i}else for(i=1;i<r;++i){if(e[i]==t)return i;if(e[i]<t)return"function"==typeof n?n(t,e[i-1],e[i])>0?i-1:i:e[i-1]-t<t-e[i]?i-1:i}return r-1}function E9(e,t,n){for(;t<n;){var r=e[t];e[t]=e[n],e[n]=r,++t,--n}}function C9(e,t){for(var n=Array.isArray(t)?t:[t],r=n.length,i=0;i<r;i++)e[e.length]=n[i]}function S9(e,t){var n=e.length;if(n!==t.length)return!1;for(var r=0;r<n;r++)if(e[r]!==t[r])return!1;return!0}function A9(){return!0}function T9(){return!1}function O9(){}function P9(){return function(){throw new Error("Unimplemented abstract method.")}()}var D9=0;function R9(e){return e.ol_uid||(e.ol_uid=String(++D9))}var I9="undefined"!=typeof navigator&&void 0!==navigator.userAgent?navigator.userAgent.toLowerCase():"",M9=-1!==I9.indexOf("firefox"),L9=(-1!==I9.indexOf("safari")&&-1==I9.indexOf("chrom")&&(I9.indexOf("version/15.4")>=0||I9.match(/cpu (os|iphone os) 15_4 like mac os x/)),-1!==I9.indexOf("webkit")&&-1==I9.indexOf("edge")),N9=-1!==I9.indexOf("macintosh"),j9="undefined"!=typeof devicePixelRatio?devicePixelRatio:1,F9="undefined"!=typeof WorkerGlobalScope&&"undefined"!=typeof OffscreenCanvas&&self instanceof WorkerGlobalScope,B9="undefined"!=typeof Image&&Image.prototype.decode,z9=function(){var e=!1;try{var t=Object.defineProperty({},"passive",{get:function(){e=!0}});window.addEventListener("_",null,t),window.removeEventListener("_",null,t)}catch(e){}return e}(),U9=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();const q9=function(e){function t(t){var n=this,r="Assertion failed. See https://openlayers.org/en/v"+"6.15.1".split("-")[0]+"/doc/errors/#"+t+" for details.";return(n=e.call(this,r)||this).code=t,n.name="AssertionError",n.message=r,n}return U9(t,e),t}(Error);function $9(e,t){if(!e)throw new q9(t)}var W9;function H9(e,t){var n=t[0],r=t[1];return t[0]=e[0]*n+e[2]*r+e[4],t[1]=e[1]*n+e[3]*r+e[5],t}function V9(e,t,n,r,i,o,a,s){var l=Math.sin(o),u=Math.cos(o);return e[0]=r*u,e[1]=i*l,e[2]=-r*l,e[3]=i*u,e[4]=a*r*u-s*r*l+t,e[5]=a*i*l+s*i*u+n,e}function G9(e,t){var n,r=(n=t)[0]*n[3]-n[1]*n[2];$9(0!==r,32);var i=t[0],o=t[1],a=t[2],s=t[3],l=t[4],u=t[5];return e[0]=s/r,e[1]=-o/r,e[2]=-a/r,e[3]=i/r,e[4]=(a*u-s*l)/r,e[5]=-(i*u-o*l)/r,e}function K9(e){var t="matrix("+e.join(", ")+")";if(F9)return t;var n=W9||(W9=document.createElement("div"));return n.style.transform=t,n.style.transform}new Array(6);const Y9={UNKNOWN:0,INTERSECTING:1,ABOVE:2,RIGHT:4,BELOW:8,LEFT:16};function Z9(e){for(var t=[1/0,1/0,-1/0,-1/0],n=0,r=e.length;n<r;++n)u3(t,e[n]);return t}function X9(e,t,n){return n?(n[0]=e[0]-t,n[1]=e[1]-t,n[2]=e[2]+t,n[3]=e[3]+t,n):[e[0]-t,e[1]-t,e[2]+t,e[3]+t]}function Q9(e,t){return t?(t[0]=e[0],t[1]=e[1],t[2]=e[2],t[3]=e[3],t):e.slice()}function J9(e,t,n){var r,i;return(r=t<e[0]?e[0]-t:e[2]<t?t-e[2]:0)*r+(i=n<e[1]?e[1]-n:e[3]<n?n-e[3]:0)*i}function e3(e,t){return n3(e,t[0],t[1])}function t3(e,t){return e[0]<=t[0]&&t[2]<=e[2]&&e[1]<=t[1]&&t[3]<=e[3]}function n3(e,t,n){return e[0]<=t&&t<=e[2]&&e[1]<=n&&n<=e[3]}function r3(e,t){var n=e[0],r=e[1],i=e[2],o=e[3],a=t[0],s=t[1],l=Y9.UNKNOWN;return a<n?l|=Y9.LEFT:a>i&&(l|=Y9.RIGHT),s<r?l|=Y9.BELOW:s>o&&(l|=Y9.ABOVE),l===Y9.UNKNOWN&&(l=Y9.INTERSECTING),l}function i3(e,t,n,r,i){return i?(i[0]=e,i[1]=t,i[2]=n,i[3]=r,i):[e,t,n,r]}function o3(e){return i3(1/0,1/0,-1/0,-1/0,e)}function a3(e,t,n,r,i){return c3(o3(i),e,t,n,r)}function s3(e,t){return e[0]==t[0]&&e[2]==t[2]&&e[1]==t[1]&&e[3]==t[3]}function l3(e,t){return t[0]<e[0]&&(e[0]=t[0]),t[2]>e[2]&&(e[2]=t[2]),t[1]<e[1]&&(e[1]=t[1]),t[3]>e[3]&&(e[3]=t[3]),e}function u3(e,t){t[0]<e[0]&&(e[0]=t[0]),t[0]>e[2]&&(e[2]=t[0]),t[1]<e[1]&&(e[1]=t[1]),t[1]>e[3]&&(e[3]=t[1])}function c3(e,t,n,r,i){for(;n<r;n+=i)d3(e,t[n],t[n+1]);return e}function d3(e,t,n){e[0]=Math.min(e[0],t),e[1]=Math.min(e[1],n),e[2]=Math.max(e[2],t),e[3]=Math.max(e[3],n)}function p3(e,t){var n;return(n=t(h3(e)))||(n=t(m3(e)))||(n=t(k3(e)))?n:(n=t(x3(e)))||!1}function f3(e){var t=0;return S3(e)||(t=E3(e)*w3(e)),t}function h3(e){return[e[0],e[1]]}function m3(e){return[e[2],e[1]]}function g3(e){return[(e[0]+e[2])/2,(e[1]+e[3])/2]}function v3(e,t){var n;return"bottom-left"===t?n=h3(e):"bottom-right"===t?n=m3(e):"top-left"===t?n=x3(e):"top-right"===t?n=k3(e):$9(!1,13),n}function y3(e,t,n,r,i){var o=b3(e,t,n,r),a=o[0],s=o[1],l=o[2],u=o[3],c=o[4],d=o[5],p=o[6],f=o[7];return i3(Math.min(a,l,c,p),Math.min(s,u,d,f),Math.max(a,l,c,p),Math.max(s,u,d,f),i)}function b3(e,t,n,r){var i=t*r[0]/2,o=t*r[1]/2,a=Math.cos(n),s=Math.sin(n),l=i*a,u=i*s,c=o*a,d=o*s,p=e[0],f=e[1];return[p-l+d,f-u-c,p-l-d,f-u+c,p+l-d,f+u+c,p+l+d,f+u-c,p-l+d,f-u-c]}function w3(e){return e[3]-e[1]}function _3(e,t,n){var r=n||[1/0,1/0,-1/0,-1/0];return C3(e,t)?(e[0]>t[0]?r[0]=e[0]:r[0]=t[0],e[1]>t[1]?r[1]=e[1]:r[1]=t[1],e[2]<t[2]?r[2]=e[2]:r[2]=t[2],e[3]<t[3]?r[3]=e[3]:r[3]=t[3]):o3(r),r}function x3(e){return[e[0],e[3]]}function k3(e){return[e[2],e[3]]}function E3(e){return e[2]-e[0]}function C3(e,t){return e[0]<=t[2]&&e[2]>=t[0]&&e[1]<=t[3]&&e[3]>=t[1]}function S3(e){return e[2]<e[0]||e[3]<e[1]}function A3(e,t){var n=t.getExtent(),r=g3(e);if(t.canWrapX()&&(r[0]<n[0]||r[0]>=n[2])){var i=E3(n),o=Math.floor((r[0]-n[0])/i)*i;e[0]-=o,e[2]-=o}return e}function T3(e,t,n){return Math.min(Math.max(e,t),n)}var O3=function(){var e;return e="cosh"in Math?Math.cosh:function(e){var t=Math.exp(e);return(t+1/t)/2},e}(),P3=function(){var e;return e="log2"in Math?Math.log2:function(e){return Math.log(e)*Math.LOG2E},e}();function D3(e,t,n,r,i,o){var a=i-n,s=o-r;if(0!==a||0!==s){var l=((e-n)*a+(t-r)*s)/(a*a+s*s);l>1?(n=i,r=o):l>0&&(n+=a*l,r+=s*l)}return R3(e,t,n,r)}function R3(e,t,n,r){var i=n-e,o=r-t;return i*i+o*o}function I3(e){return e*Math.PI/180}function M3(e,t){var n=e%t;return n*t<0?n+t:n}function L3(e,t,n){return e+n*(t-e)}function N3(e,t){var n=Math.pow(10,t);return Math.round(e*n)/n}function j3(e,t){return Math.floor(N3(e,t))}function F3(e,t){return Math.ceil(N3(e,t))}var B3=/^#([a-f0-9]{3}|[a-f0-9]{4}(?:[a-f0-9]{2}){0,2})$/i,z3=/^([a-z]*)$|^hsla?\(.*\)$/i;function U3(e){return"string"==typeof e?e:H3(e)}var q3=function(){var e={},t=0;return function(n){var r;if(e.hasOwnProperty(n))r=e[n];else{if(t>=1024){var i=0;for(var o in e)0==(3&i++)&&(delete e[o],--t)}r=function(e){var t,n,r,i,o;if(z3.exec(e)&&(e=function(e){var t=document.createElement("div");if(t.style.color=e,""!==t.style.color){document.body.appendChild(t);var n=getComputedStyle(t).color;return document.body.removeChild(t),n}return""}(e)),B3.exec(e)){var a,s=e.length-1;a=s<=4?1:2;var l=4===s||8===s;t=parseInt(e.substr(1+0*a,a),16),n=parseInt(e.substr(1+1*a,a),16),r=parseInt(e.substr(1+2*a,a),16),i=l?parseInt(e.substr(1+3*a,a),16):255,1==a&&(t=(t<<4)+t,n=(n<<4)+n,r=(r<<4)+r,l&&(i=(i<<4)+i)),o=[t,n,r,i/255]}else 0==e.indexOf("rgba(")?W3(o=e.slice(5,-1).split(",").map(Number)):0==e.indexOf("rgb(")?((o=e.slice(4,-1).split(",").map(Number)).push(1),W3(o)):$9(!1,14);return o}(n),e[n]=r,++t}return r}}();function $3(e){return Array.isArray(e)?e:q3(e)}function W3(e){return e[0]=T3(e[0]+.5|0,0,255),e[1]=T3(e[1]+.5|0,0,255),e[2]=T3(e[2]+.5|0,0,255),e[3]=T3(e[3],0,1),e}function H3(e){var t=e[0];t!=(0|t)&&(t=t+.5|0);var n=e[1];n!=(0|n)&&(n=n+.5|0);var r=e[2];return r!=(0|r)&&(r=r+.5|0),"rgba("+t+","+n+","+r+","+(void 0===e[3]?1:Math.round(100*e[3])/100)+")"}var V3=function(){function e(){this.cache_={},this.cacheSize_=0,this.maxCacheSize_=32}return e.prototype.clear=function(){this.cache_={},this.cacheSize_=0},e.prototype.canExpireCache=function(){return this.cacheSize_>this.maxCacheSize_},e.prototype.expire=function(){if(this.canExpireCache()){var e=0;for(var t in this.cache_){var n=this.cache_[t];0!=(3&e++)||n.hasListener()||(delete this.cache_[t],--this.cacheSize_)}}},e.prototype.get=function(e,t,n){var r=G3(e,t,n);return r in this.cache_?this.cache_[r]:null},e.prototype.set=function(e,t,n,r){var i=G3(e,t,n);this.cache_[i]=r,++this.cacheSize_},e.prototype.setSize=function(e){this.maxCacheSize_=e,this.expire()},e}();function G3(e,t,n){return t+":"+e+":"+(n?U3(n):"null")}var K3=new V3;const Y3=function(){function e(e){this.propagationStopped,this.defaultPrevented,this.type=e,this.target=null}return e.prototype.preventDefault=function(){this.defaultPrevented=!0},e.prototype.stopPropagation=function(){this.propagationStopped=!0},e}(),Z3="propertychange";var X3="function"==typeof Object.assign?Object.assign:function(e,t){if(null==e)throw new TypeError("Cannot convert undefined or null to object");for(var n=Object(e),r=1,i=arguments.length;r<i;++r){var o=arguments[r];if(null!=o)for(var a in o)o.hasOwnProperty(a)&&(n[a]=o[a])}return n};function Q3(e){for(var t in e)delete e[t]}var J3="function"==typeof Object.values?Object.values:function(e){var t=[];for(var n in e)t.push(e[n]);return t};function e4(e){var t;for(t in e)return!1;return!t}var t4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),n4=function(e){function t(t){var n=e.call(this)||this;return n.eventTarget_=t,n.pendingRemovals_=null,n.dispatching_=null,n.listeners_=null,n}return t4(t,e),t.prototype.addEventListener=function(e,t){if(e&&t){var n=this.listeners_||(this.listeners_={}),r=n[e]||(n[e]=[]);-1===r.indexOf(t)&&r.push(t)}},t.prototype.dispatchEvent=function(e){var t="string"==typeof e,n=t?e:e.type,r=this.listeners_&&this.listeners_[n];if(r){var i=t?new Y3(e):e;i.target||(i.target=this.eventTarget_||this);var o,a=this.dispatching_||(this.dispatching_={}),s=this.pendingRemovals_||(this.pendingRemovals_={});n in a||(a[n]=0,s[n]=0),++a[n];for(var l=0,u=r.length;l<u;++l)if(!1===(o="handleEvent"in r[l]?r[l].handleEvent(i):r[l].call(this,i))||i.propagationStopped){o=!1;break}if(0==--a[n]){var c=s[n];for(delete s[n];c--;)this.removeEventListener(n,O9);delete a[n]}return o}},t.prototype.disposeInternal=function(){this.listeners_&&Q3(this.listeners_)},t.prototype.getListeners=function(e){return this.listeners_&&this.listeners_[e]||void 0},t.prototype.hasListener=function(e){return!!this.listeners_&&(e?e in this.listeners_:Object.keys(this.listeners_).length>0)},t.prototype.removeEventListener=function(e,t){var n=this.listeners_&&this.listeners_[e];if(n){var r=n.indexOf(t);-1!==r&&(this.pendingRemovals_&&e in this.pendingRemovals_?(n[r]=O9,++this.pendingRemovals_[e]):(n.splice(r,1),0===n.length&&delete this.listeners_[e]))}},t}(_9);const r4=n4,i4="change",o4="contextmenu",a4="click",s4="keydown",l4="keypress",u4="touchmove",c4="wheel";function d4(e,t,n,r,i){if(r&&r!==e&&(n=n.bind(r)),i){var o=n;n=function(){e.removeEventListener(t,n),o.apply(this,arguments)}}var a={target:e,type:t,listener:n};return e.addEventListener(t,n),a}function p4(e,t,n,r){return d4(e,t,n,r,!0)}function f4(e){e&&e.target&&(e.target.removeEventListener(e.type,e.listener),Q3(e))}var h4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),m4=function(e){function t(){var t=e.call(this)||this;return t.on=t.onInternal,t.once=t.onceInternal,t.un=t.unInternal,t.revision_=0,t}return h4(t,e),t.prototype.changed=function(){++this.revision_,this.dispatchEvent(i4)},t.prototype.getRevision=function(){return this.revision_},t.prototype.onInternal=function(e,t){if(Array.isArray(e)){for(var n=e.length,r=new Array(n),i=0;i<n;++i)r[i]=d4(this,e[i],t);return r}return d4(this,e,t)},t.prototype.onceInternal=function(e,t){var n;if(Array.isArray(e)){var r=e.length;n=new Array(r);for(var i=0;i<r;++i)n[i]=p4(this,e[i],t)}else n=p4(this,e,t);return t.ol_key=n,n},t.prototype.unInternal=function(e,t){var n=t.ol_key;if(n)!function(e){if(Array.isArray(e))for(var t=0,n=e.length;t<n;++t)f4(e[t]);else f4(e)}(n);else if(Array.isArray(e))for(var r=0,i=e.length;r<i;++r)this.removeEventListener(e[r],t);else this.removeEventListener(e,t)},t}(r4);m4.prototype.on,m4.prototype.once,m4.prototype.un;const g4=m4;var v4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),y4=function(e){function t(t,n,r){var i=e.call(this,t)||this;return i.key=n,i.oldValue=r,i}return v4(t,e),t}(Y3),b4=function(e){function t(t){var n=e.call(this)||this;return n.on,n.once,n.un,R9(n),n.values_=null,void 0!==t&&n.setProperties(t),n}return v4(t,e),t.prototype.get=function(e){var t;return this.values_&&this.values_.hasOwnProperty(e)&&(t=this.values_[e]),t},t.prototype.getKeys=function(){return this.values_&&Object.keys(this.values_)||[]},t.prototype.getProperties=function(){return this.values_&&X3({},this.values_)||{}},t.prototype.hasProperties=function(){return!!this.values_},t.prototype.notify=function(e,t){var n;n="change:".concat(e),this.hasListener(n)&&this.dispatchEvent(new y4(n,e,t)),n=Z3,this.hasListener(n)&&this.dispatchEvent(new y4(n,e,t))},t.prototype.addChangeListener=function(e,t){this.addEventListener("change:".concat(e),t)},t.prototype.removeChangeListener=function(e,t){this.removeEventListener("change:".concat(e),t)},t.prototype.set=function(e,t,n){var r=this.values_||(this.values_={});if(n)r[e]=t;else{var i=r[e];r[e]=t,i!==t&&this.notify(e,i)}},t.prototype.setProperties=function(e,t){for(var n in e)this.set(n,e[n],t)},t.prototype.applyProperties=function(e){e.values_&&X3(this.values_||(this.values_={}),e.values_)},t.prototype.unset=function(e,t){if(this.values_&&e in this.values_){var n=this.values_[e];delete this.values_[e],e4(this.values_)&&(this.values_=null),t||this.notify(e,n)}},t}(g4);const w4=b4,_4="opacity",x4="visible",k4="extent",E4="zIndex",C4="maxResolution",S4="minResolution",A4="maxZoom",T4="minZoom",O4="source";var P4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),D4=function(e){function t(t){var n=e.call(this)||this;n.on,n.once,n.un,n.background_=t.background;var r=X3({},t);return"object"==typeof t.properties&&(delete r.properties,X3(r,t.properties)),r[_4]=void 0!==t.opacity?t.opacity:1,$9("number"==typeof r[_4],64),r[x4]=void 0===t.visible||t.visible,r[E4]=t.zIndex,r[C4]=void 0!==t.maxResolution?t.maxResolution:1/0,r[S4]=void 0!==t.minResolution?t.minResolution:0,r[T4]=void 0!==t.minZoom?t.minZoom:-1/0,r[A4]=void 0!==t.maxZoom?t.maxZoom:1/0,n.className_=void 0!==r.className?r.className:"ol-layer",delete r.className,n.setProperties(r),n.state_=null,n}return P4(t,e),t.prototype.getBackground=function(){return this.background_},t.prototype.getClassName=function(){return this.className_},t.prototype.getLayerState=function(e){var t=this.state_||{layer:this,managed:void 0===e||e},n=this.getZIndex();return t.opacity=T3(Math.round(100*this.getOpacity())/100,0,1),t.visible=this.getVisible(),t.extent=this.getExtent(),t.zIndex=void 0!==n||t.managed?n:1/0,t.maxResolution=this.getMaxResolution(),t.minResolution=Math.max(this.getMinResolution(),0),t.minZoom=this.getMinZoom(),t.maxZoom=this.getMaxZoom(),this.state_=t,t},t.prototype.getLayersArray=function(e){return P9()},t.prototype.getLayerStatesArray=function(e){return P9()},t.prototype.getExtent=function(){return this.get(k4)},t.prototype.getMaxResolution=function(){return this.get(C4)},t.prototype.getMinResolution=function(){return this.get(S4)},t.prototype.getMinZoom=function(){return this.get(T4)},t.prototype.getMaxZoom=function(){return this.get(A4)},t.prototype.getOpacity=function(){return this.get(_4)},t.prototype.getSourceState=function(){return P9()},t.prototype.getVisible=function(){return this.get(x4)},t.prototype.getZIndex=function(){return this.get(E4)},t.prototype.setBackground=function(e){this.background_=e,this.changed()},t.prototype.setExtent=function(e){this.set(k4,e)},t.prototype.setMaxResolution=function(e){this.set(C4,e)},t.prototype.setMinResolution=function(e){this.set(S4,e)},t.prototype.setMaxZoom=function(e){this.set(A4,e)},t.prototype.setMinZoom=function(e){this.set(T4,e)},t.prototype.setOpacity=function(e){$9("number"==typeof e,64),this.set(_4,e)},t.prototype.setVisible=function(e){this.set(x4,e)},t.prototype.setZIndex=function(e){this.set(E4,e)},t.prototype.disposeInternal=function(){this.state_&&(this.state_.layer=null,this.state_=null),e.prototype.disposeInternal.call(this)},t}(w4);const R4=D4,I4="precompose",M4="rendercomplete";var L4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),N4=function(e){function t(t){var n=this,r=X3({},t);delete r.source,(n=e.call(this,r)||this).on,n.once,n.un,n.mapPrecomposeKey_=null,n.mapRenderKey_=null,n.sourceChangeKey_=null,n.renderer_=null,n.rendered=!1,t.render&&(n.render=t.render),t.map&&n.setMap(t.map),n.addChangeListener(O4,n.handleSourcePropertyChange_);var i=t.source?t.source:null;return n.setSource(i),n}return L4(t,e),t.prototype.getLayersArray=function(e){var t=e||[];return t.push(this),t},t.prototype.getLayerStatesArray=function(e){var t=e||[];return t.push(this.getLayerState()),t},t.prototype.getSource=function(){return this.get(O4)||null},t.prototype.getRenderSource=function(){return this.getSource()},t.prototype.getSourceState=function(){var e=this.getSource();return e?e.getState():"undefined"},t.prototype.handleSourceChange_=function(){this.changed()},t.prototype.handleSourcePropertyChange_=function(){this.sourceChangeKey_&&(f4(this.sourceChangeKey_),this.sourceChangeKey_=null);var e=this.getSource();e&&(this.sourceChangeKey_=d4(e,i4,this.handleSourceChange_,this)),this.changed()},t.prototype.getFeatures=function(e){return this.renderer_?this.renderer_.getFeatures(e):new Promise((function(e){return e([])}))},t.prototype.getData=function(e){return this.renderer_&&this.rendered?this.renderer_.getData(e):null},t.prototype.render=function(e,t){var n=this.getRenderer();if(n.prepareFrame(e))return this.rendered=!0,n.renderFrame(e,t)},t.prototype.unrender=function(){this.rendered=!1},t.prototype.setMapInternal=function(e){e||this.unrender(),this.set("map",e)},t.prototype.getMapInternal=function(){return this.get("map")},t.prototype.setMap=function(e){this.mapPrecomposeKey_&&(f4(this.mapPrecomposeKey_),this.mapPrecomposeKey_=null),e||this.changed(),this.mapRenderKey_&&(f4(this.mapRenderKey_),this.mapRenderKey_=null),e&&(this.mapPrecomposeKey_=d4(e,I4,(function(e){var t=e.frameState.layerStatesArray,n=this.getLayerState(!1);$9(!t.some((function(e){return e.layer===n.layer})),67),t.push(n)}),this),this.mapRenderKey_=d4(this,i4,e.render,e),this.changed())},t.prototype.setSource=function(e){this.set(O4,e)},t.prototype.getRenderer=function(){return this.renderer_||(this.renderer_=this.createRenderer()),this.renderer_},t.prototype.hasRenderer=function(){return!!this.renderer_},t.prototype.createRenderer=function(){return null},t.prototype.disposeInternal=function(){this.renderer_&&(this.renderer_.dispose(),delete this.renderer_),this.setSource(null),e.prototype.disposeInternal.call(this)},t}(R4);function j4(e,t){if(!e.visible)return!1;var n=t.resolution;if(n<e.minResolution||n>=e.maxResolution)return!1;var r=t.zoom;return r>e.minZoom&&r<=e.maxZoom}const F4=N4;function B4(e,t){for(var n=!0,r=e.length-1;r>=0;--r)if(e[r]!=t[r]){n=!1;break}return n}function z4(e,t){var n=Math.cos(t),r=Math.sin(t),i=e[0]*n-e[1]*r,o=e[1]*n+e[0]*r;return e[0]=i,e[1]=o,e}function U4(e,t){if(t.canWrapX()){var n=E3(t.getExtent()),r=function(e,t,n){var r=t.getExtent(),i=0;if(t.canWrapX()&&(e[0]<r[0]||e[0]>r[2])){var o=n||E3(r);i=Math.floor((e[0]-r[0])/o)}return i}(e,t,n);r&&(e[0]-=r*n)}return e}var q4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),$4=function(e){function t(t){var n=e.call(this)||this;return n.map_=t,n}return q4(t,e),t.prototype.dispatchRenderEvent=function(e,t){P9()},t.prototype.calculateMatrices2D=function(e){var t=e.viewState,n=e.coordinateToPixelTransform,r=e.pixelToCoordinateTransform;V9(n,e.size[0]/2,e.size[1]/2,1/t.resolution,-1/t.resolution,-t.rotation,-t.center[0],-t.center[1]),G9(r,n)},t.prototype.forEachFeatureAtCoordinate=function(e,t,n,r,i,o,a,s){var l,u=t.viewState;function c(e,t,n,r){return i.call(o,t,e?n:null,r)}var d=u.projection,p=U4(e.slice(),d),f=[[0,0]];if(d.canWrapX()&&r){var h=E3(d.getExtent());f.push([-h,0],[h,0])}for(var m=t.layerStatesArray,g=m.length,v=[],y=[],b=0;b<f.length;b++)for(var w=g-1;w>=0;--w){var _=m[w],x=_.layer;if(x.hasRenderer()&&j4(_,u)&&a.call(s,x)){var k=x.getRenderer(),E=x.getSource();if(k&&E){var C=E.getWrapX()?p:e,S=c.bind(null,_.managed);y[0]=C[0]+f[b][0],y[1]=C[1]+f[b][1],l=k.forEachFeatureAtCoordinate(y,t,n,S,v)}if(l)return l}}if(0!==v.length){var A=1/v.length;return v.forEach((function(e,t){return e.distanceSq+=t*A})),v.sort((function(e,t){return e.distanceSq-t.distanceSq})),v.some((function(e){return l=e.callback(e.feature,e.layer,e.geometry)})),l}},t.prototype.forEachLayerAtPixel=function(e,t,n,r,i){return P9()},t.prototype.hasFeatureAtCoordinate=function(e,t,n,r,i,o){return void 0!==this.forEachFeatureAtCoordinate(e,t,n,r,A9,this,i,o)},t.prototype.getMap=function(){return this.map_},t.prototype.renderFrame=function(e){P9()},t.prototype.scheduleExpireIconCache=function(e){K3.canExpireCache()&&e.postRenderFunctions.push(W4)},t}(_9);function W4(e,t){K3.expire()}const H4=$4;var V4=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();const G4=function(e){function t(t,n,r,i){var o=e.call(this,t)||this;return o.inversePixelTransform=n,o.frameState=r,o.context=i,o}return V4(t,e),t}(Y3);var K4="ol-hidden",Y4="ol-unselectable",Z4="ol-control",X4="ol-collapsed",Q4=new RegExp(["^\\s*(?=(?:(?:[-a-z]+\\s*){0,2}(italic|oblique))?)","(?=(?:(?:[-a-z]+\\s*){0,2}(small-caps))?)","(?=(?:(?:[-a-z]+\\s*){0,2}(bold(?:er)?|lighter|[1-9]00 ))?)","(?:(?:normal|\\1|\\2|\\3)\\s*){0,3}((?:xx?-)?","(?:small|large)|medium|smaller|larger|[\\.\\d]+(?:\\%|in|[cem]m|ex|p[ctx]))","(?:\\s*\\/\\s*(normal|[\\.\\d]+(?:\\%|in|[cem]m|ex|p[ctx])?))","?\\s*([-,\\\"\\'\\sa-z]+?)\\s*$"].join(""),"i"),J4=["style","variant","weight","size","lineHeight","family"],e8=function(e){var t=e.match(Q4);if(!t)return null;for(var n={lineHeight:"normal",size:"1.2em",style:"normal",weight:"normal",variant:"normal"},r=0,i=J4.length;r<i;++r){var o=t[r+1];void 0!==o&&(n[J4[r]]=o)}return n.families=n.family.split(/,\s?/),n};function t8(e,t,n,r){var i;return i=n&&n.length?n.shift():F9?new OffscreenCanvas(e||300,t||300):document.createElement("canvas"),e&&(i.width=e),t&&(i.height=t),i.getContext("2d",r)}function n8(e){var t=e.canvas;t.width=1,t.height=1,e.clearRect(0,0,1,1)}function r8(e,t){var n=t.parentNode;n&&n.replaceChild(e,t)}function i8(e){return e&&e.parentNode?e.parentNode.removeChild(e):null}var o8="10px sans-serif",a8="#000",s8="round",l8=[],u8="round",c8="#000",d8="center",p8="middle",f8=[0,0,0,0],h8=new w4;(new r4).setSize=function(){console.warn("labelCache is deprecated.")};var m8,g8,v8=null,y8={},b8=function(){var e,t,n="32px ",r=["monospace","serif"],i=r.length,o="wmytzilWMYTZIL@#/&?$%10";function a(e,a,s){for(var l=!0,u=0;u<i;++u){var c=r[u];if(t=x8(e+" "+a+" "+n+c,o),s!=c){var d=x8(e+" "+a+" "+n+s+","+c,o);l=l&&d!=t}}return!!l}function s(){for(var t=!0,n=h8.getKeys(),r=0,i=n.length;r<i;++r){var o=n[r];h8.get(o)<100&&(a.apply(this,o.split("\n"))?(Q3(y8),v8=null,m8=void 0,h8.set(o,100)):(h8.set(o,h8.get(o)+1,!0),t=!1))}t&&(clearInterval(e),e=void 0)}return function(t){var n=e8(t);if(n)for(var r=n.families,i=0,o=r.length;i<o;++i){var l=r[i],u=n.style+"\n"+n.weight+"\n"+l;void 0===h8.get(u)&&(h8.set(u,100,!0),a(n.style,n.weight,l)||(h8.set(u,0,!0),void 0===e&&(e=setInterval(s,32))))}}}(),w8=function(e){var t=y8[e];if(null==t){if(F9){var n=e8(e),r=_8(e,"Žg");t=(isNaN(Number(n.lineHeight))?1.2:Number(n.lineHeight))*(r.actualBoundingBoxAscent+r.actualBoundingBoxDescent)}else g8||((g8=document.createElement("div")).innerHTML="M",g8.style.minHeight="0",g8.style.maxHeight="none",g8.style.height="auto",g8.style.padding="0",g8.style.border="none",g8.style.position="absolute",g8.style.display="block",g8.style.left="-99999px"),g8.style.font=e,document.body.appendChild(g8),t=g8.offsetHeight,document.body.removeChild(g8);y8[e]=t}return t};function _8(e,t){return v8||(v8=t8(1,1)),e!=m8&&(v8.font=e,m8=v8.font),v8.measureText(t)}function x8(e,t){return _8(e,t).width}function k8(e,t,n){if(t in n)return n[t];var r=t.split("\n").reduce((function(t,n){return Math.max(t,x8(e,n))}),0);return n[t]=r,r}var E8=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),C8=function(e){function t(t){var n=e.call(this,t)||this;n.fontChangeListenerKey_=d4(h8,Z3,t.redrawText.bind(t)),n.element_=document.createElement("div");var r=n.element_.style;r.position="absolute",r.width="100%",r.height="100%",r.zIndex="0",n.element_.className=Y4+" ol-layers";var i=t.getViewport();return i.insertBefore(n.element_,i.firstChild||null),n.children_=[],n.renderedVisible_=!0,n}return E8(t,e),t.prototype.dispatchRenderEvent=function(e,t){var n=this.getMap();if(n.hasListener(e)){var r=new G4(e,void 0,t);n.dispatchEvent(r)}},t.prototype.disposeInternal=function(){f4(this.fontChangeListenerKey_),this.element_.parentNode.removeChild(this.element_),e.prototype.disposeInternal.call(this)},t.prototype.renderFrame=function(e){if(e){this.calculateMatrices2D(e),this.dispatchRenderEvent(I4,e);var t=e.layerStatesArray.sort((function(e,t){return e.zIndex-t.zIndex})),n=e.viewState;this.children_.length=0;for(var r=[],i=null,o=0,a=t.length;o<a;++o){var s=t[o];e.layerIndex=o;var l=s.layer,u=l.getSourceState();if(!j4(s,n)||"ready"!=u&&"undefined"!=u)l.unrender();else{var c=l.render(e,i);c&&(c!==i&&(this.children_.push(c),i=c),"getDeclutter"in l&&r.push(l))}}for(o=r.length-1;o>=0;--o)r[o].renderDeclutter(e);!function(e,t){for(var n=e.childNodes,r=0;;++r){var i=n[r],o=t[r];if(!i&&!o)break;i!==o&&(i?o?e.insertBefore(o,i):(e.removeChild(i),--r):e.appendChild(o))}}(this.element_,this.children_),this.dispatchRenderEvent("postcompose",e),this.renderedVisible_||(this.element_.style.display="",this.renderedVisible_=!0),this.scheduleExpireIconCache(e)}else this.renderedVisible_&&(this.element_.style.display="none",this.renderedVisible_=!1)},t.prototype.forEachLayerAtPixel=function(e,t,n,r,i){for(var o=t.viewState,a=t.layerStatesArray,s=a.length-1;s>=0;--s){var l=a[s],u=l.layer;if(u.hasRenderer()&&j4(l,o)&&i(u)){var c=u.getRenderer().getDataAtPixel(e,t,n);if(c){var d=r(u,c);if(d)return d}}}},t}(H4);const S8=C8,A8="add",T8="remove";var O8=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),P8="length",D8=function(e){function t(t,n,r){var i=e.call(this,t)||this;return i.element=n,i.index=r,i}return O8(t,e),t}(Y3),R8=function(e){function t(t,n){var r=e.call(this)||this;r.on,r.once,r.un;var i=n||{};if(r.unique_=!!i.unique,r.array_=t||[],r.unique_)for(var o=0,a=r.array_.length;o<a;++o)r.assertUnique_(r.array_[o],o);return r.updateLength_(),r}return O8(t,e),t.prototype.clear=function(){for(;this.getLength()>0;)this.pop()},t.prototype.extend=function(e){for(var t=0,n=e.length;t<n;++t)this.push(e[t]);return this},t.prototype.forEach=function(e){for(var t=this.array_,n=0,r=t.length;n<r;++n)e(t[n],n,t)},t.prototype.getArray=function(){return this.array_},t.prototype.item=function(e){return this.array_[e]},t.prototype.getLength=function(){return this.get(P8)},t.prototype.insertAt=function(e,t){this.unique_&&this.assertUnique_(t),this.array_.splice(e,0,t),this.updateLength_(),this.dispatchEvent(new D8(A8,t,e))},t.prototype.pop=function(){return this.removeAt(this.getLength()-1)},t.prototype.push=function(e){this.unique_&&this.assertUnique_(e);var t=this.getLength();return this.insertAt(t,e),this.getLength()},t.prototype.remove=function(e){for(var t=this.array_,n=0,r=t.length;n<r;++n)if(t[n]===e)return this.removeAt(n)},t.prototype.removeAt=function(e){var t=this.array_[e];return this.array_.splice(e,1),this.updateLength_(),this.dispatchEvent(new D8(T8,t,e)),t},t.prototype.setAt=function(e,t){var n=this.getLength();if(e<n){this.unique_&&this.assertUnique_(t,e);var r=this.array_[e];this.array_[e]=t,this.dispatchEvent(new D8(T8,r,e)),this.dispatchEvent(new D8(A8,t,e))}else{for(var i=n;i<e;++i)this.insertAt(i,void 0);this.insertAt(e,t)}},t.prototype.updateLength_=function(){this.set(P8,this.array_.length)},t.prototype.assertUnique_=function(e,t){for(var n=0,r=this.array_.length;n<r;++n)if(this.array_[n]===e&&n!==t)throw new q9(58)},t}(w4);const I8=R8;var M8=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),L8=function(e){function t(t,n){var r=e.call(this,t)||this;return r.layer=n,r}return M8(t,e),t}(Y3),N8="layers",j8=function(e){function t(t){var n=this,r=t||{},i=X3({},r);delete i.layers;var o=r.layers;return(n=e.call(this,i)||this).on,n.once,n.un,n.layersListenerKeys_=[],n.listenerKeys_={},n.addChangeListener(N8,n.handleLayersChanged_),o?Array.isArray(o)?o=new I8(o.slice(),{unique:!0}):$9("function"==typeof o.getArray,43):o=new I8(void 0,{unique:!0}),n.setLayers(o),n}return M8(t,e),t.prototype.handleLayerChange_=function(){this.changed()},t.prototype.handleLayersChanged_=function(){this.layersListenerKeys_.forEach(f4),this.layersListenerKeys_.length=0;var e=this.getLayers();for(var t in this.layersListenerKeys_.push(d4(e,A8,this.handleLayersAdd_,this),d4(e,T8,this.handleLayersRemove_,this)),this.listenerKeys_)this.listenerKeys_[t].forEach(f4);Q3(this.listenerKeys_);for(var n=e.getArray(),r=0,i=n.length;r<i;r++){var o=n[r];this.registerLayerListeners_(o),this.dispatchEvent(new L8("addlayer",o))}this.changed()},t.prototype.registerLayerListeners_=function(e){var n=[d4(e,Z3,this.handleLayerChange_,this),d4(e,i4,this.handleLayerChange_,this)];e instanceof t&&n.push(d4(e,"addlayer",this.handleLayerGroupAdd_,this),d4(e,"removelayer",this.handleLayerGroupRemove_,this)),this.listenerKeys_[R9(e)]=n},t.prototype.handleLayerGroupAdd_=function(e){this.dispatchEvent(new L8("addlayer",e.layer))},t.prototype.handleLayerGroupRemove_=function(e){this.dispatchEvent(new L8("removelayer",e.layer))},t.prototype.handleLayersAdd_=function(e){var t=e.element;this.registerLayerListeners_(t),this.dispatchEvent(new L8("addlayer",t)),this.changed()},t.prototype.handleLayersRemove_=function(e){var t=e.element,n=R9(t);this.listenerKeys_[n].forEach(f4),delete this.listenerKeys_[n],this.dispatchEvent(new L8("removelayer",t)),this.changed()},t.prototype.getLayers=function(){return this.get(N8)},t.prototype.setLayers=function(e){var t=this.getLayers();if(t)for(var n=t.getArray(),r=0,i=n.length;r<i;++r)this.dispatchEvent(new L8("removelayer",n[r]));this.set(N8,e)},t.prototype.getLayersArray=function(e){var t=void 0!==e?e:[];return this.getLayers().forEach((function(e){e.getLayersArray(t)})),t},t.prototype.getLayerStatesArray=function(e){var t=void 0!==e?e:[],n=t.length;this.getLayers().forEach((function(e){e.getLayerStatesArray(t)}));var r=this.getLayerState(),i=r.zIndex;e||void 0!==r.zIndex||(i=0);for(var o=n,a=t.length;o<a;o++){var s=t[o];s.opacity*=r.opacity,s.visible=s.visible&&r.visible,s.maxResolution=Math.min(s.maxResolution,r.maxResolution),s.minResolution=Math.max(s.minResolution,r.minResolution),s.minZoom=Math.max(s.minZoom,r.minZoom),s.maxZoom=Math.min(s.maxZoom,r.maxZoom),void 0!==r.extent&&(void 0!==s.extent?s.extent=_3(s.extent,r.extent):s.extent=r.extent),void 0===s.zIndex&&(s.zIndex=i)}return t},t.prototype.getSourceState=function(){return"ready"},t}(R4);const F8=j8;var B8=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),z8=function(e){function t(t,n,r){var i=e.call(this,t)||this;return i.map=n,i.frameState=void 0!==r?r:null,i}return B8(t,e),t}(Y3);const U8=z8;var q8=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),$8=function(e){function t(t,n,r,i,o,a){var s=e.call(this,t,n,o)||this;return s.originalEvent=r,s.pixel_=null,s.coordinate_=null,s.dragging=void 0!==i&&i,s.activePointers=a,s}return q8(t,e),Object.defineProperty(t.prototype,"pixel",{get:function(){return this.pixel_||(this.pixel_=this.map.getEventPixel(this.originalEvent)),this.pixel_},set:function(e){this.pixel_=e},enumerable:!1,configurable:!0}),Object.defineProperty(t.prototype,"coordinate",{get:function(){return this.coordinate_||(this.coordinate_=this.map.getCoordinateFromPixel(this.pixel)),this.coordinate_},set:function(e){this.coordinate_=e},enumerable:!1,configurable:!0}),t.prototype.preventDefault=function(){e.prototype.preventDefault.call(this),"preventDefault"in this.originalEvent&&this.originalEvent.preventDefault()},t.prototype.stopPropagation=function(){e.prototype.stopPropagation.call(this),"stopPropagation"in this.originalEvent&&this.originalEvent.stopPropagation()},t}(U8);const W8=$8,H8={SINGLECLICK:"singleclick",CLICK:a4,DBLCLICK:"dblclick",POINTERDRAG:"pointerdrag",POINTERMOVE:"pointermove",POINTERDOWN:"pointerdown",POINTERUP:"pointerup",POINTEROVER:"pointerover",POINTEROUT:"pointerout",POINTERENTER:"pointerenter",POINTERLEAVE:"pointerleave",POINTERCANCEL:"pointercancel"},V8="pointerdown";var G8=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),K8=function(e){function t(t,n){var r=e.call(this,t)||this;r.map_=t,r.clickTimeoutId_,r.emulateClicks_=!1,r.dragging_=!1,r.dragListenerKeys_=[],r.moveTolerance_=void 0===n?1:n,r.down_=null;var i=r.map_.getViewport();return r.activePointers_=[],r.trackedTouches_={},r.element_=i,r.pointerdownListenerKey_=d4(i,V8,r.handlePointerDown_,r),r.originalPointerMoveEvent_,r.relayedListenerKey_=d4(i,"pointermove",r.relayMoveEvent_,r),r.boundHandleTouchMove_=r.handleTouchMove_.bind(r),r.element_.addEventListener(u4,r.boundHandleTouchMove_,!!z9&&{passive:!1}),r}return G8(t,e),t.prototype.emulateClick_=function(e){var t=new W8(H8.CLICK,this.map_,e);this.dispatchEvent(t),void 0!==this.clickTimeoutId_?(clearTimeout(this.clickTimeoutId_),this.clickTimeoutId_=void 0,t=new W8(H8.DBLCLICK,this.map_,e),this.dispatchEvent(t)):this.clickTimeoutId_=setTimeout(function(){this.clickTimeoutId_=void 0;var t=new W8(H8.SINGLECLICK,this.map_,e);this.dispatchEvent(t)}.bind(this),250)},t.prototype.updateActivePointers_=function(e){var t=e,n=t.pointerId;if(t.type==H8.POINTERUP||t.type==H8.POINTERCANCEL){for(var r in delete this.trackedTouches_[n],this.trackedTouches_)if(this.trackedTouches_[r].target!==t.target){delete this.trackedTouches_[r];break}}else t.type!=H8.POINTERDOWN&&t.type!=H8.POINTERMOVE||(this.trackedTouches_[n]=t);this.activePointers_=J3(this.trackedTouches_)},t.prototype.handlePointerUp_=function(e){this.updateActivePointers_(e);var t=new W8(H8.POINTERUP,this.map_,e,void 0,void 0,this.activePointers_);this.dispatchEvent(t),this.emulateClicks_&&!t.defaultPrevented&&!this.dragging_&&this.isMouseActionButton_(e)&&this.emulateClick_(this.down_),0===this.activePointers_.length&&(this.dragListenerKeys_.forEach(f4),this.dragListenerKeys_.length=0,this.dragging_=!1,this.down_=null)},t.prototype.isMouseActionButton_=function(e){return 0===e.button},t.prototype.handlePointerDown_=function(e){this.emulateClicks_=0===this.activePointers_.length,this.updateActivePointers_(e);var t=new W8(H8.POINTERDOWN,this.map_,e,void 0,void 0,this.activePointers_);for(var n in this.dispatchEvent(t),this.down_={},e){var r=e[n];this.down_[n]="function"==typeof r?O9:r}if(0===this.dragListenerKeys_.length){var i=this.map_.getOwnerDocument();this.dragListenerKeys_.push(d4(i,H8.POINTERMOVE,this.handlePointerMove_,this),d4(i,H8.POINTERUP,this.handlePointerUp_,this),d4(this.element_,H8.POINTERCANCEL,this.handlePointerUp_,this)),this.element_.getRootNode&&this.element_.getRootNode()!==i&&this.dragListenerKeys_.push(d4(this.element_.getRootNode(),H8.POINTERUP,this.handlePointerUp_,this))}},t.prototype.handlePointerMove_=function(e){if(this.isMoving_(e)){this.updateActivePointers_(e),this.dragging_=!0;var t=new W8(H8.POINTERDRAG,this.map_,e,this.dragging_,void 0,this.activePointers_);this.dispatchEvent(t)}},t.prototype.relayMoveEvent_=function(e){this.originalPointerMoveEvent_=e;var t=!(!this.down_||!this.isMoving_(e));this.dispatchEvent(new W8(H8.POINTERMOVE,this.map_,e,t))},t.prototype.handleTouchMove_=function(e){var t=this.originalPointerMoveEvent_;t&&!t.defaultPrevented||"boolean"==typeof e.cancelable&&!0!==e.cancelable||e.preventDefault()},t.prototype.isMoving_=function(e){return this.dragging_||Math.abs(e.clientX-this.down_.clientX)>this.moveTolerance_||Math.abs(e.clientY-this.down_.clientY)>this.moveTolerance_},t.prototype.disposeInternal=function(){this.relayedListenerKey_&&(f4(this.relayedListenerKey_),this.relayedListenerKey_=null),this.element_.removeEventListener(u4,this.boundHandleTouchMove_),this.pointerdownListenerKey_&&(f4(this.pointerdownListenerKey_),this.pointerdownListenerKey_=null),this.dragListenerKeys_.forEach(f4),this.dragListenerKeys_.length=0,this.element_=null,e.prototype.disposeInternal.call(this)},t}(r4);const Y8=K8,Z8="postrender",X8="loadstart",Q8="loadend",J8="layergroup",e6="size",t6="target",n6="view";var r6=1/0,i6=function(){function e(e,t){this.priorityFunction_=e,this.keyFunction_=t,this.elements_=[],this.priorities_=[],this.queuedElements_={}}return e.prototype.clear=function(){this.elements_.length=0,this.priorities_.length=0,Q3(this.queuedElements_)},e.prototype.dequeue=function(){var e=this.elements_,t=this.priorities_,n=e[0];1==e.length?(e.length=0,t.length=0):(e[0]=e.pop(),t[0]=t.pop(),this.siftUp_(0));var r=this.keyFunction_(n);return delete this.queuedElements_[r],n},e.prototype.enqueue=function(e){$9(!(this.keyFunction_(e)in this.queuedElements_),31);var t=this.priorityFunction_(e);return t!=r6&&(this.elements_.push(e),this.priorities_.push(t),this.queuedElements_[this.keyFunction_(e)]=!0,this.siftDown_(0,this.elements_.length-1),!0)},e.prototype.getCount=function(){return this.elements_.length},e.prototype.getLeftChildIndex_=function(e){return 2*e+1},e.prototype.getRightChildIndex_=function(e){return 2*e+2},e.prototype.getParentIndex_=function(e){return e-1>>1},e.prototype.heapify_=function(){var e;for(e=(this.elements_.length>>1)-1;e>=0;e--)this.siftUp_(e)},e.prototype.isEmpty=function(){return 0===this.elements_.length},e.prototype.isKeyQueued=function(e){return e in this.queuedElements_},e.prototype.isQueued=function(e){return this.isKeyQueued(this.keyFunction_(e))},e.prototype.siftUp_=function(e){for(var t=this.elements_,n=this.priorities_,r=t.length,i=t[e],o=n[e],a=e;e<r>>1;){var s=this.getLeftChildIndex_(e),l=this.getRightChildIndex_(e),u=l<r&&n[l]<n[s]?l:s;t[e]=t[u],n[e]=n[u],e=u}t[e]=i,n[e]=o,this.siftDown_(a,e)},e.prototype.siftDown_=function(e,t){for(var n=this.elements_,r=this.priorities_,i=n[t],o=r[t];t>e;){var a=this.getParentIndex_(t);if(!(r[a]>o))break;n[t]=n[a],r[t]=r[a],t=a}n[t]=i,r[t]=o},e.prototype.reprioritize=function(){var e,t,n,r=this.priorityFunction_,i=this.elements_,o=this.priorities_,a=0,s=i.length;for(t=0;t<s;++t)(n=r(e=i[t]))==r6?delete this.queuedElements_[this.keyFunction_(e)]:(o[a]=n,i[a++]=e);i.length=a,o.length=a,this.heapify_()},e}();const o6=i6;var a6=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),s6=function(e){function t(t,n){var r=e.call(this,(function(e){return t.apply(null,e)}),(function(e){return e[0].getKey()}))||this;return r.boundHandleTileChange_=r.handleTileChange.bind(r),r.tileChangeCallback_=n,r.tilesLoading_=0,r.tilesLoadingKeys_={},r}return a6(t,e),t.prototype.enqueue=function(t){var n=e.prototype.enqueue.call(this,t);return n&&t[0].addEventListener(i4,this.boundHandleTileChange_),n},t.prototype.getTilesLoading=function(){return this.tilesLoading_},t.prototype.handleTileChange=function(e){var t=e.target,n=t.getState();if(2===n||3===n||4===n){t.removeEventListener(i4,this.boundHandleTileChange_);var r=t.getKey();r in this.tilesLoadingKeys_&&(delete this.tilesLoadingKeys_[r],--this.tilesLoading_),this.tileChangeCallback_()}},t.prototype.loadMoreTiles=function(e,t){for(var n,r,i=0;this.tilesLoading_<e&&i<t&&this.getCount()>0;)r=(n=this.dequeue()[0]).getKey(),0!==n.getState()||r in this.tilesLoadingKeys_||(this.tilesLoadingKeys_[r]=!0,++this.tilesLoading_,++i,n.load())},t}(o6);const l6=s6;var u6={RADIANS:"radians",DEGREES:"degrees",FEET:"ft",METERS:"m",PIXELS:"pixels",TILE_PIXELS:"tile-pixels",USFEET:"us-ft"},c6={};c6[u6.RADIANS]=6370997/(2*Math.PI),c6[u6.DEGREES]=2*Math.PI*6370997/360,c6[u6.FEET]=.3048,c6[u6.METERS]=1,c6[u6.USFEET]=1200/3937;const d6=u6,p6={CENTER:"center",RESOLUTION:"resolution",ROTATION:"rotation"};var f6=42,h6=256,m6=function(){function e(e){this.code_=e.code,this.units_=e.units,this.extent_=void 0!==e.extent?e.extent:null,this.worldExtent_=void 0!==e.worldExtent?e.worldExtent:null,this.axisOrientation_=void 0!==e.axisOrientation?e.axisOrientation:"enu",this.global_=void 0!==e.global&&e.global,this.canWrapX_=!(!this.global_||!this.extent_),this.getPointResolutionFunc_=e.getPointResolution,this.defaultTileGrid_=null,this.metersPerUnit_=e.metersPerUnit}return e.prototype.canWrapX=function(){return this.canWrapX_},e.prototype.getCode=function(){return this.code_},e.prototype.getExtent=function(){return this.extent_},e.prototype.getUnits=function(){return this.units_},e.prototype.getMetersPerUnit=function(){return this.metersPerUnit_||c6[this.units_]},e.prototype.getWorldExtent=function(){return this.worldExtent_},e.prototype.getAxisOrientation=function(){return this.axisOrientation_},e.prototype.isGlobal=function(){return this.global_},e.prototype.setGlobal=function(e){this.global_=e,this.canWrapX_=!(!e||!this.extent_)},e.prototype.getDefaultTileGrid=function(){return this.defaultTileGrid_},e.prototype.setDefaultTileGrid=function(e){this.defaultTileGrid_=e},e.prototype.setExtent=function(e){this.extent_=e,this.canWrapX_=!(!this.global_||!e)},e.prototype.setWorldExtent=function(e){this.worldExtent_=e},e.prototype.setGetPointResolution=function(e){this.getPointResolutionFunc_=e},e.prototype.getPointResolutionFunc=function(){return this.getPointResolutionFunc_},e}();const g6=m6;var v6=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),y6=6378137,b6=Math.PI*y6,w6=[-b6,-b6,b6,b6],_6=[-180,-85,180,85],x6=y6*Math.log(Math.tan(Math.PI/2)),k6=function(e){function t(t){return e.call(this,{code:t,units:d6.METERS,extent:w6,global:!0,worldExtent:_6,getPointResolution:function(e,t){return e/O3(t[1]/y6)}})||this}return v6(t,e),t}(g6),E6=[new k6("EPSG:3857"),new k6("EPSG:102100"),new k6("EPSG:102113"),new k6("EPSG:900913"),new k6("http://www.opengis.net/def/crs/EPSG/0/3857"),new k6("http://www.opengis.net/gml/srs/epsg.xml#3857")];var C6=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),S6=[-180,-90,180,90],A6=6378137*Math.PI/180,T6=function(e){function t(t,n){return e.call(this,{code:t,units:d6.DEGREES,extent:S6,axisOrientation:n,global:!0,metersPerUnit:A6,worldExtent:S6})||this}return C6(t,e),t}(g6),O6=[new T6("CRS:84"),new T6("EPSG:4326","neu"),new T6("urn:ogc:def:crs:OGC:1.3:CRS84"),new T6("urn:ogc:def:crs:OGC:2:84"),new T6("http://www.opengis.net/def/crs/OGC/1.3/CRS84"),new T6("http://www.opengis.net/gml/srs/epsg.xml#4326","neu"),new T6("http://www.opengis.net/def/crs/EPSG/0/4326","neu")],P6={},D6={};function R6(e,t,n){var r=e.getCode(),i=t.getCode();r in D6||(D6[r]={}),D6[r][i]=n}var I6=6371008.8;function M6(e,t,n){var r=n||I6,i=I3(e[1]),o=I3(t[1]),a=(o-i)/2,s=I3(t[0]-e[0])/2,l=Math.sin(a)*Math.sin(a)+Math.sin(s)*Math.sin(s)*Math.cos(i)*Math.cos(o);return 2*r*Math.atan2(Math.sqrt(l),Math.sqrt(1-l))}var L6=!0;function N6(e,t,n){var r;if(void 0!==t){for(var i=0,o=e.length;i<o;++i)t[i]=e[i];r=t}else r=e.slice();return r}function j6(e,t,n){if(void 0!==t&&e!==t){for(var r=0,i=e.length;r<i;++r)t[r]=e[r];e=t}return e}function F6(e){!function(e,t){P6[e]=t}(e.getCode(),e),R6(e,e,N6)}function B6(e){return"string"==typeof e?P6[t=e]||P6[t.replace(/urn:(x-)?ogc:def:crs:EPSG:(.*:)?(\w+)$/,"EPSG:$3")]||null:e||null;var t}function z6(e,t,n,r){var i,o=(e=B6(e)).getPointResolutionFunc();if(o)i=o(t,n),r&&r!==e.getUnits()&&(s=e.getMetersPerUnit())&&(i=i*s/c6[r]);else{var a=e.getUnits();if(a==d6.DEGREES&&!r||r==d6.DEGREES)i=t;else{var s,l=W6(e,B6("EPSG:4326"));if(l===j6&&a!==d6.DEGREES)i=t*e.getMetersPerUnit();else{var u=[n[0]-t/2,n[1],n[0]+t/2,n[1],n[0],n[1]-t/2,n[0],n[1]+t/2];i=(M6((u=l(u,u,2)).slice(0,2),u.slice(2,4))+M6(u.slice(4,6),u.slice(6,8)))/2}void 0!==(s=r?c6[r]:e.getMetersPerUnit())&&(i/=s)}}return i}function U6(e){!function(e){e.forEach(F6)}(e),e.forEach((function(t){e.forEach((function(e){t!==e&&R6(t,e,N6)}))}))}function q6(e,t){return e?"string"==typeof e?B6(e):e:B6(t)}function $6(e,t){if(e===t)return!0;var n=e.getUnits()===t.getUnits();return(e.getCode()===t.getCode()||W6(e,t)===N6)&&n}function W6(e,t){var n=function(e,t){var n;return e in D6&&t in D6[e]&&(n=D6[e][t]),n}(e.getCode(),t.getCode());return n||(n=j6),n}function H6(e,t){return W6(B6(e),B6(t))}function V6(e,t,n){return H6(t,n)(e,void 0,e.length)}var G6,K6,Y6,Z6=null;function X6(){return Z6}function Q6(e,t){return e}function J6(e,t){return L6&&!B4(e,[0,0])&&e[0]>=-180&&e[0]<=180&&e[1]>=-90&&e[1]<=90&&(L6=!1,console.warn("Call useGeographic() from ol/proj once to work with [longitude, latitude] coordinates.")),e}function e7(e,t){return e}function t7(e,t){return e}function n7(e,t){return e}function r7(e,t,n){return function(r,i,o,a,s){if(r){if(!i&&!t)return r;var l=t?0:o[0]*i,u=t?0:o[1]*i,c=s?s[0]:0,d=s?s[1]:0,p=e[0]+l/2+c,f=e[2]-l/2+c,h=e[1]+u/2+d,m=e[3]-u/2+d;p>f&&(f=p=(f+p)/2),h>m&&(m=h=(m+h)/2);var g=T3(r[0],p,f),v=T3(r[1],h,m);if(a&&n&&i){var y=30*i;g+=-y*Math.log(1+Math.max(0,p-r[0])/y)+y*Math.log(1+Math.max(0,r[0]-f)/y),v+=-y*Math.log(1+Math.max(0,h-r[1])/y)+y*Math.log(1+Math.max(0,r[1]-m)/y)}return[g,v]}}}function i7(e){return e}function o7(e,t,n,r){var i=E3(t)/n[0],o=w3(t)/n[1];return r?Math.min(e,Math.max(i,o)):Math.min(e,Math.min(i,o))}function a7(e,t,n){var r=Math.min(e,t);return r*=Math.log(1+50*Math.max(0,e/t-1))/50+1,n&&(r=Math.max(r,n),r/=Math.log(1+50*Math.max(0,n/e-1))/50+1),T3(r,n/2,2*t)}function s7(e,t,n,r,i){return function(o,a,s,l){if(void 0!==o){var u=r?o7(e,r,s,i):e;return(void 0===n||n)&&l?a7(o,u,t):T3(o,t,u)}}}function l7(e){return void 0!==e?0:void 0}function u7(e){return void 0!==e?e:void 0}function c7(e){return Math.pow(e,3)}function d7(e){return 1-c7(1-e)}function p7(e){return 3*e*e-2*e*e*e}function f7(e){return e}U6(E6),U6(O6),G6=E6,K6=function(e,t,n){var r=e.length,i=n>1?n:2,o=t;void 0===o&&(o=i>2?e.slice():new Array(r));for(var a=0;a<r;a+=i){o[a]=b6*e[a]/180;var s=y6*Math.log(Math.tan(Math.PI*(+e[a+1]+90)/360));s>x6?s=x6:s<-x6&&(s=-x6),o[a+1]=s}return o},Y6=function(e,t,n){var r=e.length,i=n>1?n:2,o=t;void 0===o&&(o=i>2?e.slice():new Array(r));for(var a=0;a<r;a+=i)o[a]=180*e[a]/b6,o[a+1]=360*Math.atan(Math.exp(e[a+1]/y6))/Math.PI-90;return o},O6.forEach((function(e){G6.forEach((function(t){R6(e,t,K6),R6(t,e,Y6)}))}));const h7="XY",m7="XYM",g7="XYZM";function v7(e,t,n,r,i,o){for(var a=o||[],s=0,l=t;l<n;l+=r){var u=e[l],c=e[l+1];a[s++]=i[0]*u+i[2]*c+i[4],a[s++]=i[1]*u+i[3]*c+i[5]}return o&&a.length!=s&&(a.length=s),a}function y7(e,t,n,r,i,o,a){for(var s=a||[],l=Math.cos(i),u=Math.sin(i),c=o[0],d=o[1],p=0,f=t;f<n;f+=r){var h=e[f]-c,m=e[f+1]-d;s[p++]=c+h*l-m*u,s[p++]=d+h*u+m*l;for(var g=f+2;g<f+r;++g)s[p++]=e[g]}return a&&s.length!=p&&(s.length=p),s}function b7(e,t,n,r,i,o,a){for(var s=a||[],l=0,u=t;u<n;u+=r){s[l++]=e[u]+i,s[l++]=e[u+1]+o;for(var c=u+2;c<u+r;++c)s[l++]=e[c]}return a&&s.length!=l&&(s.length=l),s}var w7=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),_7=[1,0,0,1,0,0],x7=function(e){function t(){var t=e.call(this)||this;return t.extent_=[1/0,1/0,-1/0,-1/0],t.extentRevision_=-1,t.simplifiedGeometryMaxMinSquaredTolerance=0,t.simplifiedGeometryRevision=0,t.simplifyTransformedInternal=function(e){var t,n,r,i=!1;return function(){var o=Array.prototype.slice.call(arguments);return i&&this===r&&S9(o,n)||(i=!0,r=this,n=o,t=e.apply(this,arguments)),t}}((function(e,t,n){if(!n)return this.getSimplifiedGeometry(t);var r=this.clone();return r.applyTransform(n),r.getSimplifiedGeometry(t)})),t}return w7(t,e),t.prototype.simplifyTransformed=function(e,t){return this.simplifyTransformedInternal(this.getRevision(),e,t)},t.prototype.clone=function(){return P9()},t.prototype.closestPointXY=function(e,t,n,r){return P9()},t.prototype.containsXY=function(e,t){var n=this.getClosestPoint([e,t]);return n[0]===e&&n[1]===t},t.prototype.getClosestPoint=function(e,t){var n=t||[NaN,NaN];return this.closestPointXY(e[0],e[1],n,1/0),n},t.prototype.intersectsCoordinate=function(e){return this.containsXY(e[0],e[1])},t.prototype.computeExtent=function(e){return P9()},t.prototype.getExtent=function(e){if(this.extentRevision_!=this.getRevision()){var t=this.computeExtent(this.extent_);(isNaN(t[0])||isNaN(t[1]))&&o3(t),this.extentRevision_=this.getRevision()}return function(e,t){return t?(t[0]=e[0],t[1]=e[1],t[2]=e[2],t[3]=e[3],t):e}(this.extent_,e)},t.prototype.rotate=function(e,t){P9()},t.prototype.scale=function(e,t,n){P9()},t.prototype.simplify=function(e){return this.getSimplifiedGeometry(e*e)},t.prototype.getSimplifiedGeometry=function(e){return P9()},t.prototype.getType=function(){return P9()},t.prototype.applyTransform=function(e){P9()},t.prototype.intersectsExtent=function(e){return P9()},t.prototype.translate=function(e,t){P9()},t.prototype.transform=function(e,t){var n=B6(e),r=n.getUnits()==d6.TILE_PIXELS?function(e,r,i){var o=n.getExtent(),a=n.getWorldExtent(),s=w3(a)/w3(o);return V9(_7,a[0],a[3],s,-s,0,0,0),v7(e,0,e.length,i,_7,r),H6(n,t)(e,r,i)}:H6(n,t);return this.applyTransform(r),this},t}(w4);const k7=x7;var E7=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),C7=function(e){function t(){var t=e.call(this)||this;return t.layout=h7,t.stride=2,t.flatCoordinates=null,t}return E7(t,e),t.prototype.computeExtent=function(e){return a3(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e)},t.prototype.getCoordinates=function(){return P9()},t.prototype.getFirstCoordinate=function(){return this.flatCoordinates.slice(0,this.stride)},t.prototype.getFlatCoordinates=function(){return this.flatCoordinates},t.prototype.getLastCoordinate=function(){return this.flatCoordinates.slice(this.flatCoordinates.length-this.stride)},t.prototype.getLayout=function(){return this.layout},t.prototype.getSimplifiedGeometry=function(e){if(this.simplifiedGeometryRevision!==this.getRevision()&&(this.simplifiedGeometryMaxMinSquaredTolerance=0,this.simplifiedGeometryRevision=this.getRevision()),e<0||0!==this.simplifiedGeometryMaxMinSquaredTolerance&&e<=this.simplifiedGeometryMaxMinSquaredTolerance)return this;var t=this.getSimplifiedGeometryInternal(e);return t.getFlatCoordinates().length<this.flatCoordinates.length?t:(this.simplifiedGeometryMaxMinSquaredTolerance=e,this)},t.prototype.getSimplifiedGeometryInternal=function(e){return this},t.prototype.getStride=function(){return this.stride},t.prototype.setFlatCoordinates=function(e,t){this.stride=S7(e),this.layout=e,this.flatCoordinates=t},t.prototype.setCoordinates=function(e,t){P9()},t.prototype.setLayout=function(e,t,n){var r;if(e)r=S7(e);else{for(var i=0;i<n;++i){if(0===t.length)return this.layout=h7,void(this.stride=2);t=t[0]}e=function(e){var t;return 2==e?t=h7:3==e?t="XYZ":4==e&&(t=g7),t}(r=t.length)}this.layout=e,this.stride=r},t.prototype.applyTransform=function(e){this.flatCoordinates&&(e(this.flatCoordinates,this.flatCoordinates,this.stride),this.changed())},t.prototype.rotate=function(e,t){var n=this.getFlatCoordinates();if(n){var r=this.getStride();y7(n,0,n.length,r,e,t,n),this.changed()}},t.prototype.scale=function(e,t,n){var r=t;void 0===r&&(r=e);var i=n;i||(i=g3(this.getExtent()));var o=this.getFlatCoordinates();if(o){var a=this.getStride();!function(e,t,n,r,i,o,a,s){for(var l=s||[],u=a[0],c=a[1],d=0,p=0;p<n;p+=r){var f=e[p]-u,h=e[p+1]-c;l[d++]=u+i*f,l[d++]=c+o*h;for(var m=p+2;m<p+r;++m)l[d++]=e[m]}s&&l.length!=d&&(l.length=d)}(o,0,o.length,a,e,r,i,o),this.changed()}},t.prototype.translate=function(e,t){var n=this.getFlatCoordinates();if(n){var r=this.getStride();b7(n,0,n.length,r,e,t,n),this.changed()}},t}(k7);function S7(e){var t;return e==h7?t=2:"XYZ"==e||e==m7?t=3:e==g7&&(t=4),t}const A7=C7;function T7(e,t,n,r,i,o,a){var s,l=e[t],u=e[t+1],c=e[n]-l,d=e[n+1]-u;if(0===c&&0===d)s=t;else{var p=((i-l)*c+(o-u)*d)/(c*c+d*d);if(p>1)s=n;else{if(p>0){for(var f=0;f<r;++f)a[f]=L3(e[t+f],e[n+f],p);return void(a.length=r)}s=t}}for(f=0;f<r;++f)a[f]=e[s+f];a.length=r}function O7(e,t,n,r,i){var o=e[t],a=e[t+1];for(t+=r;t<n;t+=r){var s=e[t],l=e[t+1],u=R3(o,a,s,l);u>i&&(i=u),o=s,a=l}return i}function P7(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o){var s=n[o];i=O7(e,t,s,r,i),t=s}return i}function D7(e,t,n,r,i,o,a,s,l,u,c){if(t==n)return u;var d,p;if(0===i){if((p=R3(a,s,e[t],e[t+1]))<u){for(d=0;d<r;++d)l[d]=e[t+d];return l.length=r,p}return u}for(var f=c||[NaN,NaN],h=t+r;h<n;)if(T7(e,h-r,h,r,a,s,f),(p=R3(a,s,f[0],f[1]))<u){for(u=p,d=0;d<r;++d)l[d]=f[d];l.length=r,h+=r}else h+=r*Math.max((Math.sqrt(p)-Math.sqrt(u))/i|0,1);if(o&&(T7(e,n-r,t,r,a,s,f),(p=R3(a,s,f[0],f[1]))<u)){for(u=p,d=0;d<r;++d)l[d]=f[d];l.length=r}return u}function R7(e,t,n,r,i,o,a,s,l,u,c){for(var d=c||[NaN,NaN],p=0,f=n.length;p<f;++p){var h=n[p];u=D7(e,t,h,r,i,o,a,s,l,u,d),t=h}return u}function I7(e,t,n,r){for(var i=0,o=n.length;i<o;++i)e[t++]=n[i];return t}function M7(e,t,n,r){for(var i=0,o=n.length;i<o;++i)for(var a=n[i],s=0;s<r;++s)e[t++]=a[s];return t}function L7(e,t,n,r,i){for(var o=i||[],a=0,s=0,l=n.length;s<l;++s){var u=M7(e,t,n[s],r);o[a++]=u,t=u}return o.length=a,o}function N7(e,t,n,r,i,o,a){var s=(n-t)/r;if(s<3){for(;t<n;t+=r)o[a++]=e[t],o[a++]=e[t+1];return a}var l=new Array(s);l[0]=1,l[s-1]=1;for(var u=[t,n-r],c=0;u.length>0;){for(var d=u.pop(),p=u.pop(),f=0,h=e[p],m=e[p+1],g=e[d],v=e[d+1],y=p+r;y<d;y+=r){var b=D3(e[y],e[y+1],h,m,g,v);b>f&&(c=y,f=b)}f>i&&(l[(c-t)/r]=1,p+r<c&&u.push(p,c),c+r<d&&u.push(c,d))}for(y=0;y<s;++y)l[y]&&(o[a++]=e[t+y*r],o[a++]=e[t+y*r+1]);return a}function j7(e,t){return t*Math.round(e/t)}function F7(e,t,n,r,i,o,a){if(t==n)return a;var s,l,u=j7(e[t],i),c=j7(e[t+1],i);t+=r,o[a++]=u,o[a++]=c;do{if(s=j7(e[t],i),l=j7(e[t+1],i),(t+=r)==n)return o[a++]=s,o[a++]=l,a}while(s==u&&l==c);for(;t<n;){var d=j7(e[t],i),p=j7(e[t+1],i);if(t+=r,d!=s||p!=l){var f=s-u,h=l-c,m=d-u,g=p-c;f*g==h*m&&(f<0&&m<f||f==m||f>0&&m>f)&&(h<0&&g<h||h==g||h>0&&g>h)?(s=d,l=p):(o[a++]=s,o[a++]=l,u=s,c=l,s=d,l=p)}}return o[a++]=s,o[a++]=l,a}function B7(e,t,n,r,i,o,a,s){for(var l=0,u=n.length;l<u;++l){var c=n[l];a=F7(e,t,c,r,i,o,a),s.push(a),t=c}return a}function z7(e,t,n,r,i){for(var o=void 0!==i?i:[],a=0,s=t;s<n;s+=r)o[a++]=e.slice(s,s+r);return o.length=a,o}function U7(e,t,n,r,i){for(var o=void 0!==i?i:[],a=0,s=0,l=n.length;s<l;++s){var u=n[s];o[a++]=z7(e,t,u,r,o[a]),t=u}return o.length=a,o}function q7(e,t,n,r,i){for(var o=void 0!==i?i:[],a=0,s=0,l=n.length;s<l;++s){var u=n[s];o[a++]=U7(e,t,u,r,o[a]),t=u[u.length-1]}return o.length=a,o}function $7(e,t,n,r){for(var i=0,o=e[n-r],a=e[n-r+1];t<n;t+=r){var s=e[t],l=e[t+1];i+=a*s-o*l,o=s,a=l}return i/2}function W7(e,t,n,r){for(var i=0,o=0,a=n.length;o<a;++o){var s=n[o];i+=$7(e,t,s,r),t=s}return i}var H7=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),V7=function(e){function t(t,n){var r=e.call(this)||this;return r.maxDelta_=-1,r.maxDeltaRevision_=-1,void 0===n||Array.isArray(t[0])?r.setCoordinates(t,n):r.setFlatCoordinates(n,t),r}return H7(t,e),t.prototype.clone=function(){return new t(this.flatCoordinates.slice(),this.layout)},t.prototype.closestPointXY=function(e,t,n,r){return r<J9(this.getExtent(),e,t)?r:(this.maxDeltaRevision_!=this.getRevision()&&(this.maxDelta_=Math.sqrt(O7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,0)),this.maxDeltaRevision_=this.getRevision()),D7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,this.maxDelta_,!0,e,t,n,r))},t.prototype.getArea=function(){return $7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride)},t.prototype.getCoordinates=function(){return z7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride)},t.prototype.getSimplifiedGeometryInternal=function(e){var n=[];return n.length=N7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e,n,0),new t(n,h7)},t.prototype.getType=function(){return"LinearRing"},t.prototype.intersectsExtent=function(e){return!1},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,1),this.flatCoordinates||(this.flatCoordinates=[]),this.flatCoordinates.length=M7(this.flatCoordinates,0,e,this.stride),this.changed()},t}(A7);const G7=V7;var K7=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Y7=function(e){function t(t,n){var r=e.call(this)||this;return r.setCoordinates(t,n),r}return K7(t,e),t.prototype.clone=function(){var e=new t(this.flatCoordinates.slice(),this.layout);return e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){var i=this.flatCoordinates,o=R3(e,t,i[0],i[1]);if(o<r){for(var a=this.stride,s=0;s<a;++s)n[s]=i[s];return n.length=a,o}return r},t.prototype.getCoordinates=function(){return this.flatCoordinates?this.flatCoordinates.slice():[]},t.prototype.computeExtent=function(e){return function(e,t){var n=e[0],r=e[1];return i3(n,r,n,r,t)}(this.flatCoordinates,e)},t.prototype.getType=function(){return"Point"},t.prototype.intersectsExtent=function(e){return n3(e,this.flatCoordinates[0],this.flatCoordinates[1])},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,0),this.flatCoordinates||(this.flatCoordinates=[]),this.flatCoordinates.length=I7(this.flatCoordinates,0,e,this.stride),this.changed()},t}(A7);const Z7=Y7;function X7(e,t,n,r,i){return!p3(i,(function(i){return!Q7(e,t,n,r,i[0],i[1])}))}function Q7(e,t,n,r,i,o){for(var a=0,s=e[n-r],l=e[n-r+1];t<n;t+=r){var u=e[t],c=e[t+1];l<=o?c>o&&(u-s)*(o-l)-(i-s)*(c-l)>0&&a++:c<=o&&(u-s)*(o-l)-(i-s)*(c-l)<0&&a--,s=u,l=c}return 0!==a}function J7(e,t,n,r,i,o){if(0===n.length)return!1;if(!Q7(e,t,n[0],r,i,o))return!1;for(var a=1,s=n.length;a<s;++a)if(Q7(e,n[a-1],n[a],r,i,o))return!1;return!0}function eee(e,t,n,r,i,o,a){for(var s,l,u,c,d,p,f,h=i[o+1],m=[],g=0,v=n.length;g<v;++g){var y=n[g];for(c=e[y-r],p=e[y-r+1],s=t;s<y;s+=r)d=e[s],f=e[s+1],(h<=p&&f<=h||p<=h&&h<=f)&&(u=(h-p)/(f-p)*(d-c)+c,m.push(u)),c=d,p=f}var b=NaN,w=-1/0;for(m.sort(x9),c=m[0],s=1,l=m.length;s<l;++s){d=m[s];var _=Math.abs(d-c);_>w&&J7(e,t,n,r,u=(c+d)/2,h)&&(b=u,w=_),c=d}return isNaN(b)&&(b=i[o]),a?(a.push(b,h,w),a):[b,h,w]}function tee(e,t,n,r,i){var o;for(t+=r;t<n;t+=r)if(o=i(e.slice(t-r,t),e.slice(t,t+r)))return o;return!1}function nee(e,t,n,r,i){var o=c3([1/0,1/0,-1/0,-1/0],e,t,n,r);return!!C3(i,o)&&(!!t3(i,o)||o[0]>=i[0]&&o[2]<=i[2]||o[1]>=i[1]&&o[3]<=i[3]||tee(e,t,n,r,(function(e,t){return function(e,t,n){var r=!1,i=r3(e,t),o=r3(e,n);if(i===Y9.INTERSECTING||o===Y9.INTERSECTING)r=!0;else{var a=e[0],s=e[1],l=e[2],u=e[3],c=t[0],d=t[1],p=n[0],f=n[1],h=(f-d)/(p-c),m=void 0,g=void 0;o&Y9.ABOVE&&!(i&Y9.ABOVE)&&(r=(m=p-(f-u)/h)>=a&&m<=l),r||!(o&Y9.RIGHT)||i&Y9.RIGHT||(r=(g=f-(p-l)*h)>=s&&g<=u),r||!(o&Y9.BELOW)||i&Y9.BELOW||(r=(m=p-(f-s)/h)>=a&&m<=l),r||!(o&Y9.LEFT)||i&Y9.LEFT||(r=(g=f-(p-a)*h)>=s&&g<=u)}return r}(i,e,t)})))}function ree(e,t,n,r,i){return!!(nee(e,t,n,r,i)||Q7(e,t,n,r,i[0],i[1])||Q7(e,t,n,r,i[0],i[3])||Q7(e,t,n,r,i[2],i[1])||Q7(e,t,n,r,i[2],i[3]))}function iee(e,t,n,r,i){if(!ree(e,t,n[0],r,i))return!1;if(1===n.length)return!0;for(var o=1,a=n.length;o<a;++o)if(X7(e,n[o-1],n[o],r,i)&&!nee(e,n[o-1],n[o],r,i))return!1;return!0}function oee(e,t,n,r){for(;t<n-r;){for(var i=0;i<r;++i){var o=e[t+i];e[t+i]=e[n-r+i],e[n-r+i]=o}t+=r,n-=r}}function aee(e,t,n,r){for(var i=0,o=e[n-r],a=e[n-r+1];t<n;t+=r){var s=e[t],l=e[t+1];i+=(s-o)*(l+a),o=s,a=l}return 0===i?void 0:i>0}function see(e,t,n,r,i){for(var o=void 0!==i&&i,a=0,s=n.length;a<s;++a){var l=n[a],u=aee(e,t,l,r);if(0===a){if(o&&u||!o&&!u)return!1}else if(o&&!u||!o&&u)return!1;t=l}return!0}function lee(e,t,n,r,i){for(var o=void 0!==i&&i,a=0,s=n.length;a<s;++a){var l=n[a],u=aee(e,t,l,r);(0===a?o&&u||!o&&!u:o&&!u||!o&&u)&&oee(e,t,l,r),t=l}return t}function uee(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o)t=lee(e,t,n[o],r,i);return t}var cee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),dee=function(e){function t(t,n,r){var i=e.call(this)||this;return i.ends_=[],i.flatInteriorPointRevision_=-1,i.flatInteriorPoint_=null,i.maxDelta_=-1,i.maxDeltaRevision_=-1,i.orientedRevision_=-1,i.orientedFlatCoordinates_=null,void 0!==n&&r?(i.setFlatCoordinates(n,t),i.ends_=r):i.setCoordinates(t,n),i}return cee(t,e),t.prototype.appendLinearRing=function(e){this.flatCoordinates?C9(this.flatCoordinates,e.getFlatCoordinates()):this.flatCoordinates=e.getFlatCoordinates().slice(),this.ends_.push(this.flatCoordinates.length),this.changed()},t.prototype.clone=function(){var e=new t(this.flatCoordinates.slice(),this.layout,this.ends_.slice());return e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){return r<J9(this.getExtent(),e,t)?r:(this.maxDeltaRevision_!=this.getRevision()&&(this.maxDelta_=Math.sqrt(P7(this.flatCoordinates,0,this.ends_,this.stride,0)),this.maxDeltaRevision_=this.getRevision()),R7(this.flatCoordinates,0,this.ends_,this.stride,this.maxDelta_,!0,e,t,n,r))},t.prototype.containsXY=function(e,t){return J7(this.getOrientedFlatCoordinates(),0,this.ends_,this.stride,e,t)},t.prototype.getArea=function(){return W7(this.getOrientedFlatCoordinates(),0,this.ends_,this.stride)},t.prototype.getCoordinates=function(e){var t;return void 0!==e?lee(t=this.getOrientedFlatCoordinates().slice(),0,this.ends_,this.stride,e):t=this.flatCoordinates,U7(t,0,this.ends_,this.stride)},t.prototype.getEnds=function(){return this.ends_},t.prototype.getFlatInteriorPoint=function(){if(this.flatInteriorPointRevision_!=this.getRevision()){var e=g3(this.getExtent());this.flatInteriorPoint_=eee(this.getOrientedFlatCoordinates(),0,this.ends_,this.stride,e,0),this.flatInteriorPointRevision_=this.getRevision()}return this.flatInteriorPoint_},t.prototype.getInteriorPoint=function(){return new Z7(this.getFlatInteriorPoint(),m7)},t.prototype.getLinearRingCount=function(){return this.ends_.length},t.prototype.getLinearRing=function(e){return e<0||this.ends_.length<=e?null:new G7(this.flatCoordinates.slice(0===e?0:this.ends_[e-1],this.ends_[e]),this.layout)},t.prototype.getLinearRings=function(){for(var e=this.layout,t=this.flatCoordinates,n=this.ends_,r=[],i=0,o=0,a=n.length;o<a;++o){var s=n[o],l=new G7(t.slice(i,s),e);r.push(l),i=s}return r},t.prototype.getOrientedFlatCoordinates=function(){if(this.orientedRevision_!=this.getRevision()){var e=this.flatCoordinates;see(e,0,this.ends_,this.stride)?this.orientedFlatCoordinates_=e:(this.orientedFlatCoordinates_=e.slice(),this.orientedFlatCoordinates_.length=lee(this.orientedFlatCoordinates_,0,this.ends_,this.stride)),this.orientedRevision_=this.getRevision()}return this.orientedFlatCoordinates_},t.prototype.getSimplifiedGeometryInternal=function(e){var n=[],r=[];return n.length=B7(this.flatCoordinates,0,this.ends_,this.stride,Math.sqrt(e),n,0,r),new t(n,h7,r)},t.prototype.getType=function(){return"Polygon"},t.prototype.intersectsExtent=function(e){return iee(this.getOrientedFlatCoordinates(),0,this.ends_,this.stride,e)},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,2),this.flatCoordinates||(this.flatCoordinates=[]);var n=L7(this.flatCoordinates,0,e,this.stride,this.ends_);this.flatCoordinates.length=0===n.length?0:n[n.length-1],this.changed()},t}(A7);const pee=dee;function fee(e){var t=e[0],n=e[1],r=e[2],i=e[3],o=[t,n,t,i,r,i,r,n,t,n];return new dee(o,h7,[o.length])}var hee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),mee=function(e){function t(t){var n=e.call(this)||this;n.on,n.once,n.un;var r,i=X3({},t);return n.hints_=[0,0],n.animations_=[],n.updateAnimationKey_,n.projection_=q6(i.projection,"EPSG:3857"),n.viewportSize_=[100,100],n.targetCenter_=null,n.targetResolution_,n.targetRotation_,n.nextCenter_=null,n.nextResolution_,n.nextRotation_,n.cancelAnchor_=void 0,i.projection&&(L6=!(void 0===r||r)),i.center&&(i.center=J6(i.center,n.projection_)),i.extent&&(i.extent=t7(i.extent,n.projection_)),n.applyOptions_(i),n}return hee(t,e),t.prototype.applyOptions_=function(e){var t=X3({},e);for(var n in p6)delete t[n];this.setProperties(t,!0);var r=function(e){var t,n,r,i=void 0!==e.minZoom?e.minZoom:0,o=void 0!==e.maxZoom?e.maxZoom:28,a=void 0!==e.zoomFactor?e.zoomFactor:2,s=void 0!==e.multiWorld&&e.multiWorld,l=void 0===e.smoothResolutionConstraint||e.smoothResolutionConstraint,u=void 0!==e.showFullExtent&&e.showFullExtent,c=q6(e.projection,"EPSG:3857"),d=c.getExtent(),p=e.constrainOnlyCenter,f=e.extent;if(s||f||!c.isGlobal()||(p=!1,f=d),void 0!==e.resolutions){var h=e.resolutions;n=h[i],r=void 0!==h[o]?h[o]:h[h.length-1],t=e.constrainResolution?function(e,t,n,r){return function(i,o,a,s){if(void 0!==i){var l=e[0],u=e[e.length-1],c=n?o7(l,n,a,r):l;if(s)return void 0===t||t?a7(i,c,u):T3(i,u,c);var d=Math.min(c,i),p=Math.floor(k9(e,d,o));return e[p]>c&&p<e.length-1?e[p+1]:e[p]}}}(h,l,!p&&f,u):s7(n,r,l,!p&&f,u)}else{var m=(d?Math.max(E3(d),w3(d)):360*c6[d6.DEGREES]/c.getMetersPerUnit())/h6/Math.pow(2,0),g=m/Math.pow(2,28);void 0!==(n=e.maxResolution)?i=0:n=m/Math.pow(a,i),void 0===(r=e.minResolution)&&(r=void 0!==e.maxZoom?void 0!==e.maxResolution?n/Math.pow(a,o):m/Math.pow(a,o):g),o=i+Math.floor(Math.log(n/r)/Math.log(a)),r=n/Math.pow(a,o-i),t=e.constrainResolution?function(e,t,n,r,i,o){return function(a,s,l,u){if(void 0!==a){var c=i?o7(t,i,l,o):t,d=void 0!==n?n:0;if(u)return void 0===r||r?a7(a,c,d):T3(a,d,c);var p=Math.ceil(Math.log(t/c)/Math.log(e)-1e-9),f=-s*(.5-1e-9)+.5,h=Math.min(c,a),m=Math.floor(Math.log(t/h)/Math.log(e)+f),g=Math.max(p,m);return T3(t/Math.pow(e,g),d,c)}}}(a,n,r,l,!p&&f,u):s7(n,r,l,!p&&f,u)}return{constraint:t,maxResolution:n,minResolution:r,minZoom:i,zoomFactor:a}}(e);this.maxResolution_=r.maxResolution,this.minResolution_=r.minResolution,this.zoomFactor_=r.zoomFactor,this.resolutions_=e.resolutions,this.padding_=e.padding,this.minZoom_=r.minZoom;var i=function(e){if(void 0!==e.extent){var t=void 0===e.smoothExtentConstraint||e.smoothExtentConstraint;return r7(e.extent,e.constrainOnlyCenter,t)}var n=q6(e.projection,"EPSG:3857");if(!0!==e.multiWorld&&n.isGlobal()){var r=n.getExtent().slice();return r[0]=-1/0,r[2]=1/0,r7(r,!1,!1)}return i7}(e),o=r.constraint,a=function(e){var t;if(void 0===e.enableRotation||e.enableRotation){var n=e.constrainRotation;return void 0===n||!0===n?(t=I3(5),function(e,n){return n?e:void 0!==e?Math.abs(e)<=t?0:e:void 0}):!1===n?u7:"number"==typeof n?function(e){var t=2*Math.PI/e;return function(e,n){return n?e:void 0!==e?e=Math.floor(e/t+.5)*t:void 0}}(n):u7}return l7}(e);this.constraints_={center:i,resolution:o,rotation:a},this.setRotation(void 0!==e.rotation?e.rotation:0),this.setCenterInternal(void 0!==e.center?e.center:null),void 0!==e.resolution?this.setResolution(e.resolution):void 0!==e.zoom&&this.setZoom(e.zoom)},Object.defineProperty(t.prototype,"padding",{get:function(){return this.padding_},set:function(e){var t=this.padding_;this.padding_=e;var n=this.getCenter();if(n){var r=e||[0,0,0,0];t=t||[0,0,0,0];var i=this.getResolution(),o=i/2*(r[3]-t[3]+t[1]-r[1]),a=i/2*(r[0]-t[0]+t[2]-r[2]);this.setCenterInternal([n[0]+o,n[1]-a])}},enumerable:!1,configurable:!0}),t.prototype.getUpdatedOptions_=function(e){var t=this.getProperties();return void 0!==t.resolution?t.resolution=this.getResolution():t.zoom=this.getZoom(),t.center=this.getCenterInternal(),t.rotation=this.getRotation(),X3({},t,e)},t.prototype.animate=function(e){this.isDef()&&!this.getAnimating()&&this.resolveConstraints(0);for(var t=new Array(arguments.length),n=0;n<t.length;++n){var r=arguments[n];r.center&&((r=X3({},r)).center=J6(r.center,this.getProjection())),r.anchor&&((r=X3({},r)).anchor=J6(r.anchor,this.getProjection())),t[n]=r}this.animateInternal.apply(this,t)},t.prototype.animateInternal=function(e){var t,n=arguments.length;n>1&&"function"==typeof arguments[n-1]&&(t=arguments[n-1],--n);for(var r=0;r<n&&!this.isDef();++r){var i=arguments[r];i.center&&this.setCenterInternal(i.center),void 0!==i.zoom?this.setZoom(i.zoom):i.resolution&&this.setResolution(i.resolution),void 0!==i.rotation&&this.setRotation(i.rotation)}if(r!==n){for(var o=Date.now(),a=this.targetCenter_.slice(),s=this.targetResolution_,l=this.targetRotation_,u=[];r<n;++r){var c=arguments[r],d={start:o,complete:!1,anchor:c.anchor,duration:void 0!==c.duration?c.duration:1e3,easing:c.easing||p7,callback:t};if(c.center&&(d.sourceCenter=a,d.targetCenter=c.center.slice(),a=d.targetCenter),void 0!==c.zoom?(d.sourceResolution=s,d.targetResolution=this.getResolutionForZoom(c.zoom),s=d.targetResolution):c.resolution&&(d.sourceResolution=s,d.targetResolution=c.resolution,s=d.targetResolution),void 0!==c.rotation){d.sourceRotation=l;var p=M3(c.rotation-l+Math.PI,2*Math.PI)-Math.PI;d.targetRotation=l+p,l=d.targetRotation}vee(d)?d.complete=!0:o+=d.duration,u.push(d)}this.animations_.push(u),this.setHint(0,1),this.updateAnimations_()}else t&&gee(t,!0)},t.prototype.getAnimating=function(){return this.hints_[0]>0},t.prototype.getInteracting=function(){return this.hints_[1]>0},t.prototype.cancelAnimations=function(){var e;this.setHint(0,-this.hints_[0]);for(var t=0,n=this.animations_.length;t<n;++t){var r=this.animations_[t];if(r[0].callback&&gee(r[0].callback,!1),!e)for(var i=0,o=r.length;i<o;++i){var a=r[i];if(!a.complete){e=a.anchor;break}}}this.animations_.length=0,this.cancelAnchor_=e,this.nextCenter_=null,this.nextResolution_=NaN,this.nextRotation_=NaN},t.prototype.updateAnimations_=function(){if(void 0!==this.updateAnimationKey_&&(cancelAnimationFrame(this.updateAnimationKey_),this.updateAnimationKey_=void 0),this.getAnimating()){for(var e=Date.now(),t=!1,n=this.animations_.length-1;n>=0;--n){for(var r=this.animations_[n],i=!0,o=0,a=r.length;o<a;++o){var s=r[o];if(!s.complete){var l=e-s.start,u=s.duration>0?l/s.duration:1;u>=1?(s.complete=!0,u=1):i=!1;var c=s.easing(u);if(s.sourceCenter){var d=s.sourceCenter[0],p=s.sourceCenter[1],f=s.targetCenter[0],h=s.targetCenter[1];this.nextCenter_=s.targetCenter;var m=d+c*(f-d),g=p+c*(h-p);this.targetCenter_=[m,g]}if(s.sourceResolution&&s.targetResolution){var v=1===c?s.targetResolution:s.sourceResolution+c*(s.targetResolution-s.sourceResolution);if(s.anchor){var y=this.getViewportSize_(this.getRotation()),b=this.constraints_.resolution(v,0,y,!0);this.targetCenter_=this.calculateCenterZoom(b,s.anchor)}this.nextResolution_=s.targetResolution,this.targetResolution_=v,this.applyTargetState_(!0)}if(void 0!==s.sourceRotation&&void 0!==s.targetRotation){var w=1===c?M3(s.targetRotation+Math.PI,2*Math.PI)-Math.PI:s.sourceRotation+c*(s.targetRotation-s.sourceRotation);if(s.anchor){var _=this.constraints_.rotation(w,!0);this.targetCenter_=this.calculateCenterRotate(_,s.anchor)}this.nextRotation_=s.targetRotation,this.targetRotation_=w}if(this.applyTargetState_(!0),t=!0,!s.complete)break}}if(i){this.animations_[n]=null,this.setHint(0,-1),this.nextCenter_=null,this.nextResolution_=NaN,this.nextRotation_=NaN;var x=r[0].callback;x&&gee(x,!0)}}this.animations_=this.animations_.filter(Boolean),t&&void 0===this.updateAnimationKey_&&(this.updateAnimationKey_=requestAnimationFrame(this.updateAnimations_.bind(this)))}},t.prototype.calculateCenterRotate=function(e,t){var n,r,i,o=this.getCenterInternal();return void 0!==o&&(z4(n=[o[0]-t[0],o[1]-t[1]],e-this.getRotation()),i=t,(r=n)[0]+=+i[0],r[1]+=+i[1]),n},t.prototype.calculateCenterZoom=function(e,t){var n,r=this.getCenterInternal(),i=this.getResolution();return void 0!==r&&void 0!==i&&(n=[t[0]-e*(t[0]-r[0])/i,t[1]-e*(t[1]-r[1])/i]),n},t.prototype.getViewportSize_=function(e){var t=this.viewportSize_;if(e){var n=t[0],r=t[1];return[Math.abs(n*Math.cos(e))+Math.abs(r*Math.sin(e)),Math.abs(n*Math.sin(e))+Math.abs(r*Math.cos(e))]}return t},t.prototype.setViewportSize=function(e){this.viewportSize_=Array.isArray(e)?e.slice():[100,100],this.getAnimating()||this.resolveConstraints(0)},t.prototype.getCenter=function(){var e=this.getCenterInternal();return e?Q6(e,this.getProjection()):e},t.prototype.getCenterInternal=function(){return this.get(p6.CENTER)},t.prototype.getConstraints=function(){return this.constraints_},t.prototype.getConstrainResolution=function(){return this.get("constrainResolution")},t.prototype.getHints=function(e){return void 0!==e?(e[0]=this.hints_[0],e[1]=this.hints_[1],e):this.hints_.slice()},t.prototype.calculateExtent=function(e){return e7(this.calculateExtentInternal(e),this.getProjection())},t.prototype.calculateExtentInternal=function(e){var t=e||this.getViewportSizeMinusPadding_(),n=this.getCenterInternal();$9(n,1);var r=this.getResolution();$9(void 0!==r,2);var i=this.getRotation();return $9(void 0!==i,3),y3(n,r,i,t)},t.prototype.getMaxResolution=function(){return this.maxResolution_},t.prototype.getMinResolution=function(){return this.minResolution_},t.prototype.getMaxZoom=function(){return this.getZoomForResolution(this.minResolution_)},t.prototype.setMaxZoom=function(e){this.applyOptions_(this.getUpdatedOptions_({maxZoom:e}))},t.prototype.getMinZoom=function(){return this.getZoomForResolution(this.maxResolution_)},t.prototype.setMinZoom=function(e){this.applyOptions_(this.getUpdatedOptions_({minZoom:e}))},t.prototype.setConstrainResolution=function(e){this.applyOptions_(this.getUpdatedOptions_({constrainResolution:e}))},t.prototype.getProjection=function(){return this.projection_},t.prototype.getResolution=function(){return this.get(p6.RESOLUTION)},t.prototype.getResolutions=function(){return this.resolutions_},t.prototype.getResolutionForExtent=function(e,t){return this.getResolutionForExtentInternal(t7(e,this.getProjection()),t)},t.prototype.getResolutionForExtentInternal=function(e,t){var n=t||this.getViewportSizeMinusPadding_(),r=E3(e)/n[0],i=w3(e)/n[1];return Math.max(r,i)},t.prototype.getResolutionForValueFunction=function(e){var t=e||2,n=this.getConstrainedResolution(this.maxResolution_),r=this.minResolution_,i=Math.log(n/r)/Math.log(t);return function(e){return n/Math.pow(t,e*i)}},t.prototype.getRotation=function(){return this.get(p6.ROTATION)},t.prototype.getValueForResolutionFunction=function(e){var t=Math.log(e||2),n=this.getConstrainedResolution(this.maxResolution_),r=this.minResolution_,i=Math.log(n/r)/t;return function(e){return Math.log(n/e)/t/i}},t.prototype.getViewportSizeMinusPadding_=function(e){var t=this.getViewportSize_(e),n=this.padding_;return n&&(t=[t[0]-n[1]-n[3],t[1]-n[0]-n[2]]),t},t.prototype.getState=function(){var e=this.getProjection(),t=this.getResolution(),n=this.getRotation(),r=this.getCenterInternal(),i=this.padding_;if(i){var o=this.getViewportSizeMinusPadding_();r=yee(r,this.getViewportSize_(),[o[0]/2+i[3],o[1]/2+i[0]],t,n)}return{center:r.slice(0),projection:void 0!==e?e:null,resolution:t,nextCenter:this.nextCenter_,nextResolution:this.nextResolution_,nextRotation:this.nextRotation_,rotation:n,zoom:this.getZoom()}},t.prototype.getZoom=function(){var e,t=this.getResolution();return void 0!==t&&(e=this.getZoomForResolution(t)),e},t.prototype.getZoomForResolution=function(e){var t,n,r=this.minZoom_||0;if(this.resolutions_){var i=k9(this.resolutions_,e,1);r=i,t=this.resolutions_[i],n=i==this.resolutions_.length-1?2:t/this.resolutions_[i+1]}else t=this.maxResolution_,n=this.zoomFactor_;return r+Math.log(t/e)/Math.log(n)},t.prototype.getResolutionForZoom=function(e){if(this.resolutions_){if(this.resolutions_.length<=1)return 0;var t=T3(Math.floor(e),0,this.resolutions_.length-2),n=this.resolutions_[t]/this.resolutions_[t+1];return this.resolutions_[t]/Math.pow(n,T3(e-t,0,1))}return this.maxResolution_/Math.pow(this.zoomFactor_,e-this.minZoom_)},t.prototype.fit=function(e,t){var n;if($9(Array.isArray(e)||"function"==typeof e.getSimplifiedGeometry,24),Array.isArray(e))$9(!S3(e),25),n=fee(r=t7(e,this.getProjection()));else if("Circle"===e.getType()){var r;(n=fee(r=t7(e.getExtent(),this.getProjection()))).rotate(this.getRotation(),g3(r))}else{var i=X6();n=i?e.clone().transform(i,this.getProjection()):e}this.fitInternal(n,t)},t.prototype.rotatedExtentForGeometry=function(e){for(var t=this.getRotation(),n=Math.cos(t),r=Math.sin(-t),i=e.getFlatCoordinates(),o=e.getStride(),a=1/0,s=1/0,l=-1/0,u=-1/0,c=0,d=i.length;c<d;c+=o){var p=i[c]*n-i[c+1]*r,f=i[c]*r+i[c+1]*n;a=Math.min(a,p),s=Math.min(s,f),l=Math.max(l,p),u=Math.max(u,f)}return[a,s,l,u]},t.prototype.fitInternal=function(e,t){var n=t||{},r=n.size;r||(r=this.getViewportSizeMinusPadding_());var i,o=void 0!==n.padding?n.padding:[0,0,0,0],a=void 0!==n.nearest&&n.nearest;i=void 0!==n.minResolution?n.minResolution:void 0!==n.maxZoom?this.getResolutionForZoom(n.maxZoom):0;var s=this.rotatedExtentForGeometry(e),l=this.getResolutionForExtentInternal(s,[r[0]-o[1]-o[3],r[1]-o[0]-o[2]]);l=isNaN(l)?i:Math.max(l,i),l=this.getConstrainedResolution(l,a?0:1);var u=this.getRotation(),c=Math.sin(u),d=Math.cos(u),p=g3(s);p[0]+=(o[1]-o[3])/2*l,p[1]+=(o[0]-o[2])/2*l;var f=p[0]*d-p[1]*c,h=p[1]*d+p[0]*c,m=this.getConstrainedCenter([f,h],l),g=n.callback?n.callback:O9;void 0!==n.duration?this.animateInternal({resolution:l,center:m,duration:n.duration,easing:n.easing},g):(this.targetResolution_=l,this.targetCenter_=m,this.applyTargetState_(!1,!0),gee(g,!0))},t.prototype.centerOn=function(e,t,n){this.centerOnInternal(J6(e,this.getProjection()),t,n)},t.prototype.centerOnInternal=function(e,t,n){this.setCenterInternal(yee(e,t,n,this.getResolution(),this.getRotation()))},t.prototype.calculateCenterShift=function(e,t,n,r){var i,o=this.padding_;if(o&&e){var a=this.getViewportSizeMinusPadding_(-n),s=yee(e,r,[a[0]/2+o[3],a[1]/2+o[0]],t,n);i=[e[0]-s[0],e[1]-s[1]]}return i},t.prototype.isDef=function(){return!!this.getCenterInternal()&&void 0!==this.getResolution()},t.prototype.adjustCenter=function(e){var t=Q6(this.targetCenter_,this.getProjection());this.setCenter([t[0]+e[0],t[1]+e[1]])},t.prototype.adjustCenterInternal=function(e){var t=this.targetCenter_;this.setCenterInternal([t[0]+e[0],t[1]+e[1]])},t.prototype.adjustResolution=function(e,t){var n=t&&J6(t,this.getProjection());this.adjustResolutionInternal(e,n)},t.prototype.adjustResolutionInternal=function(e,t){var n=this.getAnimating()||this.getInteracting(),r=this.getViewportSize_(this.getRotation()),i=this.constraints_.resolution(this.targetResolution_*e,0,r,n);t&&(this.targetCenter_=this.calculateCenterZoom(i,t)),this.targetResolution_*=e,this.applyTargetState_()},t.prototype.adjustZoom=function(e,t){this.adjustResolution(Math.pow(this.zoomFactor_,-e),t)},t.prototype.adjustRotation=function(e,t){t&&(t=J6(t,this.getProjection())),this.adjustRotationInternal(e,t)},t.prototype.adjustRotationInternal=function(e,t){var n=this.getAnimating()||this.getInteracting(),r=this.constraints_.rotation(this.targetRotation_+e,n);t&&(this.targetCenter_=this.calculateCenterRotate(r,t)),this.targetRotation_+=e,this.applyTargetState_()},t.prototype.setCenter=function(e){this.setCenterInternal(e?J6(e,this.getProjection()):e)},t.prototype.setCenterInternal=function(e){this.targetCenter_=e,this.applyTargetState_()},t.prototype.setHint=function(e,t){return this.hints_[e]+=t,this.changed(),this.hints_[e]},t.prototype.setResolution=function(e){this.targetResolution_=e,this.applyTargetState_()},t.prototype.setRotation=function(e){this.targetRotation_=e,this.applyTargetState_()},t.prototype.setZoom=function(e){this.setResolution(this.getResolutionForZoom(e))},t.prototype.applyTargetState_=function(e,t){var n=this.getAnimating()||this.getInteracting()||t,r=this.constraints_.rotation(this.targetRotation_,n),i=this.getViewportSize_(r),o=this.constraints_.resolution(this.targetResolution_,0,i,n),a=this.constraints_.center(this.targetCenter_,o,i,n,this.calculateCenterShift(this.targetCenter_,o,r,i));this.get(p6.ROTATION)!==r&&this.set(p6.ROTATION,r),this.get(p6.RESOLUTION)!==o&&(this.set(p6.RESOLUTION,o),this.set("zoom",this.getZoom(),!0)),a&&this.get(p6.CENTER)&&B4(this.get(p6.CENTER),a)||this.set(p6.CENTER,a),this.getAnimating()&&!e&&this.cancelAnimations(),this.cancelAnchor_=void 0},t.prototype.resolveConstraints=function(e,t,n){var r=void 0!==e?e:200,i=t||0,o=this.constraints_.rotation(this.targetRotation_),a=this.getViewportSize_(o),s=this.constraints_.resolution(this.targetResolution_,i,a),l=this.constraints_.center(this.targetCenter_,s,a,!1,this.calculateCenterShift(this.targetCenter_,s,o,a));if(0===r&&!this.cancelAnchor_)return this.targetResolution_=s,this.targetRotation_=o,this.targetCenter_=l,void this.applyTargetState_();var u=n||(0===r?this.cancelAnchor_:void 0);this.cancelAnchor_=void 0,this.getResolution()===s&&this.getRotation()===o&&this.getCenterInternal()&&B4(this.getCenterInternal(),l)||(this.getAnimating()&&this.cancelAnimations(),this.animateInternal({rotation:o,center:l,resolution:s,duration:r,easing:d7,anchor:u}))},t.prototype.beginInteraction=function(){this.resolveConstraints(0),this.setHint(1,1)},t.prototype.endInteraction=function(e,t,n){var r=n&&J6(n,this.getProjection());this.endInteractionInternal(e,t,r)},t.prototype.endInteractionInternal=function(e,t,n){this.setHint(1,-1),this.resolveConstraints(e,t,n)},t.prototype.getConstrainedCenter=function(e,t){var n=this.getViewportSize_(this.getRotation());return this.constraints_.center(e,t||this.getResolution(),n)},t.prototype.getConstrainedZoom=function(e,t){var n=this.getResolutionForZoom(e);return this.getZoomForResolution(this.getConstrainedResolution(n,t))},t.prototype.getConstrainedResolution=function(e,t){var n=t||0,r=this.getViewportSize_(this.getRotation());return this.constraints_.resolution(e,n,r)},t}(w4);function gee(e,t){setTimeout((function(){e(t)}),0)}function vee(e){return!(e.sourceCenter&&e.targetCenter&&!B4(e.sourceCenter,e.targetCenter))&&e.sourceResolution===e.targetResolution&&e.sourceRotation===e.targetRotation}function yee(e,t,n,r,i){var o=Math.cos(-i),a=Math.sin(-i),s=e[0]*o-e[1]*a,l=e[1]*o+e[0]*a;return[(s+=(t[0]/2-n[0])*r)*o-(l+=(n[1]-t[1]/2)*r)*(a=-a),l*o+s*a]}const bee=mee;function wee(e){return e[0]>0&&e[1]>0}function _ee(e,t){return Array.isArray(e)?e:(void 0===t?t=[e,e]:(t[0]=e,t[1]=e),t)}var xee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();function kee(e){e instanceof F4?e.setMapInternal(null):e instanceof F8&&e.getLayers().forEach(kee)}function Eee(e,t){if(e instanceof F4)e.setMapInternal(t);else if(e instanceof F8)for(var n=e.getLayers().getArray(),r=0,i=n.length;r<i;++r)Eee(n[r],t)}var Cee=function(e){function t(t){var n=e.call(this)||this;n.on,n.once,n.un;var r=function(e){var t=null;void 0!==e.keyboardEventTarget&&(t="string"==typeof e.keyboardEventTarget?document.getElementById(e.keyboardEventTarget):e.keyboardEventTarget);var n,r,i,o={},a=e.layers&&"function"==typeof e.layers.getLayers?e.layers:new F8({layers:e.layers});return o[J8]=a,o[t6]=e.target,o[n6]=e.view instanceof bee?e.view:new bee,void 0!==e.controls&&(Array.isArray(e.controls)?n=new I8(e.controls.slice()):($9("function"==typeof e.controls.getArray,47),n=e.controls)),void 0!==e.interactions&&(Array.isArray(e.interactions)?r=new I8(e.interactions.slice()):($9("function"==typeof e.interactions.getArray,48),r=e.interactions)),void 0!==e.overlays?Array.isArray(e.overlays)?i=new I8(e.overlays.slice()):($9("function"==typeof e.overlays.getArray,49),i=e.overlays):i=new I8,{controls:n,interactions:r,keyboardEventTarget:t,overlays:i,values:o}}(t);n.renderComplete_,n.loaded_=!0,n.boundHandleBrowserEvent_=n.handleBrowserEvent.bind(n),n.maxTilesLoading_=void 0!==t.maxTilesLoading?t.maxTilesLoading:16,n.pixelRatio_=void 0!==t.pixelRatio?t.pixelRatio:j9,n.postRenderTimeoutHandle_,n.animationDelayKey_,n.animationDelay_=function(){this.animationDelayKey_=void 0,this.renderFrame_(Date.now())}.bind(n),n.coordinateToPixelTransform_=[1,0,0,1,0,0],n.pixelToCoordinateTransform_=[1,0,0,1,0,0],n.frameIndex_=0,n.frameState_=null,n.previousExtent_=null,n.viewPropertyListenerKey_=null,n.viewChangeListenerKey_=null,n.layerGroupPropertyListenerKeys_=null,n.viewport_=document.createElement("div"),n.viewport_.className="ol-viewport"+("ontouchstart"in window?" ol-touch":""),n.viewport_.style.position="relative",n.viewport_.style.overflow="hidden",n.viewport_.style.width="100%",n.viewport_.style.height="100%",n.overlayContainer_=document.createElement("div"),n.overlayContainer_.style.position="absolute",n.overlayContainer_.style.zIndex="0",n.overlayContainer_.style.width="100%",n.overlayContainer_.style.height="100%",n.overlayContainer_.style.pointerEvents="none",n.overlayContainer_.className="ol-overlaycontainer",n.viewport_.appendChild(n.overlayContainer_),n.overlayContainerStopEvent_=document.createElement("div"),n.overlayContainerStopEvent_.style.position="absolute",n.overlayContainerStopEvent_.style.zIndex="0",n.overlayContainerStopEvent_.style.width="100%",n.overlayContainerStopEvent_.style.height="100%",n.overlayContainerStopEvent_.style.pointerEvents="none",n.overlayContainerStopEvent_.className="ol-overlaycontainer-stopevent",n.viewport_.appendChild(n.overlayContainerStopEvent_),n.mapBrowserEventHandler_=null,n.moveTolerance_=t.moveTolerance,n.keyboardEventTarget_=r.keyboardEventTarget,n.targetChangeHandlerKeys_=null,n.controls=r.controls||new I8,n.interactions=r.interactions||new I8,n.overlays_=r.overlays,n.overlayIdIndex_={},n.renderer_=null,n.postRenderFunctions_=[],n.tileQueue_=new l6(n.getTilePriority.bind(n),n.handleTileChange_.bind(n)),n.addChangeListener(J8,n.handleLayerGroupChanged_),n.addChangeListener(n6,n.handleViewChanged_),n.addChangeListener(e6,n.handleSizeChanged_),n.addChangeListener(t6,n.handleTargetChanged_),n.setProperties(r.values);var i=n;return!t.view||t.view instanceof bee||t.view.then((function(e){i.setView(new bee(e))})),n.controls.addEventListener(A8,function(e){e.element.setMap(this)}.bind(n)),n.controls.addEventListener(T8,function(e){e.element.setMap(null)}.bind(n)),n.interactions.addEventListener(A8,function(e){e.element.setMap(this)}.bind(n)),n.interactions.addEventListener(T8,function(e){e.element.setMap(null)}.bind(n)),n.overlays_.addEventListener(A8,function(e){this.addOverlayInternal_(e.element)}.bind(n)),n.overlays_.addEventListener(T8,function(e){var t=e.element.getId();void 0!==t&&delete this.overlayIdIndex_[t.toString()],e.element.setMap(null)}.bind(n)),n.controls.forEach(function(e){e.setMap(this)}.bind(n)),n.interactions.forEach(function(e){e.setMap(this)}.bind(n)),n.overlays_.forEach(n.addOverlayInternal_.bind(n)),n}return xee(t,e),t.prototype.createRenderer=function(){throw new Error("Use a map type that has a createRenderer method")},t.prototype.addControl=function(e){this.getControls().push(e)},t.prototype.addInteraction=function(e){this.getInteractions().push(e)},t.prototype.addLayer=function(e){this.getLayerGroup().getLayers().push(e)},t.prototype.handleLayerAdd_=function(e){Eee(e.layer,this)},t.prototype.addOverlay=function(e){this.getOverlays().push(e)},t.prototype.addOverlayInternal_=function(e){var t=e.getId();void 0!==t&&(this.overlayIdIndex_[t.toString()]=e),e.setMap(this)},t.prototype.disposeInternal=function(){this.controls.clear(),this.interactions.clear(),this.overlays_.clear(),this.setTarget(null),e.prototype.disposeInternal.call(this)},t.prototype.forEachFeatureAtPixel=function(e,t,n){if(this.frameState_&&this.renderer_){var r=this.getCoordinateFromPixelInternal(e),i=void 0!==(n=void 0!==n?n:{}).hitTolerance?n.hitTolerance:0,o=void 0!==n.layerFilter?n.layerFilter:A9,a=!1!==n.checkWrapped;return this.renderer_.forEachFeatureAtCoordinate(r,this.frameState_,i,a,t,null,o,null)}},t.prototype.getFeaturesAtPixel=function(e,t){var n=[];return this.forEachFeatureAtPixel(e,(function(e){n.push(e)}),t),n},t.prototype.getAllLayers=function(){var e=[];return function t(n){n.forEach((function(n){n instanceof F8?t(n.getLayers()):e.push(n)}))}(this.getLayers()),e},t.prototype.forEachLayerAtPixel=function(e,t,n){if(this.frameState_&&this.renderer_){var r=n||{},i=void 0!==r.hitTolerance?r.hitTolerance:0,o=r.layerFilter||A9;return this.renderer_.forEachLayerAtPixel(e,this.frameState_,i,t,o)}},t.prototype.hasFeatureAtPixel=function(e,t){if(!this.frameState_||!this.renderer_)return!1;var n=this.getCoordinateFromPixelInternal(e),r=void 0!==(t=void 0!==t?t:{}).layerFilter?t.layerFilter:A9,i=void 0!==t.hitTolerance?t.hitTolerance:0,o=!1!==t.checkWrapped;return this.renderer_.hasFeatureAtCoordinate(n,this.frameState_,i,o,r,null)},t.prototype.getEventCoordinate=function(e){return this.getCoordinateFromPixel(this.getEventPixel(e))},t.prototype.getEventCoordinateInternal=function(e){return this.getCoordinateFromPixelInternal(this.getEventPixel(e))},t.prototype.getEventPixel=function(e){var t=this.viewport_.getBoundingClientRect(),n="changedTouches"in e?e.changedTouches[0]:e;return[n.clientX-t.left,n.clientY-t.top]},t.prototype.getTarget=function(){return this.get(t6)},t.prototype.getTargetElement=function(){var e=this.getTarget();return void 0!==e?"string"==typeof e?document.getElementById(e):e:null},t.prototype.getCoordinateFromPixel=function(e){return Q6(this.getCoordinateFromPixelInternal(e),this.getView().getProjection())},t.prototype.getCoordinateFromPixelInternal=function(e){var t=this.frameState_;return t?H9(t.pixelToCoordinateTransform,e.slice()):null},t.prototype.getControls=function(){return this.controls},t.prototype.getOverlays=function(){return this.overlays_},t.prototype.getOverlayById=function(e){var t=this.overlayIdIndex_[e.toString()];return void 0!==t?t:null},t.prototype.getInteractions=function(){return this.interactions},t.prototype.getLayerGroup=function(){return this.get(J8)},t.prototype.setLayers=function(e){var t=this.getLayerGroup();if(e instanceof I8)t.setLayers(e);else{var n=t.getLayers();n.clear(),n.extend(e)}},t.prototype.getLayers=function(){return this.getLayerGroup().getLayers()},t.prototype.getLoadingOrNotReady=function(){for(var e=this.getLayerGroup().getLayerStatesArray(),t=0,n=e.length;t<n;++t){var r=e[t];if(r.visible){var i=r.layer.getRenderer();if(i&&!i.ready)return!0;var o=r.layer.getSource();if(o&&o.loading)return!0}}return!1},t.prototype.getPixelFromCoordinate=function(e){var t=J6(e,this.getView().getProjection());return this.getPixelFromCoordinateInternal(t)},t.prototype.getPixelFromCoordinateInternal=function(e){var t=this.frameState_;return t?H9(t.coordinateToPixelTransform,e.slice(0,2)):null},t.prototype.getRenderer=function(){return this.renderer_},t.prototype.getSize=function(){return this.get(e6)},t.prototype.getView=function(){return this.get(n6)},t.prototype.getViewport=function(){return this.viewport_},t.prototype.getOverlayContainer=function(){return this.overlayContainer_},t.prototype.getOverlayContainerStopEvent=function(){return this.overlayContainerStopEvent_},t.prototype.getOwnerDocument=function(){var e=this.getTargetElement();return e?e.ownerDocument:document},t.prototype.getTilePriority=function(e,t,n,r){return function(e,t,n,r,i){if(!e||!(n in e.wantedTiles))return r6;if(!e.wantedTiles[n][t.getKey()])return r6;var o=e.viewState.center,a=r[0]-o[0],s=r[1]-o[1];return 65536*Math.log(i)+Math.sqrt(a*a+s*s)/i}(this.frameState_,e,t,n,r)},t.prototype.handleBrowserEvent=function(e,t){var n=t||e.type,r=new W8(n,this,e);this.handleMapBrowserEvent(r)},t.prototype.handleMapBrowserEvent=function(e){if(this.frameState_){var t=e.originalEvent,n=t.type;if(n===V8||n===c4||n===s4){var r=this.getOwnerDocument(),i=this.viewport_.getRootNode?this.viewport_.getRootNode():r,o=t.target;if(this.overlayContainerStopEvent_.contains(o)||!(i===r?r.documentElement:i).contains(o))return}if(e.frameState=this.frameState_,!1!==this.dispatchEvent(e))for(var a=this.getInteractions().getArray().slice(),s=a.length-1;s>=0;s--){var l=a[s];if(l.getMap()===this&&l.getActive()&&this.getTargetElement()&&(!l.handleEvent(e)||e.propagationStopped))break}}},t.prototype.handlePostRender=function(){var e=this.frameState_,t=this.tileQueue_;if(!t.isEmpty()){var n=this.maxTilesLoading_,r=n;if(e){var i=e.viewHints;if(i[0]||i[1]){var o=Date.now()-e.time>8;n=o?0:8,r=o?0:2}}t.getTilesLoading()<n&&(t.reprioritize(),t.loadMoreTiles(n,r))}e&&this.renderer_&&!e.animate&&(!0===this.renderComplete_?(this.hasListener(M4)&&this.renderer_.dispatchRenderEvent(M4,e),!1===this.loaded_&&(this.loaded_=!0,this.dispatchEvent(new U8(Q8,this,e)))):!0===this.loaded_&&(this.loaded_=!1,this.dispatchEvent(new U8(X8,this,e))));for(var a=this.postRenderFunctions_,s=0,l=a.length;s<l;++s)a[s](this,e);a.length=0},t.prototype.handleSizeChanged_=function(){this.getView()&&!this.getView().getAnimating()&&this.getView().resolveConstraints(0),this.render()},t.prototype.handleTargetChanged_=function(){if(this.mapBrowserEventHandler_){for(var e=0,t=this.targetChangeHandlerKeys_.length;e<t;++e)f4(this.targetChangeHandlerKeys_[e]);this.targetChangeHandlerKeys_=null,this.viewport_.removeEventListener(o4,this.boundHandleBrowserEvent_),this.viewport_.removeEventListener(c4,this.boundHandleBrowserEvent_),this.mapBrowserEventHandler_.dispose(),this.mapBrowserEventHandler_=null,i8(this.viewport_)}var n=this.getTargetElement();if(n){for(var r in n.appendChild(this.viewport_),this.renderer_||(this.renderer_=this.createRenderer()),this.mapBrowserEventHandler_=new Y8(this,this.moveTolerance_),H8)this.mapBrowserEventHandler_.addEventListener(H8[r],this.handleMapBrowserEvent.bind(this));this.viewport_.addEventListener(o4,this.boundHandleBrowserEvent_,!1),this.viewport_.addEventListener(c4,this.boundHandleBrowserEvent_,!!z9&&{passive:!1});var i=this.getOwnerDocument().defaultView,o=this.keyboardEventTarget_?this.keyboardEventTarget_:n;this.targetChangeHandlerKeys_=[d4(o,s4,this.handleBrowserEvent,this),d4(o,l4,this.handleBrowserEvent,this),d4(i,"resize",this.updateSize,this)]}else this.renderer_&&(clearTimeout(this.postRenderTimeoutHandle_),this.postRenderTimeoutHandle_=void 0,this.postRenderFunctions_.length=0,this.renderer_.dispose(),this.renderer_=null),this.animationDelayKey_&&(cancelAnimationFrame(this.animationDelayKey_),this.animationDelayKey_=void 0);this.updateSize()},t.prototype.handleTileChange_=function(){this.render()},t.prototype.handleViewPropertyChanged_=function(){this.render()},t.prototype.handleViewChanged_=function(){this.viewPropertyListenerKey_&&(f4(this.viewPropertyListenerKey_),this.viewPropertyListenerKey_=null),this.viewChangeListenerKey_&&(f4(this.viewChangeListenerKey_),this.viewChangeListenerKey_=null);var e=this.getView();e&&(this.updateViewportSize_(),this.viewPropertyListenerKey_=d4(e,Z3,this.handleViewPropertyChanged_,this),this.viewChangeListenerKey_=d4(e,i4,this.handleViewPropertyChanged_,this),e.resolveConstraints(0)),this.render()},t.prototype.handleLayerGroupChanged_=function(){this.layerGroupPropertyListenerKeys_&&(this.layerGroupPropertyListenerKeys_.forEach(f4),this.layerGroupPropertyListenerKeys_=null);var e=this.getLayerGroup();e&&(this.handleLayerAdd_(new L8("addlayer",e)),this.layerGroupPropertyListenerKeys_=[d4(e,Z3,this.render,this),d4(e,i4,this.render,this),d4(e,"addlayer",this.handleLayerAdd_,this),d4(e,"removelayer",this.handleLayerRemove_,this)]),this.render()},t.prototype.isRendered=function(){return!!this.frameState_},t.prototype.renderSync=function(){this.animationDelayKey_&&cancelAnimationFrame(this.animationDelayKey_),this.animationDelay_()},t.prototype.redrawText=function(){for(var e=this.getLayerGroup().getLayerStatesArray(),t=0,n=e.length;t<n;++t){var r=e[t].layer;r.hasRenderer()&&r.getRenderer().handleFontsChanged()}},t.prototype.render=function(){this.renderer_&&void 0===this.animationDelayKey_&&(this.animationDelayKey_=requestAnimationFrame(this.animationDelay_))},t.prototype.removeControl=function(e){return this.getControls().remove(e)},t.prototype.removeInteraction=function(e){return this.getInteractions().remove(e)},t.prototype.removeLayer=function(e){return this.getLayerGroup().getLayers().remove(e)},t.prototype.handleLayerRemove_=function(e){kee(e.layer)},t.prototype.removeOverlay=function(e){return this.getOverlays().remove(e)},t.prototype.renderFrame_=function(e){var t=this,n=this.getSize(),r=this.getView(),i=this.frameState_,o=null;if(void 0!==n&&wee(n)&&r&&r.isDef()){var a=r.getHints(this.frameState_?this.frameState_.viewHints:void 0),s=r.getState();if(o={animate:!1,coordinateToPixelTransform:this.coordinateToPixelTransform_,declutterTree:null,extent:y3(s.center,s.resolution,s.rotation,n),index:this.frameIndex_++,layerIndex:0,layerStatesArray:this.getLayerGroup().getLayerStatesArray(),pixelRatio:this.pixelRatio_,pixelToCoordinateTransform:this.pixelToCoordinateTransform_,postRenderFunctions:[],size:n,tileQueue:this.tileQueue_,time:e,usedTiles:{},viewState:s,viewHints:a,wantedTiles:{},mapId:R9(this),renderTargets:{}},s.nextCenter&&s.nextResolution){var l=isNaN(s.nextRotation)?s.rotation:s.nextRotation;o.nextExtent=y3(s.nextCenter,s.nextResolution,l,n)}}this.frameState_=o,this.renderer_.renderFrame(o),o&&(o.animate&&this.render(),Array.prototype.push.apply(this.postRenderFunctions_,o.postRenderFunctions),i&&(!this.previousExtent_||!S3(this.previousExtent_)&&!s3(o.extent,this.previousExtent_))&&(this.dispatchEvent(new U8("movestart",this,i)),this.previousExtent_=o3(this.previousExtent_)),this.previousExtent_&&!o.viewHints[0]&&!o.viewHints[1]&&!s3(o.extent,this.previousExtent_)&&(this.dispatchEvent(new U8("moveend",this,o)),Q9(o.extent,this.previousExtent_))),this.dispatchEvent(new U8(Z8,this,o)),this.renderComplete_=this.hasListener(X8)||this.hasListener(Q8)||this.hasListener(M4)?!this.tileQueue_.getTilesLoading()&&!this.tileQueue_.getCount()&&!this.getLoadingOrNotReady():void 0,this.postRenderTimeoutHandle_||(this.postRenderTimeoutHandle_=setTimeout((function(){t.postRenderTimeoutHandle_=void 0,t.handlePostRender()}),0))},t.prototype.setLayerGroup=function(e){var t=this.getLayerGroup();t&&this.handleLayerRemove_(new L8("removelayer",t)),this.set(J8,e)},t.prototype.setSize=function(e){this.set(e6,e)},t.prototype.setTarget=function(e){this.set(t6,e)},t.prototype.setView=function(e){if(!e||e instanceof bee)this.set(n6,e);else{this.set(n6,new bee);var t=this;e.then((function(e){t.setView(new bee(e))}))}},t.prototype.updateSize=function(){var e=this.getTargetElement(),t=void 0;if(e){var n=getComputedStyle(e),r=e.offsetWidth-parseFloat(n.borderLeftWidth)-parseFloat(n.paddingLeft)-parseFloat(n.paddingRight)-parseFloat(n.borderRightWidth),i=e.offsetHeight-parseFloat(n.borderTopWidth)-parseFloat(n.paddingTop)-parseFloat(n.paddingBottom)-parseFloat(n.borderBottomWidth);isNaN(r)||isNaN(i)||!wee(t=[r,i])&&(e.offsetWidth||e.offsetHeight||e.getClientRects().length)&&console.warn("No map visible because the map container's width or height are 0.")}this.setSize(t),this.updateViewportSize_()},t.prototype.updateViewportSize_=function(){var e=this.getView();if(e){var t=void 0,n=getComputedStyle(this.viewport_);n.width&&n.height&&(t=[parseInt(n.width,10),parseInt(n.height,10)]),e.setViewportSize(t)}},t}(w4);const See=Cee;var Aee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Tee=function(e){function t(t){var n=e.call(this)||this,r=t.element;return!r||t.target||r.style.pointerEvents||(r.style.pointerEvents="auto"),n.element=r||null,n.target_=null,n.map_=null,n.listenerKeys=[],t.render&&(n.render=t.render),t.target&&n.setTarget(t.target),n}return Aee(t,e),t.prototype.disposeInternal=function(){i8(this.element),e.prototype.disposeInternal.call(this)},t.prototype.getMap=function(){return this.map_},t.prototype.setMap=function(e){this.map_&&i8(this.element);for(var t=0,n=this.listenerKeys.length;t<n;++t)f4(this.listenerKeys[t]);this.listenerKeys.length=0,this.map_=e,e&&((this.target_?this.target_:e.getOverlayContainerStopEvent()).appendChild(this.element),this.render!==O9&&this.listenerKeys.push(d4(e,Z8,this.render,this)),e.render())},t.prototype.render=function(e){},t.prototype.setTarget=function(e){this.target_="string"==typeof e?document.getElementById(e):e},t}(w4);const Oee=Tee;var Pee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Dee=function(e){function t(t){var n=this,r=t||{};(n=e.call(this,{element:document.createElement("div"),render:r.render,target:r.target})||this).ulElement_=document.createElement("ul"),n.collapsed_=void 0===r.collapsed||r.collapsed,n.userCollapsed_=n.collapsed_,n.overrideCollapsible_=void 0!==r.collapsible,n.collapsible_=void 0===r.collapsible||r.collapsible,n.collapsible_||(n.collapsed_=!1);var i=void 0!==r.className?r.className:"ol-attribution",o=void 0!==r.tipLabel?r.tipLabel:"Attributions",a=void 0!==r.expandClassName?r.expandClassName:i+"-expand",s=void 0!==r.collapseLabel?r.collapseLabel:"",l=void 0!==r.collapseClassName?r.collapseClassName:i+"-collapse";"string"==typeof s?(n.collapseLabel_=document.createElement("span"),n.collapseLabel_.textContent=s,n.collapseLabel_.className=l):n.collapseLabel_=s;var u=void 0!==r.label?r.label:"i";"string"==typeof u?(n.label_=document.createElement("span"),n.label_.textContent=u,n.label_.className=a):n.label_=u;var c=n.collapsible_&&!n.collapsed_?n.collapseLabel_:n.label_;n.toggleButton_=document.createElement("button"),n.toggleButton_.setAttribute("type","button"),n.toggleButton_.setAttribute("aria-expanded",String(!n.collapsed_)),n.toggleButton_.title=o,n.toggleButton_.appendChild(c),n.toggleButton_.addEventListener(a4,n.handleClick_.bind(n),!1);var d=i+" "+Y4+" "+Z4+(n.collapsed_&&n.collapsible_?" "+X4:"")+(n.collapsible_?"":" ol-uncollapsible"),p=n.element;return p.className=d,p.appendChild(n.toggleButton_),p.appendChild(n.ulElement_),n.renderedAttributions_=[],n.renderedVisible_=!0,n}return Pee(t,e),t.prototype.collectSourceAttributions_=function(e){for(var t={},n=[],r=!0,i=e.layerStatesArray,o=0,a=i.length;o<a;++o){var s=i[o];if(j4(s,e.viewState)){var l=s.layer.getSource();if(l){var u=l.getAttributions();if(u){var c=u(e);if(c)if(r=r&&!1!==l.getAttributionsCollapsible(),Array.isArray(c))for(var d=0,p=c.length;d<p;++d)c[d]in t||(n.push(c[d]),t[c[d]]=!0);else c in t||(n.push(c),t[c]=!0)}}}}return this.overrideCollapsible_||this.setCollapsible(r),n},t.prototype.updateElement_=function(e){if(e){var t=this.collectSourceAttributions_(e),n=t.length>0;if(this.renderedVisible_!=n&&(this.element.style.display=n?"":"none",this.renderedVisible_=n),!S9(t,this.renderedAttributions_)){!function(e){for(;e.lastChild;)e.removeChild(e.lastChild)}(this.ulElement_);for(var r=0,i=t.length;r<i;++r){var o=document.createElement("li");o.innerHTML=t[r],this.ulElement_.appendChild(o)}this.renderedAttributions_=t}}else this.renderedVisible_&&(this.element.style.display="none",this.renderedVisible_=!1)},t.prototype.handleClick_=function(e){e.preventDefault(),this.handleToggle_(),this.userCollapsed_=this.collapsed_},t.prototype.handleToggle_=function(){this.element.classList.toggle(X4),this.collapsed_?r8(this.collapseLabel_,this.label_):r8(this.label_,this.collapseLabel_),this.collapsed_=!this.collapsed_,this.toggleButton_.setAttribute("aria-expanded",String(!this.collapsed_))},t.prototype.getCollapsible=function(){return this.collapsible_},t.prototype.setCollapsible=function(e){this.collapsible_!==e&&(this.collapsible_=e,this.element.classList.toggle("ol-uncollapsible"),this.userCollapsed_&&this.handleToggle_())},t.prototype.setCollapsed=function(e){this.userCollapsed_=e,this.collapsible_&&this.collapsed_!==e&&this.handleToggle_()},t.prototype.getCollapsed=function(){return this.collapsed_},t.prototype.render=function(e){this.updateElement_(e.frameState)},t}(Oee);const Ree=Dee;var Iee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Mee=function(e){function t(t){var n=this,r=t||{};n=e.call(this,{element:document.createElement("div"),render:r.render,target:r.target})||this;var i=void 0!==r.className?r.className:"ol-rotate",o=void 0!==r.label?r.label:"⇧",a=void 0!==r.compassClassName?r.compassClassName:"ol-compass";n.label_=null,"string"==typeof o?(n.label_=document.createElement("span"),n.label_.className=a,n.label_.textContent=o):(n.label_=o,n.label_.classList.add(a));var s=r.tipLabel?r.tipLabel:"Reset rotation",l=document.createElement("button");l.className=i+"-reset",l.setAttribute("type","button"),l.title=s,l.appendChild(n.label_),l.addEventListener(a4,n.handleClick_.bind(n),!1);var u=i+" "+Y4+" "+Z4,c=n.element;return c.className=u,c.appendChild(l),n.callResetNorth_=r.resetNorth?r.resetNorth:void 0,n.duration_=void 0!==r.duration?r.duration:250,n.autoHide_=void 0===r.autoHide||r.autoHide,n.rotation_=void 0,n.autoHide_&&n.element.classList.add(K4),n}return Iee(t,e),t.prototype.handleClick_=function(e){e.preventDefault(),void 0!==this.callResetNorth_?this.callResetNorth_():this.resetNorth_()},t.prototype.resetNorth_=function(){var e=this.getMap().getView();if(e){var t=e.getRotation();void 0!==t&&(this.duration_>0&&t%(2*Math.PI)!=0?e.animate({rotation:0,duration:this.duration_,easing:d7}):e.setRotation(0))}},t.prototype.render=function(e){var t=e.frameState;if(t){var n=t.viewState.rotation;if(n!=this.rotation_){var r="rotate("+n+"rad)";if(this.autoHide_){var i=this.element.classList.contains(K4);i||0!==n?i&&0!==n&&this.element.classList.remove(K4):this.element.classList.add(K4)}this.label_.style.transform=r}this.rotation_=n}},t}(Oee);const Lee=Mee;var Nee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),jee=function(e){function t(t){var n=this,r=t||{};n=e.call(this,{element:document.createElement("div"),target:r.target})||this;var i=void 0!==r.className?r.className:"ol-zoom",o=void 0!==r.delta?r.delta:1,a=void 0!==r.zoomInClassName?r.zoomInClassName:i+"-in",s=void 0!==r.zoomOutClassName?r.zoomOutClassName:i+"-out",l=void 0!==r.zoomInLabel?r.zoomInLabel:"+",u=void 0!==r.zoomOutLabel?r.zoomOutLabel:"",c=void 0!==r.zoomInTipLabel?r.zoomInTipLabel:"Zoom in",d=void 0!==r.zoomOutTipLabel?r.zoomOutTipLabel:"Zoom out",p=document.createElement("button");p.className=a,p.setAttribute("type","button"),p.title=c,p.appendChild("string"==typeof l?document.createTextNode(l):l),p.addEventListener(a4,n.handleClick_.bind(n,o),!1);var f=document.createElement("button");f.className=s,f.setAttribute("type","button"),f.title=d,f.appendChild("string"==typeof u?document.createTextNode(u):u),f.addEventListener(a4,n.handleClick_.bind(n,-o),!1);var h=i+" "+Y4+" "+Z4,m=n.element;return m.className=h,m.appendChild(p),m.appendChild(f),n.duration_=void 0!==r.duration?r.duration:250,n}return Nee(t,e),t.prototype.handleClick_=function(e,t){t.preventDefault(),this.zoomByDelta_(e)},t.prototype.zoomByDelta_=function(e){var t=this.getMap().getView();if(t){var n=t.getZoom();if(void 0!==n){var r=t.getConstrainedZoom(n+e);this.duration_>0?(t.getAnimating()&&t.cancelAnimations(),t.animate({zoom:r,duration:this.duration_,easing:d7})):t.setZoom(r)}}},t}(Oee);const Fee=jee,Bee="active";var zee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Uee=function(e){function t(t){var n=e.call(this)||this;return n.on,n.once,n.un,t&&t.handleEvent&&(n.handleEvent=t.handleEvent),n.map_=null,n.setActive(!0),n}return zee(t,e),t.prototype.getActive=function(){return this.get(Bee)},t.prototype.getMap=function(){return this.map_},t.prototype.handleEvent=function(e){return!0},t.prototype.setActive=function(e){this.set(Bee,e)},t.prototype.setMap=function(e){this.map_=e},t}(w4);function qee(e,t,n,r){var i=e.getZoom();if(void 0!==i){var o=e.getConstrainedZoom(i+t),a=e.getResolutionForZoom(o);e.getAnimating()&&e.cancelAnimations(),e.animate({resolution:a,anchor:n,duration:void 0!==r?r:250,easing:d7})}}const $ee=Uee;var Wee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Hee=function(e){function t(t){var n=e.call(this)||this,r=t||{};return n.delta_=r.delta?r.delta:1,n.duration_=void 0!==r.duration?r.duration:250,n}return Wee(t,e),t.prototype.handleEvent=function(e){var t=!1;if(e.type==H8.DBLCLICK){var n=e.originalEvent,r=e.map,i=e.coordinate,o=n.shiftKey?-this.delta_:this.delta_;qee(r.getView(),o,i,this.duration_),n.preventDefault(),t=!0}return!t},t}($ee);const Vee=Hee;var Gee=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Kee=function(e){function t(t){var n=this,r=t||{};return n=e.call(this,r)||this,r.handleDownEvent&&(n.handleDownEvent=r.handleDownEvent),r.handleDragEvent&&(n.handleDragEvent=r.handleDragEvent),r.handleMoveEvent&&(n.handleMoveEvent=r.handleMoveEvent),r.handleUpEvent&&(n.handleUpEvent=r.handleUpEvent),r.stopDown&&(n.stopDown=r.stopDown),n.handlingDownUpSequence=!1,n.targetPointers=[],n}return Gee(t,e),t.prototype.getPointerCount=function(){return this.targetPointers.length},t.prototype.handleDownEvent=function(e){return!1},t.prototype.handleDragEvent=function(e){},t.prototype.handleEvent=function(e){if(!e.originalEvent)return!0;var t=!1;if(this.updateTrackedPointers_(e),this.handlingDownUpSequence){if(e.type==H8.POINTERDRAG)this.handleDragEvent(e),e.originalEvent.preventDefault();else if(e.type==H8.POINTERUP){var n=this.handleUpEvent(e);this.handlingDownUpSequence=n&&this.targetPointers.length>0}}else if(e.type==H8.POINTERDOWN){var r=this.handleDownEvent(e);this.handlingDownUpSequence=r,t=this.stopDown(r)}else e.type==H8.POINTERMOVE&&this.handleMoveEvent(e);return!t},t.prototype.handleMoveEvent=function(e){},t.prototype.handleUpEvent=function(e){return!1},t.prototype.stopDown=function(e){return e},t.prototype.updateTrackedPointers_=function(e){e.activePointers&&(this.targetPointers=e.activePointers)},t}($ee);function Yee(e){for(var t=e.length,n=0,r=0,i=0;i<t;i++)n+=e[i].clientX,r+=e[i].clientY;return[n/t,r/t]}const Zee=Kee;function Xee(e){var t=arguments;return function(e){for(var n=!0,r=0,i=t.length;r<i&&(n=n&&t[r](e));++r);return n}}var Qee=function(e){var t=e.originalEvent;return t.altKey&&!(t.metaKey||t.ctrlKey)&&t.shiftKey},Jee=function(e){return!e.map.getTargetElement().hasAttribute("tabindex")||function(e){var t=e.map.getTargetElement(),n=e.map.getOwnerDocument().activeElement;return t.contains(n)}(e)},ete=A9,tte=function(e){var t=e.originalEvent;return 0==t.button&&!(L9&&N9&&t.ctrlKey)},nte=function(e){var t=e.originalEvent;return!t.altKey&&!(t.metaKey||t.ctrlKey)&&!t.shiftKey},rte=function(e){var t=e.originalEvent;return!t.altKey&&!(t.metaKey||t.ctrlKey)&&t.shiftKey},ite=function(e){var t=e.originalEvent,n=t.target.tagName;return"INPUT"!==n&&"SELECT"!==n&&"TEXTAREA"!==n&&!t.target.isContentEditable},ote=function(e){var t=e.originalEvent;return $9(void 0!==t,56),"mouse"==t.pointerType},ate=function(e){var t=e.originalEvent;return $9(void 0!==t,56),t.isPrimary&&0===t.button},ste=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),lte=function(e){function t(t){var n=e.call(this,{stopDown:T9})||this,r=t||{};n.kinetic_=r.kinetic,n.lastCentroid=null,n.lastPointersCount_,n.panning_=!1;var i=r.condition?r.condition:Xee(nte,ate);return n.condition_=r.onFocusOnly?Xee(Jee,i):i,n.noKinetic_=!1,n}return ste(t,e),t.prototype.handleDragEvent=function(e){this.panning_||(this.panning_=!0,this.getMap().getView().beginInteraction());var t,n,r=this.targetPointers,i=Yee(r);if(r.length==this.lastPointersCount_){if(this.kinetic_&&this.kinetic_.update(i[0],i[1]),this.lastCentroid){var o=[this.lastCentroid[0]-i[0],i[1]-this.lastCentroid[1]],a=e.map.getView();t=o,n=a.getResolution(),t[0]*=n,t[1]*=n,z4(o,a.getRotation()),a.adjustCenterInternal(o)}}else this.kinetic_&&this.kinetic_.begin();this.lastCentroid=i,this.lastPointersCount_=r.length,e.originalEvent.preventDefault()},t.prototype.handleUpEvent=function(e){var t=e.map,n=t.getView();if(0===this.targetPointers.length){if(!this.noKinetic_&&this.kinetic_&&this.kinetic_.end()){var r=this.kinetic_.getDistance(),i=this.kinetic_.getAngle(),o=n.getCenterInternal(),a=t.getPixelFromCoordinateInternal(o),s=t.getCoordinateFromPixelInternal([a[0]-r*Math.cos(i),a[1]-r*Math.sin(i)]);n.animateInternal({center:n.getConstrainedCenter(s),duration:500,easing:d7})}return this.panning_&&(this.panning_=!1,n.endInteraction()),!1}return this.kinetic_&&this.kinetic_.begin(),this.lastCentroid=null,!0},t.prototype.handleDownEvent=function(e){if(this.targetPointers.length>0&&this.condition_(e)){var t=e.map.getView();return this.lastCentroid=null,t.getAnimating()&&t.cancelAnimations(),this.kinetic_&&this.kinetic_.begin(),this.noKinetic_=this.targetPointers.length>1,!0}return!1},t}(Zee);const ute=lte;var cte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),dte=function(e){function t(t){var n=this,r=t||{};return(n=e.call(this,{stopDown:T9})||this).condition_=r.condition?r.condition:Qee,n.lastAngle_=void 0,n.duration_=void 0!==r.duration?r.duration:250,n}return cte(t,e),t.prototype.handleDragEvent=function(e){if(ote(e)){var t=e.map,n=t.getView();if(n.getConstraints().rotation!==l7){var r=t.getSize(),i=e.pixel,o=Math.atan2(r[1]/2-i[1],i[0]-r[0]/2);if(void 0!==this.lastAngle_){var a=o-this.lastAngle_;n.adjustRotationInternal(-a)}this.lastAngle_=o}}},t.prototype.handleUpEvent=function(e){return!ote(e)||(e.map.getView().endInteraction(this.duration_),!1)},t.prototype.handleDownEvent=function(e){return!(!ote(e)||!tte(e)||!this.condition_(e)||(e.map.getView().beginInteraction(),this.lastAngle_=void 0,0))},t}(Zee);const pte=dte;var fte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),hte=function(e){function t(t){var n=e.call(this)||this;return n.geometry_=null,n.element_=document.createElement("div"),n.element_.style.position="absolute",n.element_.style.pointerEvents="auto",n.element_.className="ol-box "+t,n.map_=null,n.startPixel_=null,n.endPixel_=null,n}return fte(t,e),t.prototype.disposeInternal=function(){this.setMap(null)},t.prototype.render_=function(){var e=this.startPixel_,t=this.endPixel_,n="px",r=this.element_.style;r.left=Math.min(e[0],t[0])+n,r.top=Math.min(e[1],t[1])+n,r.width=Math.abs(t[0]-e[0])+n,r.height=Math.abs(t[1]-e[1])+n},t.prototype.setMap=function(e){if(this.map_){this.map_.getOverlayContainer().removeChild(this.element_);var t=this.element_.style;t.left="inherit",t.top="inherit",t.width="inherit",t.height="inherit"}this.map_=e,this.map_&&this.map_.getOverlayContainer().appendChild(this.element_)},t.prototype.setPixels=function(e,t){this.startPixel_=e,this.endPixel_=t,this.createOrUpdateGeometry(),this.render_()},t.prototype.createOrUpdateGeometry=function(){var e=this.startPixel_,t=this.endPixel_,n=[e,[e[0],t[1]],t,[t[0],e[1]]].map(this.map_.getCoordinateFromPixelInternal,this.map_);n[4]=n[0].slice(),this.geometry_?this.geometry_.setCoordinates([n]):this.geometry_=new pee([n])},t.prototype.getGeometry=function(){return this.geometry_},t}(_9);const mte=hte;var gte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),vte=function(e){function t(t,n,r){var i=e.call(this,t)||this;return i.coordinate=n,i.mapBrowserEvent=r,i}return gte(t,e),t}(Y3),yte=function(e){function t(t){var n=e.call(this)||this;n.on,n.once,n.un;var r=t||{};return n.box_=new mte(r.className||"ol-dragbox"),n.minArea_=void 0!==r.minArea?r.minArea:64,r.onBoxEnd&&(n.onBoxEnd=r.onBoxEnd),n.startPixel_=null,n.condition_=r.condition?r.condition:tte,n.boxEndCondition_=r.boxEndCondition?r.boxEndCondition:n.defaultBoxEndCondition,n}return gte(t,e),t.prototype.defaultBoxEndCondition=function(e,t,n){var r=n[0]-t[0],i=n[1]-t[1];return r*r+i*i>=this.minArea_},t.prototype.getGeometry=function(){return this.box_.getGeometry()},t.prototype.handleDragEvent=function(e){this.box_.setPixels(this.startPixel_,e.pixel),this.dispatchEvent(new vte("boxdrag",e.coordinate,e))},t.prototype.handleUpEvent=function(e){this.box_.setMap(null);var t=this.boxEndCondition_(e,this.startPixel_,e.pixel);return t&&this.onBoxEnd(e),this.dispatchEvent(new vte(t?"boxend":"boxcancel",e.coordinate,e)),!1},t.prototype.handleDownEvent=function(e){return!!this.condition_(e)&&(this.startPixel_=e.pixel,this.box_.setMap(e.map),this.box_.setPixels(this.startPixel_,this.startPixel_),this.dispatchEvent(new vte("boxstart",e.coordinate,e)),!0)},t.prototype.onBoxEnd=function(e){},t}(Zee);const bte=yte;var wte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),_te=function(e){function t(t){var n=this,r=t||{},i=r.condition?r.condition:rte;return(n=e.call(this,{condition:i,className:r.className||"ol-dragzoom",minArea:r.minArea})||this).duration_=void 0!==r.duration?r.duration:200,n.out_=void 0!==r.out&&r.out,n}return wte(t,e),t.prototype.onBoxEnd=function(e){var t=this.getMap().getView(),n=this.getGeometry();if(this.out_){var r=t.rotatedExtentForGeometry(n),i=t.getResolutionForExtentInternal(r),o=t.getResolution()/i;(n=n.clone()).scale(o*o)}t.fitInternal(n,{duration:this.duration_,easing:d7})},t}(bte);const xte=_te;var kte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Ete=function(e){function t(t){var n=e.call(this)||this,r=t||{};return n.defaultCondition_=function(e){return nte(e)&&ite(e)},n.condition_=void 0!==r.condition?r.condition:n.defaultCondition_,n.duration_=void 0!==r.duration?r.duration:100,n.pixelDelta_=void 0!==r.pixelDelta?r.pixelDelta:128,n}return kte(t,e),t.prototype.handleEvent=function(e){var t=!1;if(e.type==s4){var n=e.originalEvent,r=n.keyCode;if(this.condition_(e)&&(40==r||37==r||39==r||38==r)){var i=e.map.getView(),o=i.getResolution()*this.pixelDelta_,a=0,s=0;40==r?s=-o:37==r?a=-o:39==r?a=o:s=o;var l=[a,s];z4(l,i.getRotation()),function(e,t,n){var r=e.getCenterInternal();if(r){var i=[r[0]+t[0],r[1]+t[1]];e.animateInternal({duration:void 0!==n?n:250,easing:f7,center:e.getConstrainedCenter(i)})}}(i,l,this.duration_),n.preventDefault(),t=!0}}return!t},t}($ee);const Cte=Ete;var Ste=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Ate=function(e){function t(t){var n=e.call(this)||this,r=t||{};return n.condition_=r.condition?r.condition:ite,n.delta_=r.delta?r.delta:1,n.duration_=void 0!==r.duration?r.duration:100,n}return Ste(t,e),t.prototype.handleEvent=function(e){var t=!1;if(e.type==s4||e.type==l4){var n=e.originalEvent,r=n.charCode;if(this.condition_(e)&&(r=="+".charCodeAt(0)||r=="-".charCodeAt(0))){var i=e.map,o=r=="+".charCodeAt(0)?this.delta_:-this.delta_;qee(i.getView(),o,void 0,this.duration_),n.preventDefault(),t=!0}}return!t},t}($ee);const Tte=Ate;var Ote=function(){function e(e,t,n){this.decay_=e,this.minVelocity_=t,this.delay_=n,this.points_=[],this.angle_=0,this.initialVelocity_=0}return e.prototype.begin=function(){this.points_.length=0,this.angle_=0,this.initialVelocity_=0},e.prototype.update=function(e,t){this.points_.push(e,t,Date.now())},e.prototype.end=function(){if(this.points_.length<6)return!1;var e=Date.now()-this.delay_,t=this.points_.length-3;if(this.points_[t+2]<e)return!1;for(var n=t-3;n>0&&this.points_[n+2]>e;)n-=3;var r=this.points_[t+2]-this.points_[n+2];if(r<1e3/60)return!1;var i=this.points_[t]-this.points_[n],o=this.points_[t+1]-this.points_[n+1];return this.angle_=Math.atan2(o,i),this.initialVelocity_=Math.sqrt(i*i+o*o)/r,this.initialVelocity_>this.minVelocity_},e.prototype.getDistance=function(){return(this.minVelocity_-this.initialVelocity_)/this.decay_},e.prototype.getAngle=function(){return this.angle_},e}();const Pte=Ote;var Dte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Rte="trackpad",Ite=function(e){function t(t){var n=this,r=t||{};(n=e.call(this,r)||this).totalDelta_=0,n.lastDelta_=0,n.maxDelta_=void 0!==r.maxDelta?r.maxDelta:1,n.duration_=void 0!==r.duration?r.duration:250,n.timeout_=void 0!==r.timeout?r.timeout:80,n.useAnchor_=void 0===r.useAnchor||r.useAnchor,n.constrainResolution_=void 0!==r.constrainResolution&&r.constrainResolution;var i=r.condition?r.condition:ete;return n.condition_=r.onFocusOnly?Xee(Jee,i):i,n.lastAnchor_=null,n.startTime_=void 0,n.timeoutId_,n.mode_=void 0,n.trackpadEventGap_=400,n.trackpadTimeoutId_,n.deltaPerZoom_=300,n}return Dte(t,e),t.prototype.endInteraction_=function(){this.trackpadTimeoutId_=void 0;var e=this.getMap();e&&e.getView().endInteraction(void 0,this.lastDelta_?this.lastDelta_>0?1:-1:0,this.lastAnchor_)},t.prototype.handleEvent=function(e){if(!this.condition_(e))return!0;if(e.type!==c4)return!0;var t,n=e.map,r=e.originalEvent;if(r.preventDefault(),this.useAnchor_&&(this.lastAnchor_=e.coordinate),e.type==c4&&(t=r.deltaY,M9&&r.deltaMode===WheelEvent.DOM_DELTA_PIXEL&&(t/=j9),r.deltaMode===WheelEvent.DOM_DELTA_LINE&&(t*=40)),0===t)return!1;this.lastDelta_=t;var i=Date.now();void 0===this.startTime_&&(this.startTime_=i),(!this.mode_||i-this.startTime_>this.trackpadEventGap_)&&(this.mode_=Math.abs(t)<4?Rte:"wheel");var o=n.getView();if(this.mode_===Rte&&!o.getConstrainResolution()&&!this.constrainResolution_)return this.trackpadTimeoutId_?clearTimeout(this.trackpadTimeoutId_):(o.getAnimating()&&o.cancelAnimations(),o.beginInteraction()),this.trackpadTimeoutId_=setTimeout(this.endInteraction_.bind(this),this.timeout_),o.adjustZoom(-t/this.deltaPerZoom_,this.lastAnchor_),this.startTime_=i,!1;this.totalDelta_+=t;var a=Math.max(this.timeout_-(i-this.startTime_),0);return clearTimeout(this.timeoutId_),this.timeoutId_=setTimeout(this.handleWheelZoom_.bind(this,n),a),!1},t.prototype.handleWheelZoom_=function(e){var t=e.getView();t.getAnimating()&&t.cancelAnimations();var n=-T3(this.totalDelta_,-this.maxDelta_*this.deltaPerZoom_,this.maxDelta_*this.deltaPerZoom_)/this.deltaPerZoom_;(t.getConstrainResolution()||this.constrainResolution_)&&(n=n?n>0?1:-1:0),qee(t,n,this.lastAnchor_,this.duration_),this.mode_=void 0,this.totalDelta_=0,this.lastAnchor_=null,this.startTime_=void 0,this.timeoutId_=void 0},t.prototype.setMouseAnchor=function(e){this.useAnchor_=e,e||(this.lastAnchor_=null)},t}($ee);const Mte=Ite;var Lte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Nte=function(e){function t(t){var n=this,r=t||{},i=r;return i.stopDown||(i.stopDown=T9),(n=e.call(this,i)||this).anchor_=null,n.lastAngle_=void 0,n.rotating_=!1,n.rotationDelta_=0,n.threshold_=void 0!==r.threshold?r.threshold:.3,n.duration_=void 0!==r.duration?r.duration:250,n}return Lte(t,e),t.prototype.handleDragEvent=function(e){var t=0,n=this.targetPointers[0],r=this.targetPointers[1],i=Math.atan2(r.clientY-n.clientY,r.clientX-n.clientX);if(void 0!==this.lastAngle_){var o=i-this.lastAngle_;this.rotationDelta_+=o,!this.rotating_&&Math.abs(this.rotationDelta_)>this.threshold_&&(this.rotating_=!0),t=o}this.lastAngle_=i;var a=e.map,s=a.getView();if(s.getConstraints().rotation!==l7){var l=a.getViewport().getBoundingClientRect(),u=Yee(this.targetPointers);u[0]-=l.left,u[1]-=l.top,this.anchor_=a.getCoordinateFromPixelInternal(u),this.rotating_&&(a.render(),s.adjustRotationInternal(t,this.anchor_))}},t.prototype.handleUpEvent=function(e){return!(this.targetPointers.length<2&&(e.map.getView().endInteraction(this.duration_),1))},t.prototype.handleDownEvent=function(e){if(this.targetPointers.length>=2){var t=e.map;return this.anchor_=null,this.lastAngle_=void 0,this.rotating_=!1,this.rotationDelta_=0,this.handlingDownUpSequence||t.getView().beginInteraction(),!0}return!1},t}(Zee);const jte=Nte;var Fte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Bte=function(e){function t(t){var n=this,r=t||{},i=r;return i.stopDown||(i.stopDown=T9),(n=e.call(this,i)||this).anchor_=null,n.duration_=void 0!==r.duration?r.duration:400,n.lastDistance_=void 0,n.lastScaleDelta_=1,n}return Fte(t,e),t.prototype.handleDragEvent=function(e){var t=1,n=this.targetPointers[0],r=this.targetPointers[1],i=n.clientX-r.clientX,o=n.clientY-r.clientY,a=Math.sqrt(i*i+o*o);void 0!==this.lastDistance_&&(t=this.lastDistance_/a),this.lastDistance_=a;var s=e.map,l=s.getView();1!=t&&(this.lastScaleDelta_=t);var u=s.getViewport().getBoundingClientRect(),c=Yee(this.targetPointers);c[0]-=u.left,c[1]-=u.top,this.anchor_=s.getCoordinateFromPixelInternal(c),s.render(),l.adjustResolutionInternal(t,this.anchor_)},t.prototype.handleUpEvent=function(e){if(this.targetPointers.length<2){var t=e.map.getView(),n=this.lastScaleDelta_>1?1:-1;return t.endInteraction(this.duration_,n),!1}return!0},t.prototype.handleDownEvent=function(e){if(this.targetPointers.length>=2){var t=e.map;return this.anchor_=null,this.lastDistance_=void 0,this.lastScaleDelta_=1,this.handlingDownUpSequence||t.getView().beginInteraction(),!0}return!1},t}(Zee);const zte=Bte;var Ute=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),qte=function(e){function t(t){return(t=X3({},t)).controls||(t.controls=function(e){var t={},n=new I8;return(void 0===t.zoom||t.zoom)&&n.push(new Fee(t.zoomOptions)),(void 0===t.rotate||t.rotate)&&n.push(new Lee(t.rotateOptions)),(void 0===t.attribution||t.attribution)&&n.push(new Ree(t.attributionOptions)),n}()),t.interactions||(t.interactions=function(e){var t={onFocusOnly:!0}||{},n=new I8,r=new Pte(-.005,.05,100);return(void 0===t.altShiftDragRotate||t.altShiftDragRotate)&&n.push(new pte),(void 0===t.doubleClickZoom||t.doubleClickZoom)&&n.push(new Vee({delta:t.zoomDelta,duration:t.zoomDuration})),(void 0===t.dragPan||t.dragPan)&&n.push(new ute({onFocusOnly:t.onFocusOnly,kinetic:r})),(void 0===t.pinchRotate||t.pinchRotate)&&n.push(new jte),(void 0===t.pinchZoom||t.pinchZoom)&&n.push(new zte({duration:t.zoomDuration})),(void 0===t.keyboard||t.keyboard)&&(n.push(new Cte),n.push(new Tte({delta:t.zoomDelta,duration:t.zoomDuration}))),(void 0===t.mouseWheelZoom||t.mouseWheelZoom)&&n.push(new Mte({onFocusOnly:t.onFocusOnly,duration:t.zoomDuration})),(void 0===t.shiftDragZoom||t.shiftDragZoom)&&n.push(new xte({duration:t.zoomDuration})),n}()),e.call(this,t)||this}return Ute(t,e),t.prototype.createRenderer=function(){return new S8(this)},t}(See);const $te=qte;var Wte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Hte=function(e){function t(t){var n=e.call(this)||this;if(n.on,n.once,n.un,n.id_=void 0,n.geometryName_="geometry",n.style_=null,n.styleFunction_=void 0,n.geometryChangeKey_=null,n.addChangeListener(n.geometryName_,n.handleGeometryChanged_),t)if("function"==typeof t.getSimplifiedGeometry){var r=t;n.setGeometry(r)}else{var i=t;n.setProperties(i)}return n}return Wte(t,e),t.prototype.clone=function(){var e=new t(this.hasProperties()?this.getProperties():null);e.setGeometryName(this.getGeometryName());var n=this.getGeometry();n&&e.setGeometry(n.clone());var r=this.getStyle();return r&&e.setStyle(r),e},t.prototype.getGeometry=function(){return this.get(this.geometryName_)},t.prototype.getId=function(){return this.id_},t.prototype.getGeometryName=function(){return this.geometryName_},t.prototype.getStyle=function(){return this.style_},t.prototype.getStyleFunction=function(){return this.styleFunction_},t.prototype.handleGeometryChange_=function(){this.changed()},t.prototype.handleGeometryChanged_=function(){this.geometryChangeKey_&&(f4(this.geometryChangeKey_),this.geometryChangeKey_=null);var e=this.getGeometry();e&&(this.geometryChangeKey_=d4(e,i4,this.handleGeometryChange_,this)),this.changed()},t.prototype.setGeometry=function(e){this.set(this.geometryName_,e)},t.prototype.setStyle=function(e){this.style_=e,this.styleFunction_=e?function(e){return"function"==typeof e?e:(Array.isArray(e)?t=e:($9("function"==typeof e.getZIndex,41),t=[e]),function(){return t});var t}(e):void 0,this.changed()},t.prototype.setId=function(e){this.id_=e,this.changed()},t.prototype.setGeometryName=function(e){this.removeChangeListener(this.geometryName_,this.handleGeometryChanged_),this.geometryName_=e,this.addChangeListener(this.geometryName_,this.handleGeometryChanged_),this.handleGeometryChanged_()},t}(w4);const Vte=Hte;var Gte=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Kte=function(e){function t(t){var n=e.call(this)||this;return n.geometries_=t||null,n.changeEventsKeys_=[],n.listenGeometriesChange_(),n}return Gte(t,e),t.prototype.unlistenGeometriesChange_=function(){this.changeEventsKeys_.forEach(f4),this.changeEventsKeys_.length=0},t.prototype.listenGeometriesChange_=function(){if(this.geometries_)for(var e=0,t=this.geometries_.length;e<t;++e)this.changeEventsKeys_.push(d4(this.geometries_[e],i4,this.changed,this))},t.prototype.clone=function(){var e=new t(null);return e.setGeometries(this.geometries_),e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){if(r<J9(this.getExtent(),e,t))return r;for(var i=this.geometries_,o=0,a=i.length;o<a;++o)r=i[o].closestPointXY(e,t,n,r);return r},t.prototype.containsXY=function(e,t){for(var n=this.geometries_,r=0,i=n.length;r<i;++r)if(n[r].containsXY(e,t))return!0;return!1},t.prototype.computeExtent=function(e){o3(e);for(var t=this.geometries_,n=0,r=t.length;n<r;++n)l3(e,t[n].getExtent());return e},t.prototype.getGeometries=function(){return Yte(this.geometries_)},t.prototype.getGeometriesArray=function(){return this.geometries_},t.prototype.getGeometriesArrayRecursive=function(){for(var e=[],t=this.geometries_,n=0,r=t.length;n<r;++n)t[n].getType()===this.getType()?e=e.concat(t[n].getGeometriesArrayRecursive()):e.push(t[n]);return e},t.prototype.getSimplifiedGeometry=function(e){if(this.simplifiedGeometryRevision!==this.getRevision()&&(this.simplifiedGeometryMaxMinSquaredTolerance=0,this.simplifiedGeometryRevision=this.getRevision()),e<0||0!==this.simplifiedGeometryMaxMinSquaredTolerance&&e<this.simplifiedGeometryMaxMinSquaredTolerance)return this;for(var n=[],r=this.geometries_,i=!1,o=0,a=r.length;o<a;++o){var s=r[o],l=s.getSimplifiedGeometry(e);n.push(l),l!==s&&(i=!0)}if(i){var u=new t(null);return u.setGeometriesArray(n),u}return this.simplifiedGeometryMaxMinSquaredTolerance=e,this},t.prototype.getType=function(){return"GeometryCollection"},t.prototype.intersectsExtent=function(e){for(var t=this.geometries_,n=0,r=t.length;n<r;++n)if(t[n].intersectsExtent(e))return!0;return!1},t.prototype.isEmpty=function(){return 0===this.geometries_.length},t.prototype.rotate=function(e,t){for(var n=this.geometries_,r=0,i=n.length;r<i;++r)n[r].rotate(e,t);this.changed()},t.prototype.scale=function(e,t,n){var r=n;r||(r=g3(this.getExtent()));for(var i=this.geometries_,o=0,a=i.length;o<a;++o)i[o].scale(e,t,r);this.changed()},t.prototype.setGeometries=function(e){this.setGeometriesArray(Yte(e))},t.prototype.setGeometriesArray=function(e){this.unlistenGeometriesChange_(),this.geometries_=e,this.listenGeometriesChange_(),this.changed()},t.prototype.applyTransform=function(e){for(var t=this.geometries_,n=0,r=t.length;n<r;++n)t[n].applyTransform(e);this.changed()},t.prototype.translate=function(e,t){for(var n=this.geometries_,r=0,i=n.length;r<i;++r)n[r].translate(e,t);this.changed()},t.prototype.disposeInternal=function(){this.unlistenGeometriesChange_(),e.prototype.disposeInternal.call(this)},t}(k7);function Yte(e){for(var t=[],n=0,r=e.length;n<r;++n)t.push(e[n].clone());return t}const Zte=Kte;var Xte=function(){function e(){this.dataProjection=void 0,this.defaultFeatureProjection=void 0,this.supportedMediaTypes=null}return e.prototype.getReadOptions=function(e,t){var n;if(t){var r=t.dataProjection?B6(t.dataProjection):this.readProjection(e);t.extent&&r&&r.getUnits()===d6.TILE_PIXELS&&(r=B6(r)).setWorldExtent(t.extent),n={dataProjection:r,featureProjection:t.featureProjection}}return this.adaptOptions(n)},e.prototype.adaptOptions=function(e){return X3({dataProjection:this.dataProjection,featureProjection:this.defaultFeatureProjection},e)},e.prototype.getType=function(){return P9()},e.prototype.readFeature=function(e,t){return P9()},e.prototype.readFeatures=function(e,t){return P9()},e.prototype.readGeometry=function(e,t){return P9()},e.prototype.readProjection=function(e){return P9()},e.prototype.writeFeature=function(e,t){return P9()},e.prototype.writeFeatures=function(e,t){return P9()},e.prototype.writeGeometry=function(e,t){return P9()},e}();const Qte=Xte;function Jte(e,t,n){var r,i=n?B6(n.featureProjection):null,o=n?B6(n.dataProjection):null;if(r=i&&o&&!$6(i,o)?(t?e.clone():e).transform(t?i:o,t?o:i):e,t&&n&&void 0!==n.decimals){var a=Math.pow(10,n.decimals);r===e&&(r=e.clone()),r.applyTransform((function(e){for(var t=0,n=e.length;t<n;++t)e[t]=Math.round(e[t]*a)/a;return e}))}return r}var ene=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();function tne(e){return"string"==typeof e?JSON.parse(e)||null:null!==e?e:null}const nne=function(e){function t(){return e.call(this)||this}return ene(t,e),t.prototype.getType=function(){return"json"},t.prototype.readFeature=function(e,t){return this.readFeatureFromObject(tne(e),this.getReadOptions(e,t))},t.prototype.readFeatures=function(e,t){return this.readFeaturesFromObject(tne(e),this.getReadOptions(e,t))},t.prototype.readFeatureFromObject=function(e,t){return P9()},t.prototype.readFeaturesFromObject=function(e,t){return P9()},t.prototype.readGeometry=function(e,t){return this.readGeometryFromObject(tne(e),this.getReadOptions(e,t))},t.prototype.readGeometryFromObject=function(e,t){return P9()},t.prototype.readProjection=function(e){return this.readProjectionFromObject(tne(e))},t.prototype.readProjectionFromObject=function(e){return P9()},t.prototype.writeFeature=function(e,t){return JSON.stringify(this.writeFeatureObject(e,t))},t.prototype.writeFeatureObject=function(e,t){return P9()},t.prototype.writeFeatures=function(e,t){return JSON.stringify(this.writeFeaturesObject(e,t))},t.prototype.writeFeaturesObject=function(e,t){return P9()},t.prototype.writeGeometry=function(e,t){return JSON.stringify(this.writeGeometryObject(e,t))},t.prototype.writeGeometryObject=function(e,t){return P9()},t}(Qte);function rne(e,t,n,r,i,o,a){var s,l,u=(n-t)/r;if(1===u)s=t;else if(2===u)s=t,l=i;else if(0!==u){for(var c=e[t],d=e[t+1],p=0,f=[0],h=t+r;h<n;h+=r){var m=e[h],g=e[h+1];p+=Math.sqrt((m-c)*(m-c)+(g-d)*(g-d)),f.push(p),c=m,d=g}var v=i*p,y=function(e,t,n){for(var r,i,o=x9,a=0,s=e.length,l=!1;a<s;)(i=+o(e[r=a+(s-a>>1)],t))<0?a=r+1:(s=r,l=!i);return l?a:~a}(f,v);y<0?(l=(v-f[-y-2])/(f[-y-1]-f[-y-2]),s=t+(-y-2)*r):s=t+y*r}var b=a>1?a:2,w=o||new Array(b);for(h=0;h<b;++h)w[h]=void 0===s?NaN:void 0===l?e[s+h]:L3(e[s+h],e[s+r+h],l);return w}function ine(e,t,n,r,i,o){if(n==t)return null;var a;if(i<e[t+r-1])return o?((a=e.slice(t,t+r))[r-1]=i,a):null;if(e[n-1]<i)return o?((a=e.slice(n-r,n))[r-1]=i,a):null;if(i==e[t+r-1])return e.slice(t,t+r);for(var s=t/r,l=n/r;s<l;){var u=s+l>>1;i<e[(u+1)*r-1]?l=u:s=u+1}var c=e[s*r-1];if(i==c)return e.slice((s-1)*r,(s-1)*r+r);var d=(i-c)/(e[(s+1)*r-1]-c);a=[];for(var p=0;p<r-1;++p)a.push(L3(e[(s-1)*r+p],e[s*r+p],d));return a.push(i),a}function one(e,t,n,r){for(var i=e[t],o=e[t+1],a=0,s=t+r;s<n;s+=r){var l=e[s],u=e[s+1];a+=Math.sqrt((l-i)*(l-i)+(u-o)*(u-o)),i=l,o=u}return a}var ane=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),sne=function(e){function t(t,n){var r=e.call(this)||this;return r.flatMidpoint_=null,r.flatMidpointRevision_=-1,r.maxDelta_=-1,r.maxDeltaRevision_=-1,void 0===n||Array.isArray(t[0])?r.setCoordinates(t,n):r.setFlatCoordinates(n,t),r}return ane(t,e),t.prototype.appendCoordinate=function(e){this.flatCoordinates?C9(this.flatCoordinates,e):this.flatCoordinates=e.slice(),this.changed()},t.prototype.clone=function(){var e=new t(this.flatCoordinates.slice(),this.layout);return e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){return r<J9(this.getExtent(),e,t)?r:(this.maxDeltaRevision_!=this.getRevision()&&(this.maxDelta_=Math.sqrt(O7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,0)),this.maxDeltaRevision_=this.getRevision()),D7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,this.maxDelta_,!1,e,t,n,r))},t.prototype.forEachSegment=function(e){return tee(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e)},t.prototype.getCoordinateAtM=function(e,t){if(this.layout!=m7&&this.layout!=g7)return null;var n=void 0!==t&&t;return ine(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e,n)},t.prototype.getCoordinates=function(){return z7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride)},t.prototype.getCoordinateAt=function(e,t){return rne(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e,t,this.stride)},t.prototype.getLength=function(){return one(this.flatCoordinates,0,this.flatCoordinates.length,this.stride)},t.prototype.getFlatMidpoint=function(){return this.flatMidpointRevision_!=this.getRevision()&&(this.flatMidpoint_=this.getCoordinateAt(.5,this.flatMidpoint_),this.flatMidpointRevision_=this.getRevision()),this.flatMidpoint_},t.prototype.getSimplifiedGeometryInternal=function(e){var n=[];return n.length=N7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e,n,0),new t(n,h7)},t.prototype.getType=function(){return"LineString"},t.prototype.intersectsExtent=function(e){return nee(this.flatCoordinates,0,this.flatCoordinates.length,this.stride,e)},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,1),this.flatCoordinates||(this.flatCoordinates=[]),this.flatCoordinates.length=M7(this.flatCoordinates,0,e,this.stride),this.changed()},t}(A7);const lne=sne;var une=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),cne=function(e){function t(t,n,r){var i=e.call(this)||this;if(i.ends_=[],i.maxDelta_=-1,i.maxDeltaRevision_=-1,Array.isArray(t[0]))i.setCoordinates(t,n);else if(void 0!==n&&r)i.setFlatCoordinates(n,t),i.ends_=r;else{for(var o=i.getLayout(),a=t,s=[],l=[],u=0,c=a.length;u<c;++u){var d=a[u];0===u&&(o=d.getLayout()),C9(s,d.getFlatCoordinates()),l.push(s.length)}i.setFlatCoordinates(o,s),i.ends_=l}return i}return une(t,e),t.prototype.appendLineString=function(e){this.flatCoordinates?C9(this.flatCoordinates,e.getFlatCoordinates().slice()):this.flatCoordinates=e.getFlatCoordinates().slice(),this.ends_.push(this.flatCoordinates.length),this.changed()},t.prototype.clone=function(){var e=new t(this.flatCoordinates.slice(),this.layout,this.ends_.slice());return e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){return r<J9(this.getExtent(),e,t)?r:(this.maxDeltaRevision_!=this.getRevision()&&(this.maxDelta_=Math.sqrt(P7(this.flatCoordinates,0,this.ends_,this.stride,0)),this.maxDeltaRevision_=this.getRevision()),R7(this.flatCoordinates,0,this.ends_,this.stride,this.maxDelta_,!1,e,t,n,r))},t.prototype.getCoordinateAtM=function(e,t,n){if(this.layout!=m7&&this.layout!=g7||0===this.flatCoordinates.length)return null;var r=void 0!==t&&t,i=void 0!==n&&n;return function(e,t,n,r,i,o,a){if(a)return ine(e,t,n[n.length-1],r,i,o);var s;if(i<e[r-1])return o?((s=e.slice(0,r))[r-1]=i,s):null;if(e[e.length-1]<i)return o?((s=e.slice(e.length-r))[r-1]=i,s):null;for(var l=0,u=n.length;l<u;++l){var c=n[l];if(t!=c){if(i<e[t+r-1])return null;if(i<=e[c-1])return ine(e,t,c,r,i,!1);t=c}}return null}(this.flatCoordinates,0,this.ends_,this.stride,e,r,i)},t.prototype.getCoordinates=function(){return U7(this.flatCoordinates,0,this.ends_,this.stride)},t.prototype.getEnds=function(){return this.ends_},t.prototype.getLineString=function(e){return e<0||this.ends_.length<=e?null:new lne(this.flatCoordinates.slice(0===e?0:this.ends_[e-1],this.ends_[e]),this.layout)},t.prototype.getLineStrings=function(){for(var e=this.flatCoordinates,t=this.ends_,n=this.layout,r=[],i=0,o=0,a=t.length;o<a;++o){var s=t[o],l=new lne(e.slice(i,s),n);r.push(l),i=s}return r},t.prototype.getFlatMidpoints=function(){for(var e=[],t=this.flatCoordinates,n=0,r=this.ends_,i=this.stride,o=0,a=r.length;o<a;++o){var s=r[o];C9(e,rne(t,n,s,i,.5)),n=s}return e},t.prototype.getSimplifiedGeometryInternal=function(e){var n=[],r=[];return n.length=function(e,t,n,r,i,o,a,s){for(var l=0,u=n.length;l<u;++l){var c=n[l];a=N7(e,t,c,r,i,o,a),s.push(a),t=c}return a}(this.flatCoordinates,0,this.ends_,this.stride,e,n,0,r),new t(n,h7,r)},t.prototype.getType=function(){return"MultiLineString"},t.prototype.intersectsExtent=function(e){return function(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o){if(nee(e,t,n[o],r,i))return!0;t=n[o]}return!1}(this.flatCoordinates,0,this.ends_,this.stride,e)},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,2),this.flatCoordinates||(this.flatCoordinates=[]);var n=L7(this.flatCoordinates,0,e,this.stride,this.ends_);this.flatCoordinates.length=0===n.length?0:n[n.length-1],this.changed()},t}(A7);const dne=cne;var pne=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),fne=function(e){function t(t,n){var r=e.call(this)||this;return n&&!Array.isArray(t[0])?r.setFlatCoordinates(n,t):r.setCoordinates(t,n),r}return pne(t,e),t.prototype.appendPoint=function(e){this.flatCoordinates?C9(this.flatCoordinates,e.getFlatCoordinates()):this.flatCoordinates=e.getFlatCoordinates().slice(),this.changed()},t.prototype.clone=function(){var e=new t(this.flatCoordinates.slice(),this.layout);return e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){if(r<J9(this.getExtent(),e,t))return r;for(var i=this.flatCoordinates,o=this.stride,a=0,s=i.length;a<s;a+=o){var l=R3(e,t,i[a],i[a+1]);if(l<r){r=l;for(var u=0;u<o;++u)n[u]=i[a+u];n.length=o}}return r},t.prototype.getCoordinates=function(){return z7(this.flatCoordinates,0,this.flatCoordinates.length,this.stride)},t.prototype.getPoint=function(e){var t=this.flatCoordinates?this.flatCoordinates.length/this.stride:0;return e<0||t<=e?null:new Z7(this.flatCoordinates.slice(e*this.stride,(e+1)*this.stride),this.layout)},t.prototype.getPoints=function(){for(var e=this.flatCoordinates,t=this.layout,n=this.stride,r=[],i=0,o=e.length;i<o;i+=n){var a=new Z7(e.slice(i,i+n),t);r.push(a)}return r},t.prototype.getType=function(){return"MultiPoint"},t.prototype.intersectsExtent=function(e){for(var t=this.flatCoordinates,n=this.stride,r=0,i=t.length;r<i;r+=n)if(n3(e,t[r],t[r+1]))return!0;return!1},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,1),this.flatCoordinates||(this.flatCoordinates=[]),this.flatCoordinates.length=M7(this.flatCoordinates,0,e,this.stride),this.changed()},t}(A7);const hne=fne;var mne=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),gne=function(e){function t(t,n,r){var i=e.call(this)||this;if(i.endss_=[],i.flatInteriorPointsRevision_=-1,i.flatInteriorPoints_=null,i.maxDelta_=-1,i.maxDeltaRevision_=-1,i.orientedRevision_=-1,i.orientedFlatCoordinates_=null,!r&&!Array.isArray(t[0])){for(var o=i.getLayout(),a=t,s=[],l=[],u=0,c=a.length;u<c;++u){var d=a[u];0===u&&(o=d.getLayout());for(var p=s.length,f=d.getEnds(),h=0,m=f.length;h<m;++h)f[h]+=p;C9(s,d.getFlatCoordinates()),l.push(f)}n=o,t=s,r=l}return void 0!==n&&r?(i.setFlatCoordinates(n,t),i.endss_=r):i.setCoordinates(t,n),i}return mne(t,e),t.prototype.appendPolygon=function(e){var t;if(this.flatCoordinates){var n=this.flatCoordinates.length;C9(this.flatCoordinates,e.getFlatCoordinates());for(var r=0,i=(t=e.getEnds().slice()).length;r<i;++r)t[r]+=n}else this.flatCoordinates=e.getFlatCoordinates().slice(),t=e.getEnds().slice(),this.endss_.push();this.endss_.push(t),this.changed()},t.prototype.clone=function(){for(var e=this.endss_.length,n=new Array(e),r=0;r<e;++r)n[r]=this.endss_[r].slice();var i=new t(this.flatCoordinates.slice(),this.layout,n);return i.applyProperties(this),i},t.prototype.closestPointXY=function(e,t,n,r){return r<J9(this.getExtent(),e,t)?r:(this.maxDeltaRevision_!=this.getRevision()&&(this.maxDelta_=Math.sqrt(function(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o){var s=n[o];i=P7(e,t,s,r,i),t=s[s.length-1]}return i}(this.flatCoordinates,0,this.endss_,this.stride,0)),this.maxDeltaRevision_=this.getRevision()),function(e,t,n,r,i,o,a,s,l,u,c){for(var d=[NaN,NaN],p=0,f=n.length;p<f;++p){var h=n[p];u=R7(e,t,h,r,i,true,a,s,l,u,d),t=h[h.length-1]}return u}(this.getOrientedFlatCoordinates(),0,this.endss_,this.stride,this.maxDelta_,0,e,t,n,r))},t.prototype.containsXY=function(e,t){return function(e,t,n,r,i,o){if(0===n.length)return!1;for(var a=0,s=n.length;a<s;++a){var l=n[a];if(J7(e,t,l,r,i,o))return!0;t=l[l.length-1]}return!1}(this.getOrientedFlatCoordinates(),0,this.endss_,this.stride,e,t)},t.prototype.getArea=function(){return function(e,t,n,r){for(var i=0,o=0,a=n.length;o<a;++o){var s=n[o];i+=W7(e,t,s,r),t=s[s.length-1]}return i}(this.getOrientedFlatCoordinates(),0,this.endss_,this.stride)},t.prototype.getCoordinates=function(e){var t;return void 0!==e?uee(t=this.getOrientedFlatCoordinates().slice(),0,this.endss_,this.stride,e):t=this.flatCoordinates,q7(t,0,this.endss_,this.stride)},t.prototype.getEndss=function(){return this.endss_},t.prototype.getFlatInteriorPoints=function(){if(this.flatInteriorPointsRevision_!=this.getRevision()){var e=function(e,t,n,r){for(var i=[],o=[1/0,1/0,-1/0,-1/0],a=0,s=n.length;a<s;++a){var l=n[a];o=a3(e,t,l[0],r),i.push((o[0]+o[2])/2,(o[1]+o[3])/2),t=l[l.length-1]}return i}(this.flatCoordinates,0,this.endss_,this.stride);this.flatInteriorPoints_=function(e,t,n,r,i){for(var o=[],a=0,s=n.length;a<s;++a){var l=n[a];o=eee(e,t,l,r,i,2*a,o),t=l[l.length-1]}return o}(this.getOrientedFlatCoordinates(),0,this.endss_,this.stride,e),this.flatInteriorPointsRevision_=this.getRevision()}return this.flatInteriorPoints_},t.prototype.getInteriorPoints=function(){return new hne(this.getFlatInteriorPoints().slice(),m7)},t.prototype.getOrientedFlatCoordinates=function(){if(this.orientedRevision_!=this.getRevision()){var e=this.flatCoordinates;!function(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o){var s=n[o];if(!see(e,t,s,r,undefined))return!1;s.length&&(t=s[s.length-1])}return!0}(e,0,this.endss_,this.stride)?(this.orientedFlatCoordinates_=e.slice(),this.orientedFlatCoordinates_.length=uee(this.orientedFlatCoordinates_,0,this.endss_,this.stride)):this.orientedFlatCoordinates_=e,this.orientedRevision_=this.getRevision()}return this.orientedFlatCoordinates_},t.prototype.getSimplifiedGeometryInternal=function(e){var n=[],r=[];return n.length=function(e,t,n,r,i,o,a,s){for(var l=0,u=n.length;l<u;++l){var c=n[l],d=[];a=B7(e,t,c,r,i,o,a,d),s.push(d),t=c[c.length-1]}return a}(this.flatCoordinates,0,this.endss_,this.stride,Math.sqrt(e),n,0,r),new t(n,h7,r)},t.prototype.getPolygon=function(e){if(e<0||this.endss_.length<=e)return null;var t;if(0===e)t=0;else{var n=this.endss_[e-1];t=n[n.length-1]}var r=this.endss_[e].slice(),i=r[r.length-1];if(0!==t)for(var o=0,a=r.length;o<a;++o)r[o]-=t;return new pee(this.flatCoordinates.slice(t,i),this.layout,r)},t.prototype.getPolygons=function(){for(var e=this.layout,t=this.flatCoordinates,n=this.endss_,r=[],i=0,o=0,a=n.length;o<a;++o){var s=n[o].slice(),l=s[s.length-1];if(0!==i)for(var u=0,c=s.length;u<c;++u)s[u]-=i;var d=new pee(t.slice(i,l),e,s);r.push(d),i=l}return r},t.prototype.getType=function(){return"MultiPolygon"},t.prototype.intersectsExtent=function(e){return function(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o){var s=n[o];if(iee(e,t,s,r,i))return!0;t=s[s.length-1]}return!1}(this.getOrientedFlatCoordinates(),0,this.endss_,this.stride,e)},t.prototype.setCoordinates=function(e,t){this.setLayout(t,e,3),this.flatCoordinates||(this.flatCoordinates=[]);var n=function(e,t,n,r,i){for(var o=i||[],a=0,s=0,l=n.length;s<l;++s){var u=L7(e,t,n[s],r,o[a]);o[a++]=u,t=u[u.length-1]}return o.length=a,o}(this.flatCoordinates,0,e,this.stride,this.endss_);if(0===n.length)this.flatCoordinates.length=0;else{var r=n[n.length-1];this.flatCoordinates.length=0===r.length?0:r[r.length-1]}this.changed()},t}(A7);const vne=gne;var yne=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),bne=function(e){function t(t){var n=this,r=t||{};return(n=e.call(this)||this).dataProjection=B6(r.dataProjection?r.dataProjection:"EPSG:4326"),r.featureProjection&&(n.defaultFeatureProjection=B6(r.featureProjection)),n.geometryName_=r.geometryName,n.extractGeometryName_=r.extractGeometryName,n.supportedMediaTypes=["application/geo+json","application/vnd.geo+json"],n}return yne(t,e),t.prototype.readFeatureFromObject=function(e,t){var n,r=wne((n="Feature"===e.type?e:{type:"Feature",geometry:e,properties:null}).geometry,t),i=new Vte;return this.geometryName_?i.setGeometryName(this.geometryName_):this.extractGeometryName_&&"geometry_name"in n!==void 0&&i.setGeometryName(n.geometry_name),i.setGeometry(r),"id"in n&&i.setId(n.id),n.properties&&i.setProperties(n.properties,!0),i},t.prototype.readFeaturesFromObject=function(e,t){var n=null;if("FeatureCollection"===e.type){n=[];for(var r=e.features,i=0,o=r.length;i<o;++i)n.push(this.readFeatureFromObject(r[i],t))}else n=[this.readFeatureFromObject(e,t)];return n},t.prototype.readGeometryFromObject=function(e,t){return wne(e,t)},t.prototype.readProjectionFromObject=function(e){var t,n=e.crs;return n?"name"==n.type?t=B6(n.properties.name):"EPSG"===n.type?t=B6("EPSG:"+n.properties.code):$9(!1,36):t=this.dataProjection,t},t.prototype.writeFeatureObject=function(e,t){t=this.adaptOptions(t);var n={type:"Feature",geometry:null,properties:null},r=e.getId();if(void 0!==r&&(n.id=r),!e.hasProperties())return n;var i=e.getProperties(),o=e.getGeometry();return o&&(n.geometry=_ne(o,t),delete i[e.getGeometryName()]),e4(i)||(n.properties=i),n},t.prototype.writeFeaturesObject=function(e,t){t=this.adaptOptions(t);for(var n=[],r=0,i=e.length;r<i;++r)n.push(this.writeFeatureObject(e[r],t));return{type:"FeatureCollection",features:n}},t.prototype.writeGeometryObject=function(e,t){return _ne(e,this.adaptOptions(t))},t}(nne);function wne(e,t){if(!e)return null;var n;switch(e.type){case"Point":n=function(e){return new Z7(e.coordinates)}(e);break;case"LineString":n=function(e){return new lne(e.coordinates)}(e);break;case"Polygon":n=function(e){return new pee(e.coordinates)}(e);break;case"MultiPoint":n=function(e){return new hne(e.coordinates)}(e);break;case"MultiLineString":n=function(e){return new dne(e.coordinates)}(e);break;case"MultiPolygon":n=function(e){return new vne(e.coordinates)}(e);break;case"GeometryCollection":n=function(e,t){var n=e.geometries.map((function(e){return wne(e,undefined)}));return new Zte(n)}(e);break;default:throw new Error("Unsupported GeoJSON type: "+e.type)}return Jte(n,!1,t)}function _ne(e,t){var n,r=(e=Jte(e,!0,t)).getType();switch(r){case"Point":n=function(e,t){return{type:"Point",coordinates:e.getCoordinates()}}(e);break;case"LineString":n=function(e,t){return{type:"LineString",coordinates:e.getCoordinates()}}(e);break;case"Polygon":n=function(e,t){var n;return t&&(n=t.rightHanded),{type:"Polygon",coordinates:e.getCoordinates(n)}}(e,t);break;case"MultiPoint":n=function(e,t){return{type:"MultiPoint",coordinates:e.getCoordinates()}}(e);break;case"MultiLineString":n=function(e,t){return{type:"MultiLineString",coordinates:e.getCoordinates()}}(e);break;case"MultiPolygon":n=function(e,t){var n;return t&&(n=t.rightHanded),{type:"MultiPolygon",coordinates:e.getCoordinates(n)}}(e,t);break;case"GeometryCollection":n=function(e,t){var n=e.getGeometriesArray().map((function(e){var n=X3({},t);return delete n.featureProjection,_ne(e,n)}));return{type:"GeometryCollection",geometries:n}}(e,t);break;case"Circle":n={type:"GeometryCollection",geometries:[]};break;default:throw new Error("Unsupported geometry type: "+r)}return n}const xne=bne;var kne=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Ene=function(e){function t(t,n,r){var i=e.call(this)||this;if(void 0!==r&&void 0===n)i.setFlatCoordinates(r,t);else{var o=n||0;i.setCenterAndRadius(t,o,r)}return i}return kne(t,e),t.prototype.clone=function(){var e=new t(this.flatCoordinates.slice(),void 0,this.layout);return e.applyProperties(this),e},t.prototype.closestPointXY=function(e,t,n,r){var i=this.flatCoordinates,o=e-i[0],a=t-i[1],s=o*o+a*a;if(s<r){if(0===s)for(var l=0;l<this.stride;++l)n[l]=i[l];else{var u=this.getRadius()/Math.sqrt(s);for(n[0]=i[0]+u*o,n[1]=i[1]+u*a,l=2;l<this.stride;++l)n[l]=i[l]}return n.length=this.stride,s}return r},t.prototype.containsXY=function(e,t){var n=this.flatCoordinates,r=e-n[0],i=t-n[1];return r*r+i*i<=this.getRadiusSquared_()},t.prototype.getCenter=function(){return this.flatCoordinates.slice(0,this.stride)},t.prototype.computeExtent=function(e){var t=this.flatCoordinates,n=t[this.stride]-t[0];return i3(t[0]-n,t[1]-n,t[0]+n,t[1]+n,e)},t.prototype.getRadius=function(){return Math.sqrt(this.getRadiusSquared_())},t.prototype.getRadiusSquared_=function(){var e=this.flatCoordinates[this.stride]-this.flatCoordinates[0],t=this.flatCoordinates[this.stride+1]-this.flatCoordinates[1];return e*e+t*t},t.prototype.getType=function(){return"Circle"},t.prototype.intersectsExtent=function(e){if(C3(e,this.getExtent())){var t=this.getCenter();return e[0]<=t[0]&&e[2]>=t[0]||e[1]<=t[1]&&e[3]>=t[1]||p3(e,this.intersectsCoordinate.bind(this))}return!1},t.prototype.setCenter=function(e){var t=this.stride,n=this.flatCoordinates[t]-this.flatCoordinates[0],r=e.slice();r[t]=r[0]+n;for(var i=1;i<t;++i)r[t+i]=e[i];this.setFlatCoordinates(this.layout,r),this.changed()},t.prototype.setCenterAndRadius=function(e,t,n){this.setLayout(n,e,0),this.flatCoordinates||(this.flatCoordinates=[]);var r=this.flatCoordinates,i=I7(r,0,e,this.stride);r[i++]=r[0]+t;for(var o=1,a=this.stride;o<a;++o)r[i++]=r[o];r.length=i,this.changed()},t.prototype.getCoordinates=function(){return null},t.prototype.setCoordinates=function(e,t){},t.prototype.setRadius=function(e){this.flatCoordinates[this.stride]=this.flatCoordinates[0]+e,this.changed()},t.prototype.rotate=function(e,t){var n=this.getCenter(),r=this.getStride();this.setCenter(y7(n,0,n.length,r,e,t,n)),this.changed()},t.prototype.translate=function(e,t){var n=this.getCenter(),r=this.getStride();this.setCenter(b7(n,0,n.length,r,e,t,n)),this.changed()},t}(A7);Ene.prototype.transform;const Cne=Ene;var Sne=__webpack_require__(30147);var Ane=function(){function e(e){this.opacity_=e.opacity,this.rotateWithView_=e.rotateWithView,this.rotation_=e.rotation,this.scale_=e.scale,this.scaleArray_=_ee(e.scale),this.displacement_=e.displacement,this.declutterMode_=e.declutterMode}return e.prototype.clone=function(){var t=this.getScale();return new e({opacity:this.getOpacity(),scale:Array.isArray(t)?t.slice():t,rotation:this.getRotation(),rotateWithView:this.getRotateWithView(),displacement:this.getDisplacement().slice(),declutterMode:this.getDeclutterMode()})},e.prototype.getOpacity=function(){return this.opacity_},e.prototype.getRotateWithView=function(){return this.rotateWithView_},e.prototype.getRotation=function(){return this.rotation_},e.prototype.getScale=function(){return this.scale_},e.prototype.getScaleArray=function(){return this.scaleArray_},e.prototype.getDisplacement=function(){return this.displacement_},e.prototype.getDeclutterMode=function(){return this.declutterMode_},e.prototype.getAnchor=function(){return P9()},e.prototype.getImage=function(e){return P9()},e.prototype.getHitDetectionImage=function(){return P9()},e.prototype.getPixelRatio=function(e){return 1},e.prototype.getImageState=function(){return P9()},e.prototype.getImageSize=function(){return P9()},e.prototype.getOrigin=function(){return P9()},e.prototype.getSize=function(){return P9()},e.prototype.setDisplacement=function(e){this.displacement_=e},e.prototype.setOpacity=function(e){this.opacity_=e},e.prototype.setRotateWithView=function(e){this.rotateWithView_=e},e.prototype.setRotation=function(e){this.rotation_=e},e.prototype.setScale=function(e){this.scale_=e,this.scaleArray_=_ee(e)},e.prototype.listenImageChange=function(e){P9()},e.prototype.load=function(){P9()},e.prototype.unlistenImageChange=function(e){P9()},e}();const Tne=Ane;function One(e){return Array.isArray(e)?H3(e):e}var Pne=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Dne=function(e){function t(t){var n=this,r=void 0!==t.rotateWithView&&t.rotateWithView;return(n=e.call(this,{opacity:1,rotateWithView:r,rotation:void 0!==t.rotation?t.rotation:0,scale:void 0!==t.scale?t.scale:1,displacement:void 0!==t.displacement?t.displacement:[0,0],declutterMode:t.declutterMode})||this).canvas_=void 0,n.hitDetectionCanvas_=null,n.fill_=void 0!==t.fill?t.fill:null,n.origin_=[0,0],n.points_=t.points,n.radius_=void 0!==t.radius?t.radius:t.radius1,n.radius2_=t.radius2,n.angle_=void 0!==t.angle?t.angle:0,n.stroke_=void 0!==t.stroke?t.stroke:null,n.size_=null,n.renderOptions_=null,n.render(),n}return Pne(t,e),t.prototype.clone=function(){var e=this.getScale(),n=new t({fill:this.getFill()?this.getFill().clone():void 0,points:this.getPoints(),radius:this.getRadius(),radius2:this.getRadius2(),angle:this.getAngle(),stroke:this.getStroke()?this.getStroke().clone():void 0,rotation:this.getRotation(),rotateWithView:this.getRotateWithView(),scale:Array.isArray(e)?e.slice():e,displacement:this.getDisplacement().slice(),declutterMode:this.getDeclutterMode()});return n.setOpacity(this.getOpacity()),n},t.prototype.getAnchor=function(){var e=this.size_;if(!e)return null;var t=this.getDisplacement();return[e[0]/2-t[0],e[1]/2+t[1]]},t.prototype.getAngle=function(){return this.angle_},t.prototype.getFill=function(){return this.fill_},t.prototype.setFill=function(e){this.fill_=e,this.render()},t.prototype.getHitDetectionImage=function(){return this.hitDetectionCanvas_||this.createHitDetectionCanvas_(this.renderOptions_),this.hitDetectionCanvas_},t.prototype.getImage=function(e){var t=this.canvas_[e];if(!t){var n=this.renderOptions_,r=t8(n.size*e,n.size*e);this.draw_(n,r,e),t=r.canvas,this.canvas_[e]=t}return t},t.prototype.getPixelRatio=function(e){return e},t.prototype.getImageSize=function(){return this.size_},t.prototype.getImageState=function(){return 2},t.prototype.getOrigin=function(){return this.origin_},t.prototype.getPoints=function(){return this.points_},t.prototype.getRadius=function(){return this.radius_},t.prototype.getRadius2=function(){return this.radius2_},t.prototype.getSize=function(){return this.size_},t.prototype.getStroke=function(){return this.stroke_},t.prototype.setStroke=function(e){this.stroke_=e,this.render()},t.prototype.listenImageChange=function(e){},t.prototype.load=function(){},t.prototype.unlistenImageChange=function(e){},t.prototype.calculateLineJoinSize_=function(e,t,n){if(0===t||this.points_===1/0||"bevel"!==e&&"miter"!==e)return t;var r=this.radius_,i=void 0===this.radius2_?r:this.radius2_;if(r<i){var o=r;r=i,i=o}var a=void 0===this.radius2_?this.points_:2*this.points_,s=2*Math.PI/a,l=i*Math.sin(s),u=r-Math.sqrt(i*i-l*l),c=Math.sqrt(l*l+u*u),d=c/l;if("miter"===e&&d<=n)return d*t;var p=t/2/d,f=t/2*(u/c),h=Math.sqrt((r+p)*(r+p)+f*f)-r;if(void 0===this.radius2_||"bevel"===e)return 2*h;var m=r*Math.sin(s),g=i-Math.sqrt(r*r-m*m),v=Math.sqrt(m*m+g*g)/m;if(v<=n){var y=v*t/2-i-r;return 2*Math.max(h,y)}return 2*h},t.prototype.createRenderOptions=function(){var e,t=u8,n=0,r=null,i=0,o=0;this.stroke_&&(null===(e=this.stroke_.getColor())&&(e=c8),e=One(e),void 0===(o=this.stroke_.getWidth())&&(o=1),r=this.stroke_.getLineDash(),i=this.stroke_.getLineDashOffset(),void 0===(t=this.stroke_.getLineJoin())&&(t=u8),void 0===(n=this.stroke_.getMiterLimit())&&(n=10));var a=this.calculateLineJoinSize_(t,o,n),s=Math.max(this.radius_,this.radius2_||0);return{strokeStyle:e,strokeWidth:o,size:Math.ceil(2*s+a),lineDash:r,lineDashOffset:i,lineJoin:t,miterLimit:n}},t.prototype.render=function(){this.renderOptions_=this.createRenderOptions();var e=this.renderOptions_.size;this.canvas_={},this.size_=[e,e]},t.prototype.draw_=function(e,t,n){if(t.scale(n,n),t.translate(e.size/2,e.size/2),this.createPath_(t),this.fill_){var r=this.fill_.getColor();null===r&&(r=a8),t.fillStyle=One(r),t.fill()}this.stroke_&&(t.strokeStyle=e.strokeStyle,t.lineWidth=e.strokeWidth,t.setLineDash&&e.lineDash&&(t.setLineDash(e.lineDash),t.lineDashOffset=e.lineDashOffset),t.lineJoin=e.lineJoin,t.miterLimit=e.miterLimit,t.stroke())},t.prototype.createHitDetectionCanvas_=function(e){if(this.fill_){var t=this.fill_.getColor(),n=0;if("string"==typeof t&&(t=$3(t)),null===t?n=1:Array.isArray(t)&&(n=4===t.length?t[3]:1),0===n){var r=t8(e.size,e.size);this.hitDetectionCanvas_=r.canvas,this.drawHitDetectionCanvas_(e,r)}}this.hitDetectionCanvas_||(this.hitDetectionCanvas_=this.getImage(1))},t.prototype.createPath_=function(e){var t=this.points_,n=this.radius_;if(t===1/0)e.arc(0,0,n,0,2*Math.PI);else{var r=void 0===this.radius2_?n:this.radius2_;void 0!==this.radius2_&&(t*=2);for(var i=this.angle_-Math.PI/2,o=2*Math.PI/t,a=0;a<t;a++){var s=i+a*o,l=a%2==0?n:r;e.lineTo(l*Math.cos(s),l*Math.sin(s))}e.closePath()}},t.prototype.drawHitDetectionCanvas_=function(e,t){t.translate(e.size/2,e.size/2),this.createPath_(t),t.fillStyle=a8,t.fill(),this.stroke_&&(t.strokeStyle=e.strokeStyle,t.lineWidth=e.strokeWidth,e.lineDash&&(t.setLineDash(e.lineDash),t.lineDashOffset=e.lineDashOffset),t.lineJoin=e.lineJoin,t.miterLimit=e.miterLimit,t.stroke())},t}(Tne);const Rne=Dne;var Ine=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Mne=function(e){function t(t){var n=t||{};return e.call(this,{points:1/0,fill:n.fill,radius:n.radius,stroke:n.stroke,scale:void 0!==n.scale?n.scale:1,rotation:void 0!==n.rotation?n.rotation:0,rotateWithView:void 0!==n.rotateWithView&&n.rotateWithView,displacement:void 0!==n.displacement?n.displacement:[0,0],declutterMode:n.declutterMode})||this}return Ine(t,e),t.prototype.clone=function(){var e=this.getScale(),n=new t({fill:this.getFill()?this.getFill().clone():void 0,stroke:this.getStroke()?this.getStroke().clone():void 0,radius:this.getRadius(),scale:Array.isArray(e)?e.slice():e,rotation:this.getRotation(),rotateWithView:this.getRotateWithView(),displacement:this.getDisplacement().slice(),declutterMode:this.getDeclutterMode()});return n.setOpacity(this.getOpacity()),n},t.prototype.setRadius=function(e){this.radius_=e,this.render()},t}(Rne);const Lne=Mne;var Nne=function(){function e(e){var t=e||{};this.color_=void 0!==t.color?t.color:null}return e.prototype.clone=function(){var t=this.getColor();return new e({color:Array.isArray(t)?t.slice():t||void 0})},e.prototype.getColor=function(){return this.color_},e.prototype.setColor=function(e){this.color_=e},e}();const jne=Nne;var Fne=function(){function e(e){var t=e||{};this.color_=void 0!==t.color?t.color:null,this.lineCap_=t.lineCap,this.lineDash_=void 0!==t.lineDash?t.lineDash:null,this.lineDashOffset_=t.lineDashOffset,this.lineJoin_=t.lineJoin,this.miterLimit_=t.miterLimit,this.width_=t.width}return e.prototype.clone=function(){var t=this.getColor();return new e({color:Array.isArray(t)?t.slice():t||void 0,lineCap:this.getLineCap(),lineDash:this.getLineDash()?this.getLineDash().slice():void 0,lineDashOffset:this.getLineDashOffset(),lineJoin:this.getLineJoin(),miterLimit:this.getMiterLimit(),width:this.getWidth()})},e.prototype.getColor=function(){return this.color_},e.prototype.getLineCap=function(){return this.lineCap_},e.prototype.getLineDash=function(){return this.lineDash_},e.prototype.getLineDashOffset=function(){return this.lineDashOffset_},e.prototype.getLineJoin=function(){return this.lineJoin_},e.prototype.getMiterLimit=function(){return this.miterLimit_},e.prototype.getWidth=function(){return this.width_},e.prototype.setColor=function(e){this.color_=e},e.prototype.setLineCap=function(e){this.lineCap_=e},e.prototype.setLineDash=function(e){this.lineDash_=e},e.prototype.setLineDashOffset=function(e){this.lineDashOffset_=e},e.prototype.setLineJoin=function(e){this.lineJoin_=e},e.prototype.setMiterLimit=function(e){this.miterLimit_=e},e.prototype.setWidth=function(e){this.width_=e},e}();const Bne=Fne;var zne=function(){function e(e){var t=e||{};this.geometry_=null,this.geometryFunction_=$ne,void 0!==t.geometry&&this.setGeometry(t.geometry),this.fill_=void 0!==t.fill?t.fill:null,this.image_=void 0!==t.image?t.image:null,this.renderer_=void 0!==t.renderer?t.renderer:null,this.hitDetectionRenderer_=void 0!==t.hitDetectionRenderer?t.hitDetectionRenderer:null,this.stroke_=void 0!==t.stroke?t.stroke:null,this.text_=void 0!==t.text?t.text:null,this.zIndex_=t.zIndex}return e.prototype.clone=function(){var t=this.getGeometry();return t&&"object"==typeof t&&(t=t.clone()),new e({geometry:t,fill:this.getFill()?this.getFill().clone():void 0,image:this.getImage()?this.getImage().clone():void 0,renderer:this.getRenderer(),stroke:this.getStroke()?this.getStroke().clone():void 0,text:this.getText()?this.getText().clone():void 0,zIndex:this.getZIndex()})},e.prototype.getRenderer=function(){return this.renderer_},e.prototype.setRenderer=function(e){this.renderer_=e},e.prototype.setHitDetectionRenderer=function(e){this.hitDetectionRenderer_=e},e.prototype.getHitDetectionRenderer=function(){return this.hitDetectionRenderer_},e.prototype.getGeometry=function(){return this.geometry_},e.prototype.getGeometryFunction=function(){return this.geometryFunction_},e.prototype.getFill=function(){return this.fill_},e.prototype.setFill=function(e){this.fill_=e},e.prototype.getImage=function(){return this.image_},e.prototype.setImage=function(e){this.image_=e},e.prototype.getStroke=function(){return this.stroke_},e.prototype.setStroke=function(e){this.stroke_=e},e.prototype.getText=function(){return this.text_},e.prototype.setText=function(e){this.text_=e},e.prototype.getZIndex=function(){return this.zIndex_},e.prototype.setGeometry=function(e){"function"==typeof e?this.geometryFunction_=e:"string"==typeof e?this.geometryFunction_=function(t){return t.get(e)}:e?void 0!==e&&(this.geometryFunction_=function(){return e}):this.geometryFunction_=$ne,this.geometry_=e},e.prototype.setZIndex=function(e){this.zIndex_=e},e}(),Une=null;function qne(e,t){if(!Une){var n=new jne({color:"rgba(255,255,255,0.4)"}),r=new Bne({color:"#3399CC",width:1.25});Une=[new zne({image:new Lne({fill:n,stroke:r,radius:5}),fill:n,stroke:r})]}return Une}function $ne(e){return e.getGeometry()}var Wne=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Hne="renderOrder",Vne=function(e){function t(t){var n=this,r=t||{},i=X3({},r);return delete i.style,delete i.renderBuffer,delete i.updateWhileAnimating,delete i.updateWhileInteracting,(n=e.call(this,i)||this).declutter_=void 0!==r.declutter&&r.declutter,n.renderBuffer_=void 0!==r.renderBuffer?r.renderBuffer:100,n.style_=null,n.styleFunction_=void 0,n.setStyle(r.style),n.updateWhileAnimating_=void 0!==r.updateWhileAnimating&&r.updateWhileAnimating,n.updateWhileInteracting_=void 0!==r.updateWhileInteracting&&r.updateWhileInteracting,n}return Wne(t,e),t.prototype.getDeclutter=function(){return this.declutter_},t.prototype.getFeatures=function(t){return e.prototype.getFeatures.call(this,t)},t.prototype.getRenderBuffer=function(){return this.renderBuffer_},t.prototype.getRenderOrder=function(){return this.get(Hne)},t.prototype.getStyle=function(){return this.style_},t.prototype.getStyleFunction=function(){return this.styleFunction_},t.prototype.getUpdateWhileAnimating=function(){return this.updateWhileAnimating_},t.prototype.getUpdateWhileInteracting=function(){return this.updateWhileInteracting_},t.prototype.renderDeclutter=function(e){e.declutterTree||(e.declutterTree=new Sne(9)),this.getRenderer().renderDeclutter(e)},t.prototype.setRenderOrder=function(e){this.set(Hne,e)},t.prototype.setStyle=function(e){this.style_=void 0!==e?e:qne,this.styleFunction_=null===e?void 0:function(e){var t,n;"function"==typeof e?t=e:(Array.isArray(e)?n=e:($9("function"==typeof e.getZIndex,41),n=[e]),t=function(){return n});return t}(this.style_),this.changed()},t}(F4);const Gne=Vne;var Kne={BEGIN_GEOMETRY:0,BEGIN_PATH:1,CIRCLE:2,CLOSE_PATH:3,CUSTOM:4,DRAW_CHARS:5,DRAW_IMAGE:6,END_GEOMETRY:7,FILL:8,MOVE_TO_LINE_TO:9,SET_FILL_STYLE:10,SET_STROKE_STYLE:11,STROKE:12},Yne=[Kne.FILL],Zne=[Kne.STROKE],Xne=[Kne.BEGIN_PATH],Qne=[Kne.CLOSE_PATH];const Jne=Kne,ere=function(){function e(){}return e.prototype.drawCustom=function(e,t,n,r){},e.prototype.drawGeometry=function(e){},e.prototype.setStyle=function(e){},e.prototype.drawCircle=function(e,t){},e.prototype.drawFeature=function(e,t){},e.prototype.drawGeometryCollection=function(e,t){},e.prototype.drawLineString=function(e,t){},e.prototype.drawMultiLineString=function(e,t){},e.prototype.drawMultiPoint=function(e,t){},e.prototype.drawMultiPolygon=function(e,t){},e.prototype.drawPoint=function(e,t){},e.prototype.drawPolygon=function(e,t){},e.prototype.drawText=function(e,t){},e.prototype.setFillStrokeStyle=function(e,t){},e.prototype.setImageStyle=function(e,t){},e.prototype.setTextStyle=function(e,t){},e}();var tre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),nre=function(e){function t(t,n,r,i){var o=e.call(this)||this;return o.tolerance=t,o.maxExtent=n,o.pixelRatio=i,o.maxLineWidth=0,o.resolution=r,o.beginGeometryInstruction1_=null,o.beginGeometryInstruction2_=null,o.bufferedMaxExtent_=null,o.instructions=[],o.coordinates=[],o.tmpCoordinate_=[],o.hitDetectionInstructions=[],o.state={},o}return tre(t,e),t.prototype.applyPixelRatio=function(e){var t=this.pixelRatio;return 1==t?e:e.map((function(e){return e*t}))},t.prototype.appendFlatPointCoordinates=function(e,t){for(var n=this.getBufferedMaxExtent(),r=this.tmpCoordinate_,i=this.coordinates,o=i.length,a=0,s=e.length;a<s;a+=t)r[0]=e[a],r[1]=e[a+1],e3(n,r)&&(i[o++]=r[0],i[o++]=r[1]);return o},t.prototype.appendFlatLineCoordinates=function(e,t,n,r,i,o){var a=this.coordinates,s=a.length,l=this.getBufferedMaxExtent();o&&(t+=r);var u,c,d,p=e[t],f=e[t+1],h=this.tmpCoordinate_,m=!0;for(u=t+r;u<n;u+=r)h[0]=e[u],h[1]=e[u+1],(d=r3(l,h))!==c?(m&&(a[s++]=p,a[s++]=f,m=!1),a[s++]=h[0],a[s++]=h[1]):d===Y9.INTERSECTING?(a[s++]=h[0],a[s++]=h[1],m=!1):m=!0,p=h[0],f=h[1],c=d;return(i&&m||u===t+r)&&(a[s++]=p,a[s++]=f),s},t.prototype.drawCustomCoordinates_=function(e,t,n,r,i){for(var o=0,a=n.length;o<a;++o){var s=n[o],l=this.appendFlatLineCoordinates(e,t,s,r,!1,!1);i.push(l),t=s}return t},t.prototype.drawCustom=function(e,t,n,r){this.beginGeometry(e,t);var i,o,a,s,l,u=e.getType(),c=e.getStride(),d=this.coordinates.length;switch(u){case"MultiPolygon":i=e.getOrientedFlatCoordinates(),s=[];var p=e.getEndss();l=0;for(var f=0,h=p.length;f<h;++f){var m=[];l=this.drawCustomCoordinates_(i,l,p[f],c,m),s.push(m)}this.instructions.push([Jne.CUSTOM,d,s,e,n,q7]),this.hitDetectionInstructions.push([Jne.CUSTOM,d,s,e,r||n,q7]);break;case"Polygon":case"MultiLineString":a=[],i="Polygon"==u?e.getOrientedFlatCoordinates():e.getFlatCoordinates(),l=this.drawCustomCoordinates_(i,0,e.getEnds(),c,a),this.instructions.push([Jne.CUSTOM,d,a,e,n,U7]),this.hitDetectionInstructions.push([Jne.CUSTOM,d,a,e,r||n,U7]);break;case"LineString":case"Circle":i=e.getFlatCoordinates(),o=this.appendFlatLineCoordinates(i,0,i.length,c,!1,!1),this.instructions.push([Jne.CUSTOM,d,o,e,n,z7]),this.hitDetectionInstructions.push([Jne.CUSTOM,d,o,e,r||n,z7]);break;case"MultiPoint":i=e.getFlatCoordinates(),(o=this.appendFlatPointCoordinates(i,c))>d&&(this.instructions.push([Jne.CUSTOM,d,o,e,n,z7]),this.hitDetectionInstructions.push([Jne.CUSTOM,d,o,e,r||n,z7]));break;case"Point":i=e.getFlatCoordinates(),this.coordinates.push(i[0],i[1]),o=this.coordinates.length,this.instructions.push([Jne.CUSTOM,d,o,e,n]),this.hitDetectionInstructions.push([Jne.CUSTOM,d,o,e,r||n])}this.endGeometry(t)},t.prototype.beginGeometry=function(e,t){this.beginGeometryInstruction1_=[Jne.BEGIN_GEOMETRY,t,0,e],this.instructions.push(this.beginGeometryInstruction1_),this.beginGeometryInstruction2_=[Jne.BEGIN_GEOMETRY,t,0,e],this.hitDetectionInstructions.push(this.beginGeometryInstruction2_)},t.prototype.finish=function(){return{instructions:this.instructions,hitDetectionInstructions:this.hitDetectionInstructions,coordinates:this.coordinates}},t.prototype.reverseHitDetectionInstructions=function(){var e,t=this.hitDetectionInstructions;t.reverse();var n,r,i=t.length,o=-1;for(e=0;e<i;++e)(r=(n=t[e])[0])==Jne.END_GEOMETRY?o=e:r==Jne.BEGIN_GEOMETRY&&(n[2]=e,E9(this.hitDetectionInstructions,o,e),o=-1)},t.prototype.setFillStrokeStyle=function(e,t){var n=this.state;if(e){var r=e.getColor();n.fillStyle=One(r||a8)}else n.fillStyle=void 0;if(t){var i=t.getColor();n.strokeStyle=One(i||c8);var o=t.getLineCap();n.lineCap=void 0!==o?o:s8;var a=t.getLineDash();n.lineDash=a?a.slice():l8;var s=t.getLineDashOffset();n.lineDashOffset=s||0;var l=t.getLineJoin();n.lineJoin=void 0!==l?l:u8;var u=t.getWidth();n.lineWidth=void 0!==u?u:1;var c=t.getMiterLimit();n.miterLimit=void 0!==c?c:10,n.lineWidth>this.maxLineWidth&&(this.maxLineWidth=n.lineWidth,this.bufferedMaxExtent_=null)}else n.strokeStyle=void 0,n.lineCap=void 0,n.lineDash=null,n.lineDashOffset=void 0,n.lineJoin=void 0,n.lineWidth=void 0,n.miterLimit=void 0},t.prototype.createFill=function(e){var t=e.fillStyle,n=[Jne.SET_FILL_STYLE,t];return"string"!=typeof t&&n.push(!0),n},t.prototype.applyStroke=function(e){this.instructions.push(this.createStroke(e))},t.prototype.createStroke=function(e){return[Jne.SET_STROKE_STYLE,e.strokeStyle,e.lineWidth*this.pixelRatio,e.lineCap,e.lineJoin,e.miterLimit,this.applyPixelRatio(e.lineDash),e.lineDashOffset*this.pixelRatio]},t.prototype.updateFillStyle=function(e,t){var n=e.fillStyle;"string"==typeof n&&e.currentFillStyle==n||(void 0!==n&&this.instructions.push(t.call(this,e)),e.currentFillStyle=n)},t.prototype.updateStrokeStyle=function(e,t){var n=e.strokeStyle,r=e.lineCap,i=e.lineDash,o=e.lineDashOffset,a=e.lineJoin,s=e.lineWidth,l=e.miterLimit;(e.currentStrokeStyle!=n||e.currentLineCap!=r||i!=e.currentLineDash&&!S9(e.currentLineDash,i)||e.currentLineDashOffset!=o||e.currentLineJoin!=a||e.currentLineWidth!=s||e.currentMiterLimit!=l)&&(void 0!==n&&t.call(this,e),e.currentStrokeStyle=n,e.currentLineCap=r,e.currentLineDash=i,e.currentLineDashOffset=o,e.currentLineJoin=a,e.currentLineWidth=s,e.currentMiterLimit=l)},t.prototype.endGeometry=function(e){this.beginGeometryInstruction1_[2]=this.instructions.length,this.beginGeometryInstruction1_=null,this.beginGeometryInstruction2_[2]=this.hitDetectionInstructions.length,this.beginGeometryInstruction2_=null;var t=[Jne.END_GEOMETRY,e];this.instructions.push(t),this.hitDetectionInstructions.push(t)},t.prototype.getBufferedMaxExtent=function(){if(!this.bufferedMaxExtent_&&(this.bufferedMaxExtent_=Q9(this.maxExtent),this.maxLineWidth>0)){var e=this.resolution*(this.maxLineWidth+1)/2;X9(this.bufferedMaxExtent_,e,this.bufferedMaxExtent_)}return this.bufferedMaxExtent_},t}(ere);const rre=nre;var ire=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),ore=function(e){function t(t,n,r,i){var o=e.call(this,t,n,r,i)||this;return o.hitDetectionImage_=null,o.image_=null,o.imagePixelRatio_=void 0,o.anchorX_=void 0,o.anchorY_=void 0,o.height_=void 0,o.opacity_=void 0,o.originX_=void 0,o.originY_=void 0,o.rotateWithView_=void 0,o.rotation_=void 0,o.scale_=void 0,o.width_=void 0,o.declutterMode_=void 0,o.declutterImageWithText_=void 0,o}return ire(t,e),t.prototype.drawPoint=function(e,t){if(this.image_){this.beginGeometry(e,t);var n=e.getFlatCoordinates(),r=e.getStride(),i=this.coordinates.length,o=this.appendFlatPointCoordinates(n,r);this.instructions.push([Jne.DRAW_IMAGE,i,o,this.image_,this.anchorX_*this.imagePixelRatio_,this.anchorY_*this.imagePixelRatio_,Math.ceil(this.height_*this.imagePixelRatio_),this.opacity_,this.originX_*this.imagePixelRatio_,this.originY_*this.imagePixelRatio_,this.rotateWithView_,this.rotation_,[this.scale_[0]*this.pixelRatio/this.imagePixelRatio_,this.scale_[1]*this.pixelRatio/this.imagePixelRatio_],Math.ceil(this.width_*this.imagePixelRatio_),this.declutterMode_,this.declutterImageWithText_]),this.hitDetectionInstructions.push([Jne.DRAW_IMAGE,i,o,this.hitDetectionImage_,this.anchorX_,this.anchorY_,this.height_,this.opacity_,this.originX_,this.originY_,this.rotateWithView_,this.rotation_,this.scale_,this.width_,this.declutterMode_,this.declutterImageWithText_]),this.endGeometry(t)}},t.prototype.drawMultiPoint=function(e,t){if(this.image_){this.beginGeometry(e,t);var n=e.getFlatCoordinates(),r=e.getStride(),i=this.coordinates.length,o=this.appendFlatPointCoordinates(n,r);this.instructions.push([Jne.DRAW_IMAGE,i,o,this.image_,this.anchorX_*this.imagePixelRatio_,this.anchorY_*this.imagePixelRatio_,Math.ceil(this.height_*this.imagePixelRatio_),this.opacity_,this.originX_*this.imagePixelRatio_,this.originY_*this.imagePixelRatio_,this.rotateWithView_,this.rotation_,[this.scale_[0]*this.pixelRatio/this.imagePixelRatio_,this.scale_[1]*this.pixelRatio/this.imagePixelRatio_],Math.ceil(this.width_*this.imagePixelRatio_),this.declutterMode_,this.declutterImageWithText_]),this.hitDetectionInstructions.push([Jne.DRAW_IMAGE,i,o,this.hitDetectionImage_,this.anchorX_,this.anchorY_,this.height_,this.opacity_,this.originX_,this.originY_,this.rotateWithView_,this.rotation_,this.scale_,this.width_,this.declutterMode_,this.declutterImageWithText_]),this.endGeometry(t)}},t.prototype.finish=function(){return this.reverseHitDetectionInstructions(),this.anchorX_=void 0,this.anchorY_=void 0,this.hitDetectionImage_=null,this.image_=null,this.imagePixelRatio_=void 0,this.height_=void 0,this.scale_=void 0,this.opacity_=void 0,this.originX_=void 0,this.originY_=void 0,this.rotateWithView_=void 0,this.rotation_=void 0,this.width_=void 0,e.prototype.finish.call(this)},t.prototype.setImageStyle=function(e,t){var n=e.getAnchor(),r=e.getSize(),i=e.getOrigin();this.imagePixelRatio_=e.getPixelRatio(this.pixelRatio),this.anchorX_=n[0],this.anchorY_=n[1],this.hitDetectionImage_=e.getHitDetectionImage(),this.image_=e.getImage(this.pixelRatio),this.height_=r[1],this.opacity_=e.getOpacity(),this.originX_=i[0],this.originY_=i[1],this.rotateWithView_=e.getRotateWithView(),this.rotation_=e.getRotation(),this.scale_=e.getScaleArray(),this.width_=r[0],this.declutterMode_=e.getDeclutterMode(),this.declutterImageWithText_=t},t}(rre);const are=ore;var sre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),lre=function(e){function t(t,n,r,i){return e.call(this,t,n,r,i)||this}return sre(t,e),t.prototype.drawFlatCoordinates_=function(e,t,n,r){var i=this.coordinates.length,o=this.appendFlatLineCoordinates(e,t,n,r,!1,!1),a=[Jne.MOVE_TO_LINE_TO,i,o];return this.instructions.push(a),this.hitDetectionInstructions.push(a),n},t.prototype.drawLineString=function(e,t){var n=this.state,r=n.strokeStyle,i=n.lineWidth;if(void 0!==r&&void 0!==i){this.updateStrokeStyle(n,this.applyStroke),this.beginGeometry(e,t),this.hitDetectionInstructions.push([Jne.SET_STROKE_STYLE,n.strokeStyle,n.lineWidth,n.lineCap,n.lineJoin,n.miterLimit,l8,0],Xne);var o=e.getFlatCoordinates(),a=e.getStride();this.drawFlatCoordinates_(o,0,o.length,a),this.hitDetectionInstructions.push(Zne),this.endGeometry(t)}},t.prototype.drawMultiLineString=function(e,t){var n=this.state,r=n.strokeStyle,i=n.lineWidth;if(void 0!==r&&void 0!==i){this.updateStrokeStyle(n,this.applyStroke),this.beginGeometry(e,t),this.hitDetectionInstructions.push([Jne.SET_STROKE_STYLE,n.strokeStyle,n.lineWidth,n.lineCap,n.lineJoin,n.miterLimit,n.lineDash,n.lineDashOffset],Xne);for(var o=e.getEnds(),a=e.getFlatCoordinates(),s=e.getStride(),l=0,u=0,c=o.length;u<c;++u)l=this.drawFlatCoordinates_(a,l,o[u],s);this.hitDetectionInstructions.push(Zne),this.endGeometry(t)}},t.prototype.finish=function(){var t=this.state;return null!=t.lastStroke&&t.lastStroke!=this.coordinates.length&&this.instructions.push(Zne),this.reverseHitDetectionInstructions(),this.state=null,e.prototype.finish.call(this)},t.prototype.applyStroke=function(t){null!=t.lastStroke&&t.lastStroke!=this.coordinates.length&&(this.instructions.push(Zne),t.lastStroke=this.coordinates.length),t.lastStroke=0,e.prototype.applyStroke.call(this,t),this.instructions.push(Xne)},t}(rre);const ure=lre;var cre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),dre=function(e){function t(t,n,r,i){return e.call(this,t,n,r,i)||this}return cre(t,e),t.prototype.drawFlatCoordinatess_=function(e,t,n,r){var i=this.state,o=void 0!==i.fillStyle,a=void 0!==i.strokeStyle,s=n.length;this.instructions.push(Xne),this.hitDetectionInstructions.push(Xne);for(var l=0;l<s;++l){var u=n[l],c=this.coordinates.length,d=this.appendFlatLineCoordinates(e,t,u,r,!0,!a),p=[Jne.MOVE_TO_LINE_TO,c,d];this.instructions.push(p),this.hitDetectionInstructions.push(p),a&&(this.instructions.push(Qne),this.hitDetectionInstructions.push(Qne)),t=u}return o&&(this.instructions.push(Yne),this.hitDetectionInstructions.push(Yne)),a&&(this.instructions.push(Zne),this.hitDetectionInstructions.push(Zne)),t},t.prototype.drawCircle=function(e,t){var n=this.state,r=n.fillStyle,i=n.strokeStyle;if(void 0!==r||void 0!==i){this.setFillStrokeStyles_(),this.beginGeometry(e,t),void 0!==n.fillStyle&&this.hitDetectionInstructions.push([Jne.SET_FILL_STYLE,a8]),void 0!==n.strokeStyle&&this.hitDetectionInstructions.push([Jne.SET_STROKE_STYLE,n.strokeStyle,n.lineWidth,n.lineCap,n.lineJoin,n.miterLimit,n.lineDash,n.lineDashOffset]);var o=e.getFlatCoordinates(),a=e.getStride(),s=this.coordinates.length;this.appendFlatLineCoordinates(o,0,o.length,a,!1,!1);var l=[Jne.CIRCLE,s];this.instructions.push(Xne,l),this.hitDetectionInstructions.push(Xne,l),void 0!==n.fillStyle&&(this.instructions.push(Yne),this.hitDetectionInstructions.push(Yne)),void 0!==n.strokeStyle&&(this.instructions.push(Zne),this.hitDetectionInstructions.push(Zne)),this.endGeometry(t)}},t.prototype.drawPolygon=function(e,t){var n=this.state,r=n.fillStyle,i=n.strokeStyle;if(void 0!==r||void 0!==i){this.setFillStrokeStyles_(),this.beginGeometry(e,t),void 0!==n.fillStyle&&this.hitDetectionInstructions.push([Jne.SET_FILL_STYLE,a8]),void 0!==n.strokeStyle&&this.hitDetectionInstructions.push([Jne.SET_STROKE_STYLE,n.strokeStyle,n.lineWidth,n.lineCap,n.lineJoin,n.miterLimit,n.lineDash,n.lineDashOffset]);var o=e.getEnds(),a=e.getOrientedFlatCoordinates(),s=e.getStride();this.drawFlatCoordinatess_(a,0,o,s),this.endGeometry(t)}},t.prototype.drawMultiPolygon=function(e,t){var n=this.state,r=n.fillStyle,i=n.strokeStyle;if(void 0!==r||void 0!==i){this.setFillStrokeStyles_(),this.beginGeometry(e,t),void 0!==n.fillStyle&&this.hitDetectionInstructions.push([Jne.SET_FILL_STYLE,a8]),void 0!==n.strokeStyle&&this.hitDetectionInstructions.push([Jne.SET_STROKE_STYLE,n.strokeStyle,n.lineWidth,n.lineCap,n.lineJoin,n.miterLimit,n.lineDash,n.lineDashOffset]);for(var o=e.getEndss(),a=e.getOrientedFlatCoordinates(),s=e.getStride(),l=0,u=0,c=o.length;u<c;++u)l=this.drawFlatCoordinatess_(a,l,o[u],s);this.endGeometry(t)}},t.prototype.finish=function(){this.reverseHitDetectionInstructions(),this.state=null;var t=this.tolerance;if(0!==t)for(var n=this.coordinates,r=0,i=n.length;r<i;++r)n[r]=j7(n[r],t);return e.prototype.finish.call(this)},t.prototype.setFillStrokeStyles_=function(){var e=this.state;void 0!==e.fillStyle&&this.updateFillStyle(e,this.createFill),void 0!==e.strokeStyle&&this.updateStrokeStyle(e,this.applyStroke)},t}(rre);const pre=dre;function fre(e,t,n,r,i){var o,a,s,l,u,c,d,p,f,h=n,m=n,g=0,v=0,y=n;for(o=n;o<r;o+=i){var b=t[o],w=t[o+1];void 0!==l&&(p=b-l,f=w-u,s=Math.sqrt(p*p+f*f),void 0!==c&&(v+=a,Math.acos((c*p+d*f)/(a*s))>e&&(v>g&&(g=v,h=y,m=o),v=0,y=o-i)),a=s,c=p,d=f),l=b,u=w}return(v+=s)>g?[y,o]:[h,m]}var hre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),mre={left:0,end:0,center:.5,right:1,start:1,top:0,middle:.5,hanging:.2,alphabetic:.8,ideographic:.8,bottom:1},gre=function(e){function t(t,n,r,i){var o=e.call(this,t,n,r,i)||this;return o.labels_=null,o.text_="",o.textOffsetX_=0,o.textOffsetY_=0,o.textRotateWithView_=void 0,o.textRotation_=0,o.textFillState_=null,o.fillStates={},o.textStrokeState_=null,o.strokeStates={},o.textState_={},o.textStates={},o.textKey_="",o.fillKey_="",o.strokeKey_="",o.declutterImageWithText_=void 0,o}return hre(t,e),t.prototype.finish=function(){var t=e.prototype.finish.call(this);return t.textStates=this.textStates,t.fillStates=this.fillStates,t.strokeStates=this.strokeStates,t},t.prototype.drawText=function(e,t){var n=this.textFillState_,r=this.textStrokeState_,i=this.textState_;if(""!==this.text_&&i&&(n||r)){var o=this.coordinates,a=o.length,s=e.getType(),l=null,u=e.getStride();if("line"!==i.placement||"LineString"!=s&&"MultiLineString"!=s&&"Polygon"!=s&&"MultiPolygon"!=s){var c=i.overflow?null:[];switch(s){case"Point":case"MultiPoint":l=e.getFlatCoordinates();break;case"LineString":l=e.getFlatMidpoint();break;case"Circle":l=e.getCenter();break;case"MultiLineString":l=e.getFlatMidpoints(),u=2;break;case"Polygon":l=e.getFlatInteriorPoint(),i.overflow||c.push(l[2]/this.resolution),u=3;break;case"MultiPolygon":var d=e.getFlatInteriorPoints();for(l=[],x=0,k=d.length;x<k;x+=3)i.overflow||c.push(d[x+2]/this.resolution),l.push(d[x],d[x+1]);if(0===l.length)return;u=2}if((P=this.appendFlatPointCoordinates(l,u))===a)return;if(c&&(P-a)/2!=l.length/u){var p=a/2;c=c.filter((function(e,t){var n=o[2*(p+t)]===l[t*u]&&o[2*(p+t)+1]===l[t*u+1];return n||--p,n}))}this.saveTextStates_(),(i.backgroundFill||i.backgroundStroke)&&(this.setFillStrokeStyle(i.backgroundFill,i.backgroundStroke),i.backgroundFill&&(this.updateFillStyle(this.state,this.createFill),this.hitDetectionInstructions.push(this.createFill(this.state))),i.backgroundStroke&&(this.updateStrokeStyle(this.state,this.applyStroke),this.hitDetectionInstructions.push(this.createStroke(this.state)))),this.beginGeometry(e,t);var f=i.padding;if(f!=f8&&(i.scale[0]<0||i.scale[1]<0)){var h=i.padding[0],m=i.padding[1],g=i.padding[2],v=i.padding[3];i.scale[0]<0&&(m=-m,v=-v),i.scale[1]<0&&(h=-h,g=-g),f=[h,m,g,v]}var y=this.pixelRatio;this.instructions.push([Jne.DRAW_IMAGE,a,P,null,NaN,NaN,NaN,1,0,0,this.textRotateWithView_,this.textRotation_,[1,1],NaN,void 0,this.declutterImageWithText_,f==f8?f8:f.map((function(e){return e*y})),!!i.backgroundFill,!!i.backgroundStroke,this.text_,this.textKey_,this.strokeKey_,this.fillKey_,this.textOffsetX_,this.textOffsetY_,c]);var b=1/y;this.hitDetectionInstructions.push([Jne.DRAW_IMAGE,a,P,null,NaN,NaN,NaN,1,0,0,this.textRotateWithView_,this.textRotation_,[b,b],NaN,void 0,this.declutterImageWithText_,f,!!i.backgroundFill,!!i.backgroundStroke,this.text_,this.textKey_,this.strokeKey_,this.fillKey_,this.textOffsetX_,this.textOffsetY_,c]),this.endGeometry(t)}else{if(!C3(this.getBufferedMaxExtent(),e.getExtent()))return;var w=void 0;if(l=e.getFlatCoordinates(),"LineString"==s)w=[l.length];else if("MultiLineString"==s)w=e.getEnds();else if("Polygon"==s)w=e.getEnds().slice(0,1);else if("MultiPolygon"==s){var _=e.getEndss();w=[];for(var x=0,k=_.length;x<k;++x)w.push(_[x][0])}this.beginGeometry(e,t);for(var E=i.textAlign,C=0,S=void 0,A=0,T=w.length;A<T;++A){if(null==E){var O=fre(i.maxAngle,l,C,w[A],u);C=O[0],S=O[1]}else S=w[A];for(x=C;x<S;x+=u)o.push(l[x],l[x+1]);var P=o.length;C=w[A],this.drawChars_(a,P),a=P}this.endGeometry(t)}}},t.prototype.saveTextStates_=function(){var e=this.textStrokeState_,t=this.textState_,n=this.textFillState_,r=this.strokeKey_;e&&(r in this.strokeStates||(this.strokeStates[r]={strokeStyle:e.strokeStyle,lineCap:e.lineCap,lineDashOffset:e.lineDashOffset,lineWidth:e.lineWidth,lineJoin:e.lineJoin,miterLimit:e.miterLimit,lineDash:e.lineDash}));var i=this.textKey_;i in this.textStates||(this.textStates[i]={font:t.font,textAlign:t.textAlign||d8,justify:t.justify,textBaseline:t.textBaseline||p8,scale:t.scale});var o=this.fillKey_;n&&(o in this.fillStates||(this.fillStates[o]={fillStyle:n.fillStyle}))},t.prototype.drawChars_=function(e,t){var n=this.textStrokeState_,r=this.textState_,i=this.strokeKey_,o=this.textKey_,a=this.fillKey_;this.saveTextStates_();var s=this.pixelRatio,l=mre[r.textBaseline],u=this.textOffsetY_*s,c=this.text_,d=n?n.lineWidth*Math.abs(r.scale[0])/2:0;this.instructions.push([Jne.DRAW_CHARS,e,t,l,r.overflow,a,r.maxAngle,s,u,i,d*s,c,o,1]),this.hitDetectionInstructions.push([Jne.DRAW_CHARS,e,t,l,r.overflow,a,r.maxAngle,1,u,i,d,c,o,1/s])},t.prototype.setTextStyle=function(e,t){var n,r,i;if(e){var o=e.getFill();o?((r=this.textFillState_)||(r={},this.textFillState_=r),r.fillStyle=One(o.getColor()||a8)):(r=null,this.textFillState_=r);var a=e.getStroke();if(a){(i=this.textStrokeState_)||(i={},this.textStrokeState_=i);var s=a.getLineDash(),l=a.getLineDashOffset(),u=a.getWidth(),c=a.getMiterLimit();i.lineCap=a.getLineCap()||s8,i.lineDash=s?s.slice():l8,i.lineDashOffset=void 0===l?0:l,i.lineJoin=a.getLineJoin()||u8,i.lineWidth=void 0===u?1:u,i.miterLimit=void 0===c?10:c,i.strokeStyle=One(a.getColor()||c8)}else i=null,this.textStrokeState_=i;n=this.textState_;var d=e.getFont()||o8;b8(d);var p=e.getScaleArray();n.overflow=e.getOverflow(),n.font=d,n.maxAngle=e.getMaxAngle(),n.placement=e.getPlacement(),n.textAlign=e.getTextAlign(),n.justify=e.getJustify(),n.textBaseline=e.getTextBaseline()||p8,n.backgroundFill=e.getBackgroundFill(),n.backgroundStroke=e.getBackgroundStroke(),n.padding=e.getPadding()||f8,n.scale=void 0===p?[1,1]:p;var f=e.getOffsetX(),h=e.getOffsetY(),m=e.getRotateWithView(),g=e.getRotation();this.text_=e.getText()||"",this.textOffsetX_=void 0===f?0:f,this.textOffsetY_=void 0===h?0:h,this.textRotateWithView_=void 0!==m&&m,this.textRotation_=void 0===g?0:g,this.strokeKey_=i?("string"==typeof i.strokeStyle?i.strokeStyle:R9(i.strokeStyle))+i.lineCap+i.lineDashOffset+"|"+i.lineWidth+i.lineJoin+i.miterLimit+"["+i.lineDash.join()+"]":"",this.textKey_=n.font+n.scale+(n.textAlign||"?")+(n.justify||"?")+(n.textBaseline||"?"),this.fillKey_=r?"string"==typeof r.fillStyle?r.fillStyle:"|"+R9(r.fillStyle):""}else this.text_="";this.declutterImageWithText_=t},t}(rre),vre={Circle:pre,Default:rre,Image:are,LineString:ure,Polygon:pre,Text:gre},yre=function(){function e(e,t,n,r){this.tolerance_=e,this.maxExtent_=t,this.pixelRatio_=r,this.resolution_=n,this.buildersByZIndex_={}}return e.prototype.finish=function(){var e={};for(var t in this.buildersByZIndex_){e[t]=e[t]||{};var n=this.buildersByZIndex_[t];for(var r in n){var i=n[r].finish();e[t][r]=i}}return e},e.prototype.getBuilder=function(e,t){var n=void 0!==e?e.toString():"0",r=this.buildersByZIndex_[n];void 0===r&&(r={},this.buildersByZIndex_[n]=r);var i=r[t];return void 0===i&&(i=new(0,vre[t])(this.tolerance_,this.maxExtent_,this.resolution_,this.pixelRatio_),r[t]=i),i},e}();const bre=yre;var wre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),_re=function(e){function t(t){var n=e.call(this)||this;return n.ready=!0,n.boundHandleImageChange_=n.handleImageChange_.bind(n),n.layer_=t,n.declutterExecutorGroup=null,n}return wre(t,e),t.prototype.getFeatures=function(e){return P9()},t.prototype.getData=function(e){return null},t.prototype.prepareFrame=function(e){return P9()},t.prototype.renderFrame=function(e,t){return P9()},t.prototype.loadedTileCallback=function(e,t,n){e[t]||(e[t]={}),e[t][n.tileCoord.toString()]=n},t.prototype.createLoadedTileFinder=function(e,t,n){return function(r,i){var o=this.loadedTileCallback.bind(this,n,r);return e.forEachLoadedTile(t,r,i,o)}.bind(this)},t.prototype.forEachFeatureAtCoordinate=function(e,t,n,r,i){},t.prototype.getDataAtPixel=function(e,t,n){return null},t.prototype.getLayer=function(){return this.layer_},t.prototype.handleFontsChanged=function(){},t.prototype.handleImageChange_=function(e){2===e.target.getState()&&this.renderIfReadyAndVisible()},t.prototype.loadImage=function(e){var t=e.getState();return 2!=t&&3!=t&&e.addEventListener(i4,this.boundHandleImageChange_),0==t&&(e.load(),t=e.getState()),2==t},t.prototype.renderIfReadyAndVisible=function(){var e=this.getLayer();e&&e.getVisible()&&"ready"===e.getSourceState()&&e.changed()},t.prototype.disposeInternal=function(){delete this.layer_,e.prototype.disposeInternal.call(this)},t}(g4);const xre=_re;var kre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Ere=[],Cre=null,Sre=function(e){function t(t){var n=e.call(this,t)||this;return n.container=null,n.renderedResolution,n.tempTransform=[1,0,0,1,0,0],n.pixelTransform=[1,0,0,1,0,0],n.inversePixelTransform=[1,0,0,1,0,0],n.context=null,n.containerReused=!1,n.pixelContext_=null,n.frameState=null,n}return kre(t,e),t.prototype.getImageData=function(e,t,n){var r,i;Cre||((r=document.createElement("canvas")).width=1,r.height=1,Cre=r.getContext("2d")),Cre.clearRect(0,0,1,1);try{Cre.drawImage(e,t,n,1,1,0,0,1,1),i=Cre.getImageData(0,0,1,1).data}catch(e){return Cre=null,null}return i},t.prototype.getBackground=function(e){var t=this.getLayer().getBackground();return"function"==typeof t&&(t=t(e.viewState.resolution)),t||void 0},t.prototype.useContainer=function(e,t,n){var r,i,o=this.getLayer().getClassName();if(e&&e.className===o&&(!n||e&&e.style.backgroundColor&&S9($3(e.style.backgroundColor),$3(n)))&&(s=e.firstElementChild)instanceof HTMLCanvasElement&&(i=s.getContext("2d")),i&&i.canvas.style.transform===t?(this.container=e,this.context=i,this.containerReused=!0):this.containerReused&&(this.container=null,this.context=null,this.containerReused=!1),!this.container){(r=document.createElement("div")).className=o;var a=r.style;a.position="absolute",a.width="100%",a.height="100%";var s=(i=t8()).canvas;r.appendChild(s),(a=s.style).position="absolute",a.left="0",a.transformOrigin="top left",this.container=r,this.context=i}this.containerReused||!n||this.container.style.backgroundColor||(this.container.style.backgroundColor=n)},t.prototype.clipUnrotated=function(e,t,n){var r=x3(n),i=k3(n),o=m3(n),a=h3(n);H9(t.coordinateToPixelTransform,r),H9(t.coordinateToPixelTransform,i),H9(t.coordinateToPixelTransform,o),H9(t.coordinateToPixelTransform,a);var s=this.inversePixelTransform;H9(s,r),H9(s,i),H9(s,o),H9(s,a),e.save(),e.beginPath(),e.moveTo(Math.round(r[0]),Math.round(r[1])),e.lineTo(Math.round(i[0]),Math.round(i[1])),e.lineTo(Math.round(o[0]),Math.round(o[1])),e.lineTo(Math.round(a[0]),Math.round(a[1])),e.clip()},t.prototype.dispatchRenderEvent_=function(e,t,n){var r=this.getLayer();if(r.hasListener(e)){var i=new G4(e,this.inversePixelTransform,n,t);r.dispatchEvent(i)}},t.prototype.preRender=function(e,t){this.frameState=t,this.dispatchRenderEvent_("prerender",e,t)},t.prototype.postRender=function(e,t){this.dispatchRenderEvent_("postrender",e,t)},t.prototype.getRenderTransform=function(e,t,n,r,i,o,a){var s=i/2,l=o/2,u=r/t,c=-u,d=-e[0]+a,p=-e[1];return V9(this.tempTransform,s,l,u,c,-n,d,p)},t.prototype.getDataAtPixel=function(e,t,n){var r=H9(this.inversePixelTransform,e.slice()),i=this.context,o=this.getLayer().getExtent();if(o&&!e3(o,H9(t.pixelToCoordinateTransform,e.slice())))return null;var a,s=Math.round(r[0]),l=Math.round(r[1]),u=this.pixelContext_;if(!u){var c=document.createElement("canvas");c.width=1,c.height=1,u=c.getContext("2d"),this.pixelContext_=u}u.clearRect(0,0,1,1);try{u.drawImage(i.canvas,s,l,1,1,0,0,1,1),a=u.getImageData(0,0,1,1).data}catch(e){return"SecurityError"===e.name?(this.pixelContext_=null,new Uint8Array):a}return 0===a[3]?null:a},t.prototype.disposeInternal=function(){delete this.frameState,e.prototype.disposeInternal.call(this)},t}(xre);const Are=Sre;function Tre(e,t,n,r,i,o,a,s,l,u,c,d){var p=e[t],f=e[t+1],h=0,m=0,g=0,v=0;function y(){h=p,m=f,p=e[t+=r],f=e[t+1],v+=g,g=Math.sqrt((p-h)*(p-h)+(f-m)*(f-m))}do{y()}while(t<n-r&&v+g<o);for(var b=0===g?0:(o-v)/g,w=L3(h,p,b),_=L3(m,f,b),x=t-r,k=v,E=o+s*l(u,i,c);t<n-r&&v+g<E;)y();var C,S=L3(h,p,b=0===g?0:(E-v)/g),A=L3(m,f,b);if(d){var T=[w,_,S,A];y7(T,0,4,2,d,T,T),C=T[0]>T[2]}else C=w>S;var O,P=Math.PI,D=[],R=x+r===t;if(g=0,v=k,p=e[t=x],f=e[t+1],R){y(),O=Math.atan2(f-m,p-h),C&&(O+=O>0?-P:P);var I=(S+w)/2,M=(A+_)/2;return D[0]=[I,M,(E-o)/2,O,i],D}for(var L=0,N=(i=i.replace(/\n/g," ")).length;L<N;){y();var j=Math.atan2(f-m,p-h);if(C&&(j+=j>0?-P:P),void 0!==O){var F=j-O;if(F+=F>P?-2*P:F<-P?2*P:0,Math.abs(F)>a)return null}O=j;for(var B=L,z=0;L<N;++L){var U=s*l(u,i[C?N-L-1:L],c);if(t+r<n&&v+g<o+z+U/2)break;z+=U}if(L!==B){var q=C?i.substring(N-B,N-L):i.substring(B,L);I=L3(h,p,b=0===g?0:(o+z/2-v)/g),M=L3(m,f,b),D.push([I,M,z/2,j,q]),o+=z}}return D}var Ore=[1/0,1/0,-1/0,-1/0],Pre=[],Dre=[],Rre=[],Ire=[];function Mre(e){return e[3].declutterBox}var Lre=new RegExp("["+String.fromCharCode(1425)+"-"+String.fromCharCode(2303)+String.fromCharCode(64285)+"-"+String.fromCharCode(65023)+String.fromCharCode(65136)+"-"+String.fromCharCode(65276)+String.fromCharCode(67584)+"-"+String.fromCharCode(69631)+String.fromCharCode(124928)+"-"+String.fromCharCode(126975)+"]");function Nre(e,t){return"start"!==t&&"end"!==t||Lre.test(e)||(t="start"===t?"left":"right"),mre[t]}function jre(e,t,n){return n>0&&e.push("\n",""),e.push(t,""),e}var Fre=function(){function e(e,t,n,r){this.overlaps=n,this.pixelRatio=t,this.resolution=e,this.alignFill_,this.instructions=r.instructions,this.coordinates=r.coordinates,this.coordinateCache_={},this.renderedTransform_=[1,0,0,1,0,0],this.hitDetectionInstructions=r.hitDetectionInstructions,this.pixelCoordinates_=null,this.viewRotation_=0,this.fillStates=r.fillStates||{},this.strokeStates=r.strokeStates||{},this.textStates=r.textStates||{},this.widths_={},this.labels_={}}return e.prototype.createLabel=function(e,t,n,r){var i=e+t+n+r;if(this.labels_[i])return this.labels_[i];var o=r?this.strokeStates[r]:null,a=n?this.fillStates[n]:null,s=this.textStates[t],l=this.pixelRatio,u=[s.scale[0]*l,s.scale[1]*l],c=Array.isArray(e),d=s.justify?mre[s.justify]:Nre(Array.isArray(e)?e[0]:e,s.textAlign||d8),p=r&&o.lineWidth?o.lineWidth:0,f=c?e:e.split("\n").reduce(jre,[]),h=function(e,t){for(var n=[],r=[],i=[],o=0,a=0,s=0,l=0,u=0,c=t.length;u<=c;u+=2){var d=t[u];if("\n"!==d&&u!==c){var p=t[u+1]||e.font,f=x8(p,d);n.push(f),a+=f;var h=w8(p);r.push(h),l=Math.max(l,h)}else o=Math.max(o,a),i.push(a),a=0,s+=l}return{width:o,height:s,widths:n,heights:r,lineWidths:i}}(s,f),m=h.width,g=h.height,v=h.widths,y=h.heights,b=h.lineWidths,w=m+p,_=[],x=(w+2)*u[0],k=(g+p)*u[1],E={width:x<0?Math.floor(x):Math.ceil(x),height:k<0?Math.floor(k):Math.ceil(k),contextInstructions:_};1==u[0]&&1==u[1]||_.push("scale",u),r&&(_.push("strokeStyle",o.strokeStyle),_.push("lineWidth",p),_.push("lineCap",o.lineCap),_.push("lineJoin",o.lineJoin),_.push("miterLimit",o.miterLimit),(F9?OffscreenCanvasRenderingContext2D:CanvasRenderingContext2D).prototype.setLineDash&&(_.push("setLineDash",[o.lineDash]),_.push("lineDashOffset",o.lineDashOffset))),n&&_.push("fillStyle",a.fillStyle),_.push("textBaseline","middle"),_.push("textAlign","center");for(var C,S=.5-d,A=d*w+S*p,T=[],O=[],P=0,D=0,R=0,I=0,M=0,L=f.length;M<L;M+=2){var N=f[M];if("\n"!==N){var j=f[M+1]||s.font;j!==C&&(r&&T.push("font",j),n&&O.push("font",j),C=j),P=Math.max(P,y[R]);var F=[N,A+S*v[R]+d*(v[R]-b[I]),.5*(p+P)+D];A+=v[R],r&&T.push("strokeText",F),n&&O.push("fillText",F),++R}else D+=P,P=0,A=d*w+S*p,++I}return Array.prototype.push.apply(_,T),Array.prototype.push.apply(_,O),this.labels_[i]=E,E},e.prototype.replayTextBackground_=function(e,t,n,r,i,o,a){e.beginPath(),e.moveTo.apply(e,t),e.lineTo.apply(e,n),e.lineTo.apply(e,r),e.lineTo.apply(e,i),e.lineTo.apply(e,t),o&&(this.alignFill_=o[2],this.fill_(e)),a&&(this.setStrokeStyle_(e,a),e.stroke())},e.prototype.calculateImageOrLabelDimensions_=function(e,t,n,r,i,o,a,s,l,u,c,d,p,f,h,m){var g,v=n-(a*=d[0]),y=r-(s*=d[1]),b=i+l>e?e-l:i,w=o+u>t?t-u:o,_=f[3]+b*d[0]+f[1],x=f[0]+w*d[1]+f[2],k=v-f[3],E=y-f[0];return(h||0!==c)&&(Pre[0]=k,Ire[0]=k,Pre[1]=E,Dre[1]=E,Dre[0]=k+_,Rre[0]=Dre[0],Rre[1]=E+x,Ire[1]=Rre[1]),0!==c?(H9(g=V9([1,0,0,1,0,0],n,r,1,1,c,-n,-r),Pre),H9(g,Dre),H9(g,Rre),H9(g,Ire),i3(Math.min(Pre[0],Dre[0],Rre[0],Ire[0]),Math.min(Pre[1],Dre[1],Rre[1],Ire[1]),Math.max(Pre[0],Dre[0],Rre[0],Ire[0]),Math.max(Pre[1],Dre[1],Rre[1],Ire[1]),Ore)):i3(Math.min(k,k+_),Math.min(E,E+x),Math.max(k,k+_),Math.max(E,E+x),Ore),p&&(v=Math.round(v),y=Math.round(y)),{drawImageX:v,drawImageY:y,drawImageW:b,drawImageH:w,originX:l,originY:u,declutterBox:{minX:Ore[0],minY:Ore[1],maxX:Ore[2],maxY:Ore[3],value:m},canvasTransform:g,scale:d}},e.prototype.replayImageOrLabel_=function(e,t,n,r,i,o,a){var s=!(!o&&!a),l=r.declutterBox,u=e.canvas,c=a?a[2]*r.scale[0]/2:0;return l.minX-c<=u.width/t&&l.maxX+c>=0&&l.minY-c<=u.height/t&&l.maxY+c>=0&&(s&&this.replayTextBackground_(e,Pre,Dre,Rre,Ire,o,a),function(e,t,n,r,i,o,a,s,l,u,c){e.save(),1!==n&&(e.globalAlpha*=n),t&&e.setTransform.apply(e,t),r.contextInstructions?(e.translate(l,u),e.scale(c[0],c[1]),function(e,t){for(var n=e.contextInstructions,r=0,i=n.length;r<i;r+=2)Array.isArray(n[r+1])?t[n[r]].apply(t,n[r+1]):t[n[r]]=n[r+1]}(r,e)):c[0]<0||c[1]<0?(e.translate(l,u),e.scale(c[0],c[1]),e.drawImage(r,i,o,a,s,0,0,a,s)):e.drawImage(r,i,o,a,s,l,u,a*c[0],s*c[1]),e.restore()}(e,r.canvasTransform,i,n,r.originX,r.originY,r.drawImageW,r.drawImageH,r.drawImageX,r.drawImageY,r.scale)),!0},e.prototype.fill_=function(e){if(this.alignFill_){var t=H9(this.renderedTransform_,[0,0]),n=512*this.pixelRatio;e.save(),e.translate(t[0]%n,t[1]%n),e.rotate(this.viewRotation_)}e.fill(),this.alignFill_&&e.restore()},e.prototype.setStrokeStyle_=function(e,t){e.strokeStyle=t[1],e.lineWidth=t[2],e.lineCap=t[3],e.lineJoin=t[4],e.miterLimit=t[5],e.setLineDash&&(e.lineDashOffset=t[7],e.setLineDash(t[6]))},e.prototype.drawLabelWithPointPlacement_=function(e,t,n,r){var i=this.textStates[t],o=this.createLabel(e,t,r,n),a=this.strokeStates[n],s=this.pixelRatio,l=Nre(Array.isArray(e)?e[0]:e,i.textAlign||d8),u=mre[i.textBaseline||p8],c=a&&a.lineWidth?a.lineWidth:0;return{label:o,anchorX:l*(o.width/s-2*i.scale[0])+2*(.5-l)*c,anchorY:u*o.height/s+2*(.5-u)*c}},e.prototype.execute_=function(e,t,n,r,i,o,a,s){var l,u,c;this.pixelCoordinates_&&S9(n,this.renderedTransform_)?l=this.pixelCoordinates_:(this.pixelCoordinates_||(this.pixelCoordinates_=[]),l=v7(this.coordinates,0,this.coordinates.length,2,n,this.pixelCoordinates_),c=n,(u=this.renderedTransform_)[0]=c[0],u[1]=c[1],u[2]=c[2],u[3]=c[3],u[4]=c[4],u[5]=c[5]);for(var d,p,f,h,m,g,v,y,b,w,_,x,k,E,C,S,A=0,T=r.length,O=0,P=0,D=0,R=null,I=null,M=this.coordinateCache_,L=this.viewRotation_,N=Math.round(1e12*Math.atan2(-n[1],n[0]))/1e12,j={context:e,pixelRatio:this.pixelRatio,resolution:this.resolution,rotation:L},F=this.instructions!=r||this.overlaps?0:200;A<T;){var B=r[A];switch(B[0]){case Jne.BEGIN_GEOMETRY:k=B[1],S=B[3],k.getGeometry()?void 0===a||C3(a,S.getExtent())?++A:A=B[2]+1:A=B[2];break;case Jne.BEGIN_PATH:P>F&&(this.fill_(e),P=0),D>F&&(e.stroke(),D=0),P||D||(e.beginPath(),h=NaN,m=NaN),++A;break;case Jne.CIRCLE:var z=l[O=B[1]],U=l[O+1],q=l[O+2]-z,$=l[O+3]-U,W=Math.sqrt(q*q+$*$);e.moveTo(z+W,U),e.arc(z,U,W,0,2*Math.PI,!0),++A;break;case Jne.CLOSE_PATH:e.closePath(),++A;break;case Jne.CUSTOM:O=B[1],d=B[2];var H=B[3],V=B[4],G=6==B.length?B[5]:void 0;j.geometry=H,j.feature=k,A in M||(M[A]=[]);var K=M[A];G?G(l,O,d,2,K):(K[0]=l[O],K[1]=l[O+1],K.length=2),V(K,j),++A;break;case Jne.DRAW_IMAGE:O=B[1],d=B[2],y=B[3],p=B[4],f=B[5];var Y=B[6],Z=B[7],X=B[8],Q=B[9],J=B[10],ee=B[11],te=B[12],ne=B[13],re=B[14],ie=B[15];if(!y&&B.length>=20){b=B[19],w=B[20],_=B[21],x=B[22];var oe=this.drawLabelWithPointPlacement_(b,w,_,x);y=oe.label,B[3]=y;var ae=B[23];p=(oe.anchorX-ae)*this.pixelRatio,B[4]=p;var se=B[24];f=(oe.anchorY-se)*this.pixelRatio,B[5]=f,Y=y.height,B[6]=Y,ne=y.width,B[13]=ne}var le=void 0;B.length>25&&(le=B[25]);var ue=void 0,ce=void 0,de=void 0;B.length>17?(ue=B[16],ce=B[17],de=B[18]):(ue=f8,ce=!1,de=!1),J&&N?ee+=L:J||N||(ee-=L);for(var pe=0;O<d;O+=2)if(!(le&&le[pe++]<ne/this.pixelRatio)){var fe=[e,t,y,ze=this.calculateImageOrLabelDimensions_(y.width,y.height,l[O],l[O+1],ne,Y,p,f,X,Q,ee,te,i,ue,ce||de,k),Z,ce?R:null,de?I:null];if(s){if("none"===re)continue;if("obstacle"===re){s.insert(ze.declutterBox);continue}var he=void 0,me=void 0;if(ie){var ge=d-O;if(!ie[ge]){ie[ge]=fe;continue}if(he=ie[ge],delete ie[ge],me=Mre(he),s.collides(me))continue}if(s.collides(ze.declutterBox))continue;he&&(s.insert(me),this.replayImageOrLabel_.apply(this,he)),s.insert(ze.declutterBox)}this.replayImageOrLabel_.apply(this,fe)}++A;break;case Jne.DRAW_CHARS:var ve=B[1],ye=B[2],be=B[3],we=B[4];x=B[5];var _e=B[6],xe=B[7],ke=B[8];_=B[9];var Ee=B[10];b=B[11],w=B[12];var Ce=[B[13],B[13]],Se=this.textStates[w],Ae=Se.font,Te=[Se.scale[0]*xe,Se.scale[1]*xe],Oe=void 0;Ae in this.widths_?Oe=this.widths_[Ae]:(Oe={},this.widths_[Ae]=Oe);var Pe=one(l,ve,ye,2),De=Math.abs(Te[0])*k8(Ae,b,Oe);if(we||De<=Pe){var Re=this.textStates[w].textAlign,Ie=Tre(l,ve,ye,2,b,(Pe-De)*mre[Re],_e,Math.abs(Te[0]),k8,Ae,Oe,N?0:this.viewRotation_);e:if(Ie){var Me=[],Le=void 0,Ne=void 0,je=void 0,Fe=void 0,Be=void 0;if(_)for(Le=0,Ne=Ie.length;Le<Ne;++Le){je=(Be=Ie[Le])[4],Fe=this.createLabel(je,w,"",_),p=Be[2]+(Te[0]<0?-Ee:Ee),f=be*Fe.height+2*(.5-be)*Ee*Te[1]/Te[0]-ke;var ze=this.calculateImageOrLabelDimensions_(Fe.width,Fe.height,Be[0],Be[1],Fe.width,Fe.height,p,f,0,0,Be[3],Ce,!1,f8,!1,k);if(s&&s.collides(ze.declutterBox))break e;Me.push([e,t,Fe,ze,1,null,null])}if(x)for(Le=0,Ne=Ie.length;Le<Ne;++Le){if(je=(Be=Ie[Le])[4],Fe=this.createLabel(je,w,x,""),p=Be[2],f=be*Fe.height-ke,ze=this.calculateImageOrLabelDimensions_(Fe.width,Fe.height,Be[0],Be[1],Fe.width,Fe.height,p,f,0,0,Be[3],Ce,!1,f8,!1,k),s&&s.collides(ze.declutterBox))break e;Me.push([e,t,Fe,ze,1,null,null])}s&&s.load(Me.map(Mre));for(var Ue=0,qe=Me.length;Ue<qe;++Ue)this.replayImageOrLabel_.apply(this,Me[Ue])}}++A;break;case Jne.END_GEOMETRY:if(void 0!==o){var $e=o(k=B[1],S);if($e)return $e}++A;break;case Jne.FILL:F?P++:this.fill_(e),++A;break;case Jne.MOVE_TO_LINE_TO:for(O=B[1],d=B[2],E=l[O],v=(C=l[O+1])+.5|0,(g=E+.5|0)===h&&v===m||(e.moveTo(E,C),h=g,m=v),O+=2;O<d;O+=2)g=(E=l[O])+.5|0,v=(C=l[O+1])+.5|0,O!=d-2&&g===h&&v===m||(e.lineTo(E,C),h=g,m=v);++A;break;case Jne.SET_FILL_STYLE:R=B,this.alignFill_=B[2],P&&(this.fill_(e),P=0,D&&(e.stroke(),D=0)),e.fillStyle=B[1],++A;break;case Jne.SET_STROKE_STYLE:I=B,D&&(e.stroke(),D=0),this.setStrokeStyle_(e,B),++A;break;case Jne.STROKE:F?D++:e.stroke(),++A;break;default:++A}}P&&this.fill_(e),D&&e.stroke()},e.prototype.execute=function(e,t,n,r,i,o){this.viewRotation_=r,this.execute_(e,t,n,this.instructions,i,void 0,void 0,o)},e.prototype.executeHitDetection=function(e,t,n,r,i){return this.viewRotation_=n,this.execute_(e,1,t,this.hitDetectionInstructions,!0,r,i)},e}();const Bre=Fre;var zre=["Polygon","Circle","LineString","Image","Text","Default"],Ure=function(){function e(e,t,n,r,i,o){this.maxExtent_=e,this.overlaps_=r,this.pixelRatio_=n,this.resolution_=t,this.renderBuffer_=o,this.executorsByZIndex_={},this.hitDetectionContext_=null,this.hitDetectionTransform_=[1,0,0,1,0,0],this.createExecutors_(i)}return e.prototype.clip=function(e,t){var n=this.getClipCoords(t);e.beginPath(),e.moveTo(n[0],n[1]),e.lineTo(n[2],n[3]),e.lineTo(n[4],n[5]),e.lineTo(n[6],n[7]),e.clip()},e.prototype.createExecutors_=function(e){for(var t in e){var n=this.executorsByZIndex_[t];void 0===n&&(n={},this.executorsByZIndex_[t]=n);var r=e[t];for(var i in r){var o=r[i];n[i]=new Bre(this.resolution_,this.pixelRatio_,this.overlaps_,o)}}},e.prototype.hasExecutors=function(e){for(var t in this.executorsByZIndex_)for(var n=this.executorsByZIndex_[t],r=0,i=e.length;r<i;++r)if(e[r]in n)return!0;return!1},e.prototype.forEachFeatureAtCoordinate=function(e,t,n,r,i,o){var a=2*(r=Math.round(r))+1,s=V9(this.hitDetectionTransform_,r+.5,r+.5,1/t,-1/t,-n,-e[0],-e[1]),l=!this.hitDetectionContext_;l&&(this.hitDetectionContext_=t8(a,a));var u,c=this.hitDetectionContext_;c.canvas.width!==a||c.canvas.height!==a?(c.canvas.width=a,c.canvas.height=a):l||c.clearRect(0,0,a,a),void 0!==this.renderBuffer_&&(u3(u=[1/0,1/0,-1/0,-1/0],e),X9(u,t*(this.renderBuffer_+r),u));var d,p=function(e){if(void 0!==qre[e])return qre[e];for(var t=2*e+1,n=e*e,r=new Array(n+1),i=0;i<=e;++i)for(var o=0;o<=e;++o){var a=i*i+o*o;if(a>n)break;var s=r[a];s||(s=[],r[a]=s),s.push(4*((e+i)*t+(e+o))+3),i>0&&s.push(4*((e-i)*t+(e+o))+3),o>0&&(s.push(4*((e+i)*t+(e-o))+3),i>0&&s.push(4*((e-i)*t+(e-o))+3))}for(var l=[],u=(i=0,r.length);i<u;++i)r[i]&&l.push.apply(l,r[i]);return qre[e]=l,l}(r);function f(e,t){for(var n=c.getImageData(0,0,a,a).data,s=0,l=p.length;s<l;s++)if(n[p[s]]>0){if(!o||"Image"!==d&&"Text"!==d||-1!==o.indexOf(e)){var u=(p[s]-3)/4,f=r-u%a,h=r-(u/a|0),m=i(e,t,f*f+h*h);if(m)return m}c.clearRect(0,0,a,a);break}}var h,m,g,v,y,b=Object.keys(this.executorsByZIndex_).map(Number);for(b.sort(x9),h=b.length-1;h>=0;--h){var w=b[h].toString();for(g=this.executorsByZIndex_[w],m=zre.length-1;m>=0;--m)if(void 0!==(v=g[d=zre[m]])&&(y=v.executeHitDetection(c,s,n,f,u)))return y}},e.prototype.getClipCoords=function(e){var t=this.maxExtent_;if(!t)return null;var n=t[0],r=t[1],i=t[2],o=t[3],a=[n,r,n,o,i,o,i,r];return v7(a,0,8,2,e,a),a},e.prototype.isEmpty=function(){return e4(this.executorsByZIndex_)},e.prototype.execute=function(e,t,n,r,i,o,a){var s=Object.keys(this.executorsByZIndex_).map(Number);s.sort(x9),this.maxExtent_&&(e.save(),this.clip(e,n));var l,u,c,d,p,f,h=o||zre;for(a&&s.reverse(),l=0,u=s.length;l<u;++l){var m=s[l].toString();for(p=this.executorsByZIndex_[m],c=0,d=h.length;c<d;++c)void 0!==(f=p[h[c]])&&f.execute(e,t,n,r,i,a)}this.maxExtent_&&e.restore()},e}(),qre={};const $re=Ure;var Wre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Hre=function(e){function t(t,n,r,i,o,a,s){var l=e.call(this)||this;return l.context_=t,l.pixelRatio_=n,l.extent_=r,l.transform_=i,l.viewRotation_=o,l.squaredTolerance_=a,l.userTransform_=s,l.contextFillState_=null,l.contextStrokeState_=null,l.contextTextState_=null,l.fillState_=null,l.strokeState_=null,l.image_=null,l.imageAnchorX_=0,l.imageAnchorY_=0,l.imageHeight_=0,l.imageOpacity_=0,l.imageOriginX_=0,l.imageOriginY_=0,l.imageRotateWithView_=!1,l.imageRotation_=0,l.imageScale_=[0,0],l.imageWidth_=0,l.text_="",l.textOffsetX_=0,l.textOffsetY_=0,l.textRotateWithView_=!1,l.textRotation_=0,l.textScale_=[0,0],l.textFillState_=null,l.textStrokeState_=null,l.textState_=null,l.pixelCoordinates_=[],l.tmpLocalTransform_=[1,0,0,1,0,0],l}return Wre(t,e),t.prototype.drawImages_=function(e,t,n,r){if(this.image_){var i=v7(e,t,n,r,this.transform_,this.pixelCoordinates_),o=this.context_,a=this.tmpLocalTransform_,s=o.globalAlpha;1!=this.imageOpacity_&&(o.globalAlpha=s*this.imageOpacity_);var l=this.imageRotation_;this.imageRotateWithView_&&(l+=this.viewRotation_);for(var u=0,c=i.length;u<c;u+=2){var d=i[u]-this.imageAnchorX_,p=i[u+1]-this.imageAnchorY_;if(0!==l||1!=this.imageScale_[0]||1!=this.imageScale_[1]){var f=d+this.imageAnchorX_,h=p+this.imageAnchorY_;V9(a,f,h,1,1,l,-f,-h),o.setTransform.apply(o,a),o.translate(f,h),o.scale(this.imageScale_[0],this.imageScale_[1]),o.drawImage(this.image_,this.imageOriginX_,this.imageOriginY_,this.imageWidth_,this.imageHeight_,-this.imageAnchorX_,-this.imageAnchorY_,this.imageWidth_,this.imageHeight_),o.setTransform(1,0,0,1,0,0)}else o.drawImage(this.image_,this.imageOriginX_,this.imageOriginY_,this.imageWidth_,this.imageHeight_,d,p,this.imageWidth_,this.imageHeight_)}1!=this.imageOpacity_&&(o.globalAlpha=s)}},t.prototype.drawText_=function(e,t,n,r){if(this.textState_&&""!==this.text_){this.textFillState_&&this.setContextFillState_(this.textFillState_),this.textStrokeState_&&this.setContextStrokeState_(this.textStrokeState_),this.setContextTextState_(this.textState_);var i=v7(e,t,n,r,this.transform_,this.pixelCoordinates_),o=this.context_,a=this.textRotation_;for(this.textRotateWithView_&&(a+=this.viewRotation_);t<n;t+=r){var s=i[t]+this.textOffsetX_,l=i[t+1]+this.textOffsetY_;if(0!==a||1!=this.textScale_[0]||1!=this.textScale_[1]){var u=V9(this.tmpLocalTransform_,s,l,1,1,a,-s,-l);o.setTransform.apply(o,u),o.translate(s,l),o.scale(this.textScale_[0],this.textScale_[1]),this.textStrokeState_&&o.strokeText(this.text_,0,0),this.textFillState_&&o.fillText(this.text_,0,0),o.setTransform(1,0,0,1,0,0)}else this.textStrokeState_&&o.strokeText(this.text_,s,l),this.textFillState_&&o.fillText(this.text_,s,l)}}},t.prototype.moveToLineTo_=function(e,t,n,r,i){var o=this.context_,a=v7(e,t,n,r,this.transform_,this.pixelCoordinates_);o.moveTo(a[0],a[1]);var s=a.length;i&&(s-=2);for(var l=2;l<s;l+=2)o.lineTo(a[l],a[l+1]);return i&&o.closePath(),n},t.prototype.drawRings_=function(e,t,n,r){for(var i=0,o=n.length;i<o;++i)t=this.moveToLineTo_(e,t,n[i],r,!0);return t},t.prototype.drawCircle=function(e){if(C3(this.extent_,e.getExtent())){if(this.fillState_||this.strokeState_){this.fillState_&&this.setContextFillState_(this.fillState_),this.strokeState_&&this.setContextStrokeState_(this.strokeState_);var t=function(e,t,n){var r=e.getFlatCoordinates();if(r){var i=e.getStride();return v7(r,0,r.length,i,t,n)}return null}(e,this.transform_,this.pixelCoordinates_),n=t[2]-t[0],r=t[3]-t[1],i=Math.sqrt(n*n+r*r),o=this.context_;o.beginPath(),o.arc(t[0],t[1],i,0,2*Math.PI),this.fillState_&&o.fill(),this.strokeState_&&o.stroke()}""!==this.text_&&this.drawText_(e.getCenter(),0,2,2)}},t.prototype.setStyle=function(e){this.setFillStrokeStyle(e.getFill(),e.getStroke()),this.setImageStyle(e.getImage()),this.setTextStyle(e.getText())},t.prototype.setTransform=function(e){this.transform_=e},t.prototype.drawGeometry=function(e){switch(e.getType()){case"Point":this.drawPoint(e);break;case"LineString":this.drawLineString(e);break;case"Polygon":this.drawPolygon(e);break;case"MultiPoint":this.drawMultiPoint(e);break;case"MultiLineString":this.drawMultiLineString(e);break;case"MultiPolygon":this.drawMultiPolygon(e);break;case"GeometryCollection":this.drawGeometryCollection(e);break;case"Circle":this.drawCircle(e)}},t.prototype.drawFeature=function(e,t){var n=t.getGeometryFunction()(e);n&&C3(this.extent_,n.getExtent())&&(this.setStyle(t),this.drawGeometry(n))},t.prototype.drawGeometryCollection=function(e){for(var t=e.getGeometriesArray(),n=0,r=t.length;n<r;++n)this.drawGeometry(t[n])},t.prototype.drawPoint=function(e){this.squaredTolerance_&&(e=e.simplifyTransformed(this.squaredTolerance_,this.userTransform_));var t=e.getFlatCoordinates(),n=e.getStride();this.image_&&this.drawImages_(t,0,t.length,n),""!==this.text_&&this.drawText_(t,0,t.length,n)},t.prototype.drawMultiPoint=function(e){this.squaredTolerance_&&(e=e.simplifyTransformed(this.squaredTolerance_,this.userTransform_));var t=e.getFlatCoordinates(),n=e.getStride();this.image_&&this.drawImages_(t,0,t.length,n),""!==this.text_&&this.drawText_(t,0,t.length,n)},t.prototype.drawLineString=function(e){if(this.squaredTolerance_&&(e=e.simplifyTransformed(this.squaredTolerance_,this.userTransform_)),C3(this.extent_,e.getExtent())){if(this.strokeState_){this.setContextStrokeState_(this.strokeState_);var t=this.context_,n=e.getFlatCoordinates();t.beginPath(),this.moveToLineTo_(n,0,n.length,e.getStride(),!1),t.stroke()}if(""!==this.text_){var r=e.getFlatMidpoint();this.drawText_(r,0,2,2)}}},t.prototype.drawMultiLineString=function(e){this.squaredTolerance_&&(e=e.simplifyTransformed(this.squaredTolerance_,this.userTransform_));var t=e.getExtent();if(C3(this.extent_,t)){if(this.strokeState_){this.setContextStrokeState_(this.strokeState_);var n=this.context_,r=e.getFlatCoordinates(),i=0,o=e.getEnds(),a=e.getStride();n.beginPath();for(var s=0,l=o.length;s<l;++s)i=this.moveToLineTo_(r,i,o[s],a,!1);n.stroke()}if(""!==this.text_){var u=e.getFlatMidpoints();this.drawText_(u,0,u.length,2)}}},t.prototype.drawPolygon=function(e){if(this.squaredTolerance_&&(e=e.simplifyTransformed(this.squaredTolerance_,this.userTransform_)),C3(this.extent_,e.getExtent())){if(this.strokeState_||this.fillState_){this.fillState_&&this.setContextFillState_(this.fillState_),this.strokeState_&&this.setContextStrokeState_(this.strokeState_);var t=this.context_;t.beginPath(),this.drawRings_(e.getOrientedFlatCoordinates(),0,e.getEnds(),e.getStride()),this.fillState_&&t.fill(),this.strokeState_&&t.stroke()}if(""!==this.text_){var n=e.getFlatInteriorPoint();this.drawText_(n,0,2,2)}}},t.prototype.drawMultiPolygon=function(e){if(this.squaredTolerance_&&(e=e.simplifyTransformed(this.squaredTolerance_,this.userTransform_)),C3(this.extent_,e.getExtent())){if(this.strokeState_||this.fillState_){this.fillState_&&this.setContextFillState_(this.fillState_),this.strokeState_&&this.setContextStrokeState_(this.strokeState_);var t=this.context_,n=e.getOrientedFlatCoordinates(),r=0,i=e.getEndss(),o=e.getStride();t.beginPath();for(var a=0,s=i.length;a<s;++a){var l=i[a];r=this.drawRings_(n,r,l,o)}this.fillState_&&t.fill(),this.strokeState_&&t.stroke()}if(""!==this.text_){var u=e.getFlatInteriorPoints();this.drawText_(u,0,u.length,2)}}},t.prototype.setContextFillState_=function(e){var t=this.context_,n=this.contextFillState_;n?n.fillStyle!=e.fillStyle&&(n.fillStyle=e.fillStyle,t.fillStyle=e.fillStyle):(t.fillStyle=e.fillStyle,this.contextFillState_={fillStyle:e.fillStyle})},t.prototype.setContextStrokeState_=function(e){var t=this.context_,n=this.contextStrokeState_;n?(n.lineCap!=e.lineCap&&(n.lineCap=e.lineCap,t.lineCap=e.lineCap),t.setLineDash&&(S9(n.lineDash,e.lineDash)||t.setLineDash(n.lineDash=e.lineDash),n.lineDashOffset!=e.lineDashOffset&&(n.lineDashOffset=e.lineDashOffset,t.lineDashOffset=e.lineDashOffset)),n.lineJoin!=e.lineJoin&&(n.lineJoin=e.lineJoin,t.lineJoin=e.lineJoin),n.lineWidth!=e.lineWidth&&(n.lineWidth=e.lineWidth,t.lineWidth=e.lineWidth),n.miterLimit!=e.miterLimit&&(n.miterLimit=e.miterLimit,t.miterLimit=e.miterLimit),n.strokeStyle!=e.strokeStyle&&(n.strokeStyle=e.strokeStyle,t.strokeStyle=e.strokeStyle)):(t.lineCap=e.lineCap,t.setLineDash&&(t.setLineDash(e.lineDash),t.lineDashOffset=e.lineDashOffset),t.lineJoin=e.lineJoin,t.lineWidth=e.lineWidth,t.miterLimit=e.miterLimit,t.strokeStyle=e.strokeStyle,this.contextStrokeState_={lineCap:e.lineCap,lineDash:e.lineDash,lineDashOffset:e.lineDashOffset,lineJoin:e.lineJoin,lineWidth:e.lineWidth,miterLimit:e.miterLimit,strokeStyle:e.strokeStyle})},t.prototype.setContextTextState_=function(e){var t=this.context_,n=this.contextTextState_,r=e.textAlign?e.textAlign:d8;n?(n.font!=e.font&&(n.font=e.font,t.font=e.font),n.textAlign!=r&&(n.textAlign=r,t.textAlign=r),n.textBaseline!=e.textBaseline&&(n.textBaseline=e.textBaseline,t.textBaseline=e.textBaseline)):(t.font=e.font,t.textAlign=r,t.textBaseline=e.textBaseline,this.contextTextState_={font:e.font,textAlign:r,textBaseline:e.textBaseline})},t.prototype.setFillStrokeStyle=function(e,t){var n=this;if(e){var r=e.getColor();this.fillState_={fillStyle:One(r||a8)}}else this.fillState_=null;if(t){var i=t.getColor(),o=t.getLineCap(),a=t.getLineDash(),s=t.getLineDashOffset(),l=t.getLineJoin(),u=t.getWidth(),c=t.getMiterLimit(),d=a||l8;this.strokeState_={lineCap:void 0!==o?o:s8,lineDash:1===this.pixelRatio_?d:d.map((function(e){return e*n.pixelRatio_})),lineDashOffset:(s||0)*this.pixelRatio_,lineJoin:void 0!==l?l:u8,lineWidth:(void 0!==u?u:1)*this.pixelRatio_,miterLimit:void 0!==c?c:10,strokeStyle:One(i||c8)}}else this.strokeState_=null},t.prototype.setImageStyle=function(e){var t;if(e&&(t=e.getSize())){var n=e.getAnchor(),r=e.getOrigin();this.image_=e.getImage(this.pixelRatio_),this.imageAnchorX_=n[0]*this.pixelRatio_,this.imageAnchorY_=n[1]*this.pixelRatio_,this.imageHeight_=t[1]*this.pixelRatio_,this.imageOpacity_=e.getOpacity(),this.imageOriginX_=r[0],this.imageOriginY_=r[1],this.imageRotateWithView_=e.getRotateWithView(),this.imageRotation_=e.getRotation(),this.imageScale_=e.getScaleArray(),this.imageWidth_=t[0]*this.pixelRatio_}else this.image_=null},t.prototype.setTextStyle=function(e){if(e){var t=e.getFill();if(t){var n=t.getColor();this.textFillState_={fillStyle:One(n||a8)}}else this.textFillState_=null;var r=e.getStroke();if(r){var i=r.getColor(),o=r.getLineCap(),a=r.getLineDash(),s=r.getLineDashOffset(),l=r.getLineJoin(),u=r.getWidth(),c=r.getMiterLimit();this.textStrokeState_={lineCap:void 0!==o?o:s8,lineDash:a||l8,lineDashOffset:s||0,lineJoin:void 0!==l?l:u8,lineWidth:void 0!==u?u:1,miterLimit:void 0!==c?c:10,strokeStyle:One(i||c8)}}else this.textStrokeState_=null;var d=e.getFont(),p=e.getOffsetX(),f=e.getOffsetY(),h=e.getRotateWithView(),m=e.getRotation(),g=e.getScaleArray(),v=e.getText(),y=e.getTextAlign(),b=e.getTextBaseline();this.textState_={font:void 0!==d?d:o8,textAlign:void 0!==y?y:d8,textBaseline:void 0!==b?b:p8},this.text_=void 0!==v?Array.isArray(v)?v.reduce((function(e,t,n){return e+(n%2?" ":t)}),""):v:"",this.textOffsetX_=void 0!==p?this.pixelRatio_*p:0,this.textOffsetY_=void 0!==f?this.pixelRatio_*f:0,this.textRotateWithView_=void 0!==h&&h,this.textRotation_=void 0!==m?m:0,this.textScale_=[this.pixelRatio_*g[0],this.pixelRatio_*g[1]]}else this.text_=""},t}(ere);const Vre=Hre,Gre="fraction",Kre="pixels",Yre="bottom-left",Zre="bottom-right",Xre="top-left",Qre="top-right";var Jre=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();const eie=function(e){function t(t,n,r,i){var o=e.call(this)||this;return o.extent=t,o.pixelRatio_=r,o.resolution=n,o.state=i,o}return Jre(t,e),t.prototype.changed=function(){this.dispatchEvent(i4)},t.prototype.getExtent=function(){return this.extent},t.prototype.getImage=function(){return P9()},t.prototype.getPixelRatio=function(){return this.pixelRatio_},t.prototype.getResolution=function(){return this.resolution},t.prototype.getState=function(){return this.state},t.prototype.load=function(){P9()},t}(r4);var tie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();function nie(e,t,n){var r=e,i=!0,o=!1,a=!1,s=[p4(r,"load",(function(){a=!0,o||t()}))];return r.src&&B9?(o=!0,r.decode().then((function(){i&&t()})).catch((function(e){i&&(a?t():n())}))):s.push(p4(r,"error",n)),function(){i=!1,s.forEach(f4)}}!function(e){function t(t,n,r,i,o,a){var s=e.call(this,t,n,r,0)||this;return s.src_=i,s.image_=new Image,null!==o&&(s.image_.crossOrigin=o),s.unlisten_=null,s.state=0,s.imageLoadFunction_=a,s}tie(t,e),t.prototype.getImage=function(){return this.image_},t.prototype.handleImageError_=function(){this.state=3,this.unlistenImage_(),this.changed()},t.prototype.handleImageLoad_=function(){void 0===this.resolution&&(this.resolution=w3(this.extent)/this.image_.height),this.state=2,this.unlistenImage_(),this.changed()},t.prototype.load=function(){0!=this.state&&3!=this.state||(this.state=1,this.changed(),this.imageLoadFunction_(this,this.src_),this.unlisten_=nie(this.image_,this.handleImageLoad_.bind(this),this.handleImageError_.bind(this)))},t.prototype.setImage=function(e){this.image_=e,this.resolution=w3(this.extent)/this.image_.height},t.prototype.unlistenImage_=function(){this.unlisten_&&(this.unlisten_(),this.unlisten_=null)}}(eie);var rie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),iie=null,oie=function(e){function t(t,n,r,i,o,a){var s=e.call(this)||this;return s.hitDetectionImage_=null,s.image_=t||new Image,null!==i&&(s.image_.crossOrigin=i),s.canvas_={},s.color_=a,s.unlisten_=null,s.imageState_=o,s.size_=r,s.src_=n,s.tainted_,s}return rie(t,e),t.prototype.isTainted_=function(){if(void 0===this.tainted_&&2===this.imageState_){iie||(iie=t8(1,1)),iie.drawImage(this.image_,0,0);try{iie.getImageData(0,0,1,1),this.tainted_=!1}catch(e){iie=null,this.tainted_=!0}}return!0===this.tainted_},t.prototype.dispatchChangeEvent_=function(){this.dispatchEvent(i4)},t.prototype.handleImageError_=function(){this.imageState_=3,this.unlistenImage_(),this.dispatchChangeEvent_()},t.prototype.handleImageLoad_=function(){this.imageState_=2,this.size_?(this.image_.width=this.size_[0],this.image_.height=this.size_[1]):this.size_=[this.image_.width,this.image_.height],this.unlistenImage_(),this.dispatchChangeEvent_()},t.prototype.getImage=function(e){return this.replaceColor_(e),this.canvas_[e]?this.canvas_[e]:this.image_},t.prototype.getPixelRatio=function(e){return this.replaceColor_(e),this.canvas_[e]?e:1},t.prototype.getImageState=function(){return this.imageState_},t.prototype.getHitDetectionImage=function(){if(!this.hitDetectionImage_)if(this.isTainted_()){var e=this.size_[0],t=this.size_[1],n=t8(e,t);n.fillRect(0,0,e,t),this.hitDetectionImage_=n.canvas}else this.hitDetectionImage_=this.image_;return this.hitDetectionImage_},t.prototype.getSize=function(){return this.size_},t.prototype.getSrc=function(){return this.src_},t.prototype.load=function(){if(0==this.imageState_){this.imageState_=1;try{this.image_.src=this.src_}catch(e){this.handleImageError_()}this.unlisten_=nie(this.image_,this.handleImageLoad_.bind(this),this.handleImageError_.bind(this))}},t.prototype.replaceColor_=function(e){if(this.color_&&!this.canvas_[e]&&2===this.imageState_){var t=document.createElement("canvas");this.canvas_[e]=t,t.width=Math.ceil(this.image_.width*e),t.height=Math.ceil(this.image_.height*e);var n=t.getContext("2d");if(n.scale(e,e),n.drawImage(this.image_,0,0),n.globalCompositeOperation="multiply","multiply"===n.globalCompositeOperation||this.isTainted_())n.fillStyle=U3(this.color_),n.fillRect(0,0,t.width/e,t.height/e),n.globalCompositeOperation="destination-in",n.drawImage(this.image_,0,0);else{for(var r=n.getImageData(0,0,t.width,t.height),i=r.data,o=this.color_[0]/255,a=this.color_[1]/255,s=this.color_[2]/255,l=this.color_[3],u=0,c=i.length;u<c;u+=4)i[u]*=o,i[u+1]*=a,i[u+2]*=s,i[u+3]*=l;n.putImageData(r,0,0)}}},t.prototype.unlistenImage_=function(){this.unlisten_&&(this.unlisten_(),this.unlisten_=null)},t}(r4),aie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),sie=function(e){function t(t){var n=this,r=t||{},i=void 0!==r.opacity?r.opacity:1,o=void 0!==r.rotation?r.rotation:0,a=void 0!==r.scale?r.scale:1,s=void 0!==r.rotateWithView&&r.rotateWithView;(n=e.call(this,{opacity:i,rotation:o,scale:a,displacement:void 0!==r.displacement?r.displacement:[0,0],rotateWithView:s,declutterMode:r.declutterMode})||this).anchor_=void 0!==r.anchor?r.anchor:[.5,.5],n.normalizedAnchor_=null,n.anchorOrigin_=void 0!==r.anchorOrigin?r.anchorOrigin:Xre,n.anchorXUnits_=void 0!==r.anchorXUnits?r.anchorXUnits:Gre,n.anchorYUnits_=void 0!==r.anchorYUnits?r.anchorYUnits:Gre,n.crossOrigin_=void 0!==r.crossOrigin?r.crossOrigin:null;var l=void 0!==r.img?r.img:null;n.imgSize_=r.imgSize;var u=r.src;$9(!(void 0!==u&&l),4),$9(!l||l&&n.imgSize_,5),void 0!==u&&0!==u.length||!l||(u=l.src||R9(l)),$9(void 0!==u&&u.length>0,6);var c=void 0!==r.src?0:2;return n.color_=void 0!==r.color?$3(r.color):null,n.iconImage_=function(e,t,n,r,i,o){var a=K3.get(t,r,o);return a||(a=new oie(e,t,n,r,i,o),K3.set(t,r,o,a)),a}(l,u,void 0!==n.imgSize_?n.imgSize_:null,n.crossOrigin_,c,n.color_),n.offset_=void 0!==r.offset?r.offset:[0,0],n.offsetOrigin_=void 0!==r.offsetOrigin?r.offsetOrigin:Xre,n.origin_=null,n.size_=void 0!==r.size?r.size:null,n}return aie(t,e),t.prototype.clone=function(){var e=this.getScale();return new t({anchor:this.anchor_.slice(),anchorOrigin:this.anchorOrigin_,anchorXUnits:this.anchorXUnits_,anchorYUnits:this.anchorYUnits_,color:this.color_&&this.color_.slice?this.color_.slice():this.color_||void 0,crossOrigin:this.crossOrigin_,imgSize:this.imgSize_,offset:this.offset_.slice(),offsetOrigin:this.offsetOrigin_,opacity:this.getOpacity(),rotateWithView:this.getRotateWithView(),rotation:this.getRotation(),scale:Array.isArray(e)?e.slice():e,size:null!==this.size_?this.size_.slice():void 0,src:this.getSrc(),displacement:this.getDisplacement().slice(),declutterMode:this.getDeclutterMode()})},t.prototype.getAnchor=function(){var e=this.normalizedAnchor_;if(!e){e=this.anchor_;var t=this.getSize();if(this.anchorXUnits_==Gre||this.anchorYUnits_==Gre){if(!t)return null;e=this.anchor_.slice(),this.anchorXUnits_==Gre&&(e[0]*=t[0]),this.anchorYUnits_==Gre&&(e[1]*=t[1])}if(this.anchorOrigin_!=Xre){if(!t)return null;e===this.anchor_&&(e=this.anchor_.slice()),this.anchorOrigin_!=Qre&&this.anchorOrigin_!=Zre||(e[0]=-e[0]+t[0]),this.anchorOrigin_!=Yre&&this.anchorOrigin_!=Zre||(e[1]=-e[1]+t[1])}this.normalizedAnchor_=e}var n=this.getDisplacement();return[e[0]-n[0],e[1]+n[1]]},t.prototype.setAnchor=function(e){this.anchor_=e,this.normalizedAnchor_=null},t.prototype.getColor=function(){return this.color_},t.prototype.getImage=function(e){return this.iconImage_.getImage(e)},t.prototype.getPixelRatio=function(e){return this.iconImage_.getPixelRatio(e)},t.prototype.getImageSize=function(){return this.iconImage_.getSize()},t.prototype.getImageState=function(){return this.iconImage_.getImageState()},t.prototype.getHitDetectionImage=function(){return this.iconImage_.getHitDetectionImage()},t.prototype.getOrigin=function(){if(this.origin_)return this.origin_;var e=this.offset_;if(this.offsetOrigin_!=Xre){var t=this.getSize(),n=this.iconImage_.getSize();if(!t||!n)return null;e=e.slice(),this.offsetOrigin_!=Qre&&this.offsetOrigin_!=Zre||(e[0]=n[0]-t[0]-e[0]),this.offsetOrigin_!=Yre&&this.offsetOrigin_!=Zre||(e[1]=n[1]-t[1]-e[1])}return this.origin_=e,this.origin_},t.prototype.getSrc=function(){return this.iconImage_.getSrc()},t.prototype.getSize=function(){return this.size_?this.size_:this.iconImage_.getSize()},t.prototype.listenImageChange=function(e){this.iconImage_.addEventListener(i4,e)},t.prototype.load=function(){this.iconImage_.load()},t.prototype.unlistenImageChange=function(e){this.iconImage_.removeEventListener(i4,e)},t}(Tne);const lie=sie;var uie=.5,cie={Point:function(e,t,n,r,i){var o,a=n.getImage(),s=n.getText();if(a){if(2!=a.getImageState())return;var l=e;if(i){var u=a.getDeclutterMode();if("none"!==u)if(l=i,"obstacle"===u){var c=e.getBuilder(n.getZIndex(),"Image");c.setImageStyle(a,o),c.drawPoint(t,r)}else s&&s.getText()&&(o={})}var d=l.getBuilder(n.getZIndex(),"Image");d.setImageStyle(a,o),d.drawPoint(t,r)}if(s&&s.getText()){var p=e;i&&(p=i);var f=p.getBuilder(n.getZIndex(),"Text");f.setTextStyle(s,o),f.drawText(t,r)}},LineString:function(e,t,n,r,i){var o=n.getStroke();if(o){var a=e.getBuilder(n.getZIndex(),"LineString");a.setFillStrokeStyle(null,o),a.drawLineString(t,r)}var s=n.getText();if(s&&s.getText()){var l=(i||e).getBuilder(n.getZIndex(),"Text");l.setTextStyle(s),l.drawText(t,r)}},Polygon:function(e,t,n,r,i){var o=n.getFill(),a=n.getStroke();if(o||a){var s=e.getBuilder(n.getZIndex(),"Polygon");s.setFillStrokeStyle(o,a),s.drawPolygon(t,r)}var l=n.getText();if(l&&l.getText()){var u=(i||e).getBuilder(n.getZIndex(),"Text");u.setTextStyle(l),u.drawText(t,r)}},MultiPoint:function(e,t,n,r,i){var o,a=n.getImage(),s=n.getText();if(a){if(2!=a.getImageState())return;var l=e;if(i){var u=a.getDeclutterMode();if("none"!==u)if(l=i,"obstacle"===u){var c=e.getBuilder(n.getZIndex(),"Image");c.setImageStyle(a,o),c.drawMultiPoint(t,r)}else s&&s.getText()&&(o={})}var d=l.getBuilder(n.getZIndex(),"Image");d.setImageStyle(a,o),d.drawMultiPoint(t,r)}if(s&&s.getText()){var p=e;i&&(p=i);var f=p.getBuilder(n.getZIndex(),"Text");f.setTextStyle(s,o),f.drawText(t,r)}},MultiLineString:function(e,t,n,r,i){var o=n.getStroke();if(o){var a=e.getBuilder(n.getZIndex(),"LineString");a.setFillStrokeStyle(null,o),a.drawMultiLineString(t,r)}var s=n.getText();if(s&&s.getText()){var l=(i||e).getBuilder(n.getZIndex(),"Text");l.setTextStyle(s),l.drawText(t,r)}},MultiPolygon:function(e,t,n,r,i){var o=n.getFill(),a=n.getStroke();if(a||o){var s=e.getBuilder(n.getZIndex(),"Polygon");s.setFillStrokeStyle(o,a),s.drawMultiPolygon(t,r)}var l=n.getText();if(l&&l.getText()){var u=(i||e).getBuilder(n.getZIndex(),"Text");u.setTextStyle(l),u.drawText(t,r)}},GeometryCollection:function(e,t,n,r,i){var o,a,s=t.getGeometriesArray();for(o=0,a=s.length;o<a;++o)(0,cie[s[o].getType()])(e,s[o],n,r,i)},Circle:function(e,t,n,r,i){var o=n.getFill(),a=n.getStroke();if(o||a){var s=e.getBuilder(n.getZIndex(),"Circle");s.setFillStrokeStyle(o,a),s.drawCircle(t,r)}var l=n.getText();if(l&&l.getText()){var u=(i||e).getBuilder(n.getZIndex(),"Text");u.setTextStyle(l),u.drawText(t,r)}}};function die(e,t){return parseInt(R9(e),10)-parseInt(R9(t),10)}function pie(e,t){return.5*e/t}function fie(e,t,n,r,i,o,a){var s=!1,l=n.getImage();if(l){var u=l.getImageState();2==u||3==u?l.unlistenImageChange(i):(0==u&&l.load(),l.listenImageChange(i),s=!0)}return function(e,t,n,r,i,o){var a=n.getGeometryFunction()(t);if(a){var s=a.simplifyTransformed(r,i);n.getRenderer()?hie(e,s,n,t):(0,cie[s.getType()])(e,s,n,t,o)}}(e,t,n,r,o,a),s}function hie(e,t,n,r){if("GeometryCollection"!=t.getType())e.getBuilder(n.getZIndex(),"Default").drawCustom(t,r,n.getRenderer(),n.getHitDetectionRenderer());else for(var i=t.getGeometries(),o=0,a=i.length;o<a;++o)hie(e,i[o],n,r)}var mie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),gie=function(e){function t(t){var n=e.call(this,t)||this;return n.boundHandleStyleImageChange_=n.handleStyleImageChange_.bind(n),n.animatingOrInteracting_,n.hitDetectionImageData_=null,n.renderedFeatures_=null,n.renderedRevision_=-1,n.renderedResolution_=NaN,n.renderedExtent_=[1/0,1/0,-1/0,-1/0],n.wrappedRenderedExtent_=[1/0,1/0,-1/0,-1/0],n.renderedRotation_,n.renderedCenter_=null,n.renderedProjection_=null,n.renderedRenderOrder_=null,n.replayGroup_=null,n.replayGroupChanged=!0,n.declutterExecutorGroup=null,n.clipping=!0,n.compositionContext_=null,n.opacity_=1,n}return mie(t,e),t.prototype.renderWorlds=function(e,t,n){var r=t.extent,i=t.viewState,o=i.center,a=i.resolution,s=i.projection,l=i.rotation,u=s.getExtent(),c=this.getLayer().getSource(),d=t.pixelRatio,p=t.viewHints,f=!(p[0]||p[1]),h=this.compositionContext_,m=Math.round(t.size[0]*d),g=Math.round(t.size[1]*d),v=c.getWrapX()&&s.canWrapX(),y=v?E3(u):null,b=v?Math.ceil((r[2]-u[2])/y)+1:1,w=v?Math.floor((r[0]-u[0])/y):0;do{var _=this.getRenderTransform(o,a,l,d,m,g,w*y);e.execute(h,1,_,l,f,void 0,n)}while(++w<b)},t.prototype.setupCompositionContext_=function(){if(1!==this.opacity_){var e=t8(this.context.canvas.width,this.context.canvas.height,Ere);this.compositionContext_=e}else this.compositionContext_=this.context},t.prototype.releaseCompositionContext_=function(){if(1!==this.opacity_){var e=this.context.globalAlpha;this.context.globalAlpha=this.opacity_,this.context.drawImage(this.compositionContext_.canvas,0,0),this.context.globalAlpha=e,n8(this.compositionContext_),Ere.push(this.compositionContext_.canvas),this.compositionContext_=null}},t.prototype.renderDeclutter=function(e){this.declutterExecutorGroup&&(this.setupCompositionContext_(),this.renderWorlds(this.declutterExecutorGroup,e,e.declutterTree),this.releaseCompositionContext_())},t.prototype.renderFrame=function(e,t){var n=e.pixelRatio,r=e.layerStatesArray[e.layerIndex];!function(e,t,n){!function(e,t,n,r,i,o,a){e[0]=t,e[1]=n,e[2]=r,e[3]=i,e[4]=o,e[5]=a}(e,t,0,0,n,0,0)}(this.pixelTransform,1/n,1/n),G9(this.inversePixelTransform,this.pixelTransform);var i=K9(this.pixelTransform);this.useContainer(t,i,this.getBackground(e));var o=this.context,a=o.canvas,s=this.replayGroup_,l=this.declutterExecutorGroup;if((!s||s.isEmpty())&&(!l||l.isEmpty()))return null;var u=Math.round(e.size[0]*n),c=Math.round(e.size[1]*n);a.width!=u||a.height!=c?(a.width=u,a.height=c,a.style.transform!==i&&(a.style.transform=i)):this.containerReused||o.clearRect(0,0,u,c),this.preRender(o,e);var d=e.viewState;d.projection;this.opacity_=r.opacity,this.setupCompositionContext_();var p=!1,f=!0;if(r.extent&&this.clipping){var h=t7(r.extent);(p=(f=C3(h,e.extent))&&!t3(h,e.extent))&&this.clipUnrotated(this.compositionContext_,e,h)}return f&&this.renderWorlds(s,e),p&&this.compositionContext_.restore(),this.releaseCompositionContext_(),this.postRender(o,e),this.renderedRotation_!==d.rotation&&(this.renderedRotation_=d.rotation,this.hitDetectionImageData_=null),this.container},t.prototype.getFeatures=function(e){return new Promise(function(t){if(!this.hitDetectionImageData_&&!this.animatingOrInteracting_){var n=[this.context.canvas.width,this.context.canvas.height];H9(this.pixelTransform,n);var r=this.renderedCenter_,i=this.renderedResolution_,o=this.renderedRotation_,a=this.renderedProjection_,s=this.wrappedRenderedExtent_,l=this.getLayer(),u=[],c=n[0]*uie,d=n[1]*uie;u.push(this.getRenderTransform(r,i,o,uie,c,d,0).slice());var p=l.getSource(),f=a.getExtent();if(p.getWrapX()&&a.canWrapX()&&!t3(f,s)){for(var h=s[0],m=E3(f),g=0,v=void 0;h<f[0];)v=m*--g,u.push(this.getRenderTransform(r,i,o,uie,c,d,v).slice()),h+=m;for(g=0,h=s[2];h>f[2];)v=m*++g,u.push(this.getRenderTransform(r,i,o,uie,c,d,v).slice()),h-=m}this.hitDetectionImageData_=function(e,t,n,r,i,o,a){var s=t8(e[0]*uie,e[1]*uie);s.imageSmoothingEnabled=!1;for(var l=s.canvas,u=new Vre(s,uie,i,null,a),c=n.length,d=Math.floor(16777215/c),p={},f=1;f<=c;++f){var h=n[f-1],m=h.getStyleFunction()||r;if(r){var g=m(h,o);if(g){Array.isArray(g)||(g=[g]);for(var v="#"+("000000"+(f*d).toString(16)).slice(-6),y=0,b=g.length;y<b;++y){var w=g[y],_=w.getGeometryFunction()(h);if(_&&C3(i,_.getExtent())){var x=w.clone(),k=x.getFill();k&&k.setColor(v);var E=x.getStroke();E&&(E.setColor(v),E.setLineDash(null)),x.setText(void 0);var C=w.getImage();if(C&&0!==C.getOpacity()){var S=C.getImageSize();if(!S)continue;var A=t8(S[0],S[1],void 0,{alpha:!1}),T=A.canvas;A.fillStyle=v,A.fillRect(0,0,T.width,T.height),x.setImage(new lie({img:T,imgSize:S,anchor:C.getAnchor(),anchorXUnits:Kre,anchorYUnits:Kre,offset:C.getOrigin(),opacity:1,size:C.getSize(),scale:C.getScale(),rotation:C.getRotation(),rotateWithView:C.getRotateWithView()}))}var O=x.getZIndex()||0;(R=p[O])||(R={},p[O]=R,R.Polygon=[],R.Circle=[],R.LineString=[],R.Point=[]),R[_.getType().replace("Multi","")].push(_,x)}}}}}for(var P=Object.keys(p).map(Number).sort(x9),D=(f=0,P.length);f<D;++f){var R=p[P[f]];for(var I in R){var M=R[I];for(y=0,b=M.length;y<b;y+=2){u.setStyle(M[y+1]);for(var L=0,N=t.length;L<N;++L)u.setTransform(t[L]),u.drawGeometry(M[y])}}}return s.getImageData(0,0,l.width,l.height)}(n,u,this.renderedFeatures_,l.getStyleFunction(),s,i,o)}t(function(e,t,n){var r=[];if(n){var i=Math.floor(Math.round(e[0])*uie),o=Math.floor(Math.round(e[1])*uie),a=4*(T3(i,0,n.width-1)+T3(o,0,n.height-1)*n.width),s=n.data[a],l=n.data[a+1],u=n.data[a+2]+256*(l+256*s),c=Math.floor(16777215/t.length);u&&u%c==0&&r.push(t[u/c-1])}return r}(e,this.renderedFeatures_,this.hitDetectionImageData_))}.bind(this))},t.prototype.forEachFeatureAtCoordinate=function(e,t,n,r,i){var o=this;if(this.replayGroup_){var a,s=t.viewState.resolution,l=t.viewState.rotation,u=this.getLayer(),c={},d=function(e,t,n){var o=R9(e),a=c[o];if(a){if(!0!==a&&n<a.distanceSq){if(0===n)return c[o]=!0,i.splice(i.lastIndexOf(a),1),r(e,u,t);a.geometry=t,a.distanceSq=n}}else{if(0===n)return c[o]=!0,r(e,u,t);i.push(c[o]={feature:e,layer:u,geometry:t,distanceSq:n,callback:r})}},p=[this.replayGroup_];return this.declutterExecutorGroup&&p.push(this.declutterExecutorGroup),p.some((function(r){return a=r.forEachFeatureAtCoordinate(e,s,l,n,d,r===o.declutterExecutorGroup&&t.declutterTree?t.declutterTree.all().map((function(e){return e.value})):null)})),a}},t.prototype.handleFontsChanged=function(){var e=this.getLayer();e.getVisible()&&this.replayGroup_&&e.changed()},t.prototype.handleStyleImageChange_=function(e){this.renderIfReadyAndVisible()},t.prototype.prepareFrame=function(e){var t=this.getLayer(),n=t.getSource();if(!n)return!1;var r=e.viewHints[0],i=e.viewHints[1],o=t.getUpdateWhileAnimating(),a=t.getUpdateWhileInteracting();if(this.ready&&!o&&r||!a&&i)return this.animatingOrInteracting_=!0,!0;this.animatingOrInteracting_=!1;var s=e.extent,l=e.viewState,u=l.projection,c=l.resolution,d=e.pixelRatio,p=t.getRevision(),f=t.getRenderBuffer(),h=t.getRenderOrder();void 0===h&&(h=die);var m=l.center.slice(),g=X9(s,f*c),v=g.slice(),y=[g.slice()],b=u.getExtent();if(n.getWrapX()&&u.canWrapX()&&!t3(b,e.extent)){var w=E3(b),_=Math.max(E3(g)/2,w);g[0]=b[0]-_,g[2]=b[2]+_,U4(m,u);var x=A3(y[0],u);x[0]<b[0]&&x[2]<b[2]?y.push([x[0]+w,x[1],x[2]+w,x[3]]):x[0]>b[0]&&x[2]>b[2]&&y.push([x[0]-w,x[1],x[2]-w,x[3]])}if(this.ready&&this.renderedResolution_==c&&this.renderedRevision_==p&&this.renderedRenderOrder_==h&&t3(this.wrappedRenderedExtent_,g))return S9(this.renderedExtent_,v)||(this.hitDetectionImageData_=null,this.renderedExtent_=v),this.renderedCenter_=m,this.replayGroupChanged=!1,!0;this.replayGroup_=null;var k,E=new bre(pie(c,d),g,c,d);this.getLayer().getDeclutter()&&(k=new bre(pie(c,d),g,c,d));var C,S=X6();if(S){for(var A=0,T=y.length;A<T;++A){var O=e7(y[A]);n.loadFeatures(O,n7(c),S)}C=W6(S,u)}else for(A=0,T=y.length;A<T;++A)n.loadFeatures(y[A],c,u);var P=function(e,t){var n=pie(e,t);return n*n}(c,d),D=!0,R=function(e){var n,r=e.getStyleFunction()||t.getStyleFunction();if(r&&(n=r(e,c)),n){var i=this.renderFeature(e,P,n,E,C,k);D=D&&!i}}.bind(this),I=e7(g),M=n.getFeaturesInExtent(I);for(h&&M.sort(h),A=0,T=M.length;A<T;++A)R(M[A]);this.renderedFeatures_=M,this.ready=D;var L=E.finish(),N=new $re(g,c,d,n.getOverlaps(),L,t.getRenderBuffer());return k&&(this.declutterExecutorGroup=new $re(g,c,d,n.getOverlaps(),k.finish(),t.getRenderBuffer())),this.renderedResolution_=c,this.renderedRevision_=p,this.renderedRenderOrder_=h,this.renderedExtent_=v,this.wrappedRenderedExtent_=g,this.renderedCenter_=m,this.renderedProjection_=u,this.replayGroup_=N,this.hitDetectionImageData_=null,this.replayGroupChanged=!0,!0},t.prototype.renderFeature=function(e,t,n,r,i,o){if(!n)return!1;var a=!1;if(Array.isArray(n))for(var s=0,l=n.length;s<l;++s)a=fie(r,e,n[s],t,this.boundHandleStyleImageChange_,i,o)||a;else a=fie(r,e,n,t,this.boundHandleStyleImageChange_,i,o);return a},t}(Are);const vie=gie;var yie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();const bie=function(e){function t(t){return e.call(this,t)||this}return yie(t,e),t.prototype.createRenderer=function(){return new vie(this)},t}(Gne);var wie=function(){function e(e){this.rbush_=new Sne(e),this.items_={}}return e.prototype.insert=function(e,t){var n={minX:e[0],minY:e[1],maxX:e[2],maxY:e[3],value:t};this.rbush_.insert(n),this.items_[R9(t)]=n},e.prototype.load=function(e,t){for(var n=new Array(t.length),r=0,i=t.length;r<i;r++){var o=e[r],a=t[r],s={minX:o[0],minY:o[1],maxX:o[2],maxY:o[3],value:a};n[r]=s,this.items_[R9(a)]=s}this.rbush_.load(n)},e.prototype.remove=function(e){var t=R9(e),n=this.items_[t];return delete this.items_[t],null!==this.rbush_.remove(n)},e.prototype.update=function(e,t){var n=this.items_[R9(t)];s3([n.minX,n.minY,n.maxX,n.maxY],e)||(this.remove(t),this.insert(e,t))},e.prototype.getAll=function(){return this.rbush_.all().map((function(e){return e.value}))},e.prototype.getInExtent=function(e){var t={minX:e[0],minY:e[1],maxX:e[2],maxY:e[3]};return this.rbush_.search(t).map((function(e){return e.value}))},e.prototype.forEach=function(e){return this.forEach_(this.getAll(),e)},e.prototype.forEachInExtent=function(e,t){return this.forEach_(this.getInExtent(e),t)},e.prototype.forEach_=function(e,t){for(var n,r=0,i=e.length;r<i;r++)if(n=t(e[r]))return n;return n},e.prototype.isEmpty=function(){return e4(this.items_)},e.prototype.clear=function(){this.rbush_.clear(),this.items_={}},e.prototype.getExtent=function(e){var t=this.rbush_.toJSON();return i3(t.minX,t.minY,t.maxX,t.maxY,e)},e.prototype.concat=function(e){for(var t in this.rbush_.load(e.rbush_.all()),e.items_)this.items_[t]=e.items_[t]},e}();const _ie=wie;var xie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),kie=function(e){function t(t){var n=e.call(this)||this;n.projection=B6(t.projection),n.attributions_=Eie(t.attributions),n.attributionsCollapsible_=void 0===t.attributionsCollapsible||t.attributionsCollapsible,n.loading=!1,n.state_=void 0!==t.state?t.state:"ready",n.wrapX_=void 0!==t.wrapX&&t.wrapX,n.interpolate_=!!t.interpolate,n.viewResolver=null,n.viewRejector=null;var r=n;return n.viewPromise_=new Promise((function(e,t){r.viewResolver=e,r.viewRejector=t})),n}return xie(t,e),t.prototype.getAttributions=function(){return this.attributions_},t.prototype.getAttributionsCollapsible=function(){return this.attributionsCollapsible_},t.prototype.getProjection=function(){return this.projection},t.prototype.getResolutions=function(){return P9()},t.prototype.getView=function(){return this.viewPromise_},t.prototype.getState=function(){return this.state_},t.prototype.getWrapX=function(){return this.wrapX_},t.prototype.getInterpolate=function(){return this.interpolate_},t.prototype.refresh=function(){this.changed()},t.prototype.setAttributions=function(e){this.attributions_=Eie(e),this.changed()},t.prototype.setState=function(e){this.state_=e,this.changed()},t}(w4);function Eie(e){return e?Array.isArray(e)?function(t){return e}:"function"==typeof e?e:function(t){return[e]}:null}const Cie=kie,Sie="addfeature",Aie="removefeature";function Tie(e,t){return[[-1/0,-1/0,1/0,1/0]]}function Oie(e,t){return function(n,r,i,o,a){var s=this;!function(e,t,n,r,i,o,a){var s=new XMLHttpRequest;s.open("GET","function"==typeof e?e(n,r,i):e,!0),"arraybuffer"==t.getType()&&(s.responseType="arraybuffer"),s.withCredentials=false,s.onload=function(e){if(!s.status||s.status>=200&&s.status<300){var r=t.getType(),l=void 0;"json"==r||"text"==r?l=s.responseText:"xml"==r?(l=s.responseXML)||(l=(new DOMParser).parseFromString(s.responseText,"application/xml")):"arraybuffer"==r&&(l=s.response),l?o(t.readFeatures(l,{extent:n,featureProjection:i}),t.readProjection(l)):a()}else a()},s.onerror=a,s.send()}(e,t,n,r,i,(function(e,t){s.addFeatures(e),void 0!==o&&o(e)}),a||O9)}}var Pie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Die=function(e){function t(t,n,r){var i=e.call(this,t)||this;return i.feature=n,i.features=r,i}return Pie(t,e),t}(Y3),Rie=function(e){function t(t){var n=this,r=t||{};(n=e.call(this,{attributions:r.attributions,interpolate:!0,projection:void 0,state:"ready",wrapX:void 0===r.wrapX||r.wrapX})||this).on,n.once,n.un,n.loader_=O9,n.format_=r.format,n.overlaps_=void 0===r.overlaps||r.overlaps,n.url_=r.url,void 0!==r.loader?n.loader_=r.loader:void 0!==n.url_&&($9(n.format_,7),n.loader_=Oie(n.url_,n.format_)),n.strategy_=void 0!==r.strategy?r.strategy:Tie;var i,o,a=void 0===r.useSpatialIndex||r.useSpatialIndex;return n.featuresRtree_=a?new _ie:null,n.loadedExtentsRtree_=new _ie,n.loadingExtentsCount_=0,n.nullGeometryFeatures_={},n.idIndex_={},n.uidIndex_={},n.featureChangeKeys_={},n.featuresCollection_=null,Array.isArray(r.features)?o=r.features:r.features&&(o=(i=r.features).getArray()),a||void 0!==i||(i=new I8(o)),void 0!==o&&n.addFeaturesInternal(o),void 0!==i&&n.bindFeaturesCollection_(i),n}return Pie(t,e),t.prototype.addFeature=function(e){this.addFeatureInternal(e),this.changed()},t.prototype.addFeatureInternal=function(e){var t=R9(e);if(this.addToIndex_(t,e)){this.setupChangeEvents_(t,e);var n=e.getGeometry();if(n){var r=n.getExtent();this.featuresRtree_&&this.featuresRtree_.insert(r,e)}else this.nullGeometryFeatures_[t]=e;this.dispatchEvent(new Die(Sie,e))}else this.featuresCollection_&&this.featuresCollection_.remove(e)},t.prototype.setupChangeEvents_=function(e,t){this.featureChangeKeys_[e]=[d4(t,i4,this.handleFeatureChange_,this),d4(t,Z3,this.handleFeatureChange_,this)]},t.prototype.addToIndex_=function(e,t){var n=!0,r=t.getId();return void 0!==r&&(r.toString()in this.idIndex_?n=!1:this.idIndex_[r.toString()]=t),n&&($9(!(e in this.uidIndex_),30),this.uidIndex_[e]=t),n},t.prototype.addFeatures=function(e){this.addFeaturesInternal(e),this.changed()},t.prototype.addFeaturesInternal=function(e){for(var t=[],n=[],r=[],i=0,o=e.length;i<o;i++){var a=R9(l=e[i]);this.addToIndex_(a,l)&&n.push(l)}i=0;for(var s=n.length;i<s;i++){var l;a=R9(l=n[i]),this.setupChangeEvents_(a,l);var u=l.getGeometry();if(u){var c=u.getExtent();t.push(c),r.push(l)}else this.nullGeometryFeatures_[a]=l}if(this.featuresRtree_&&this.featuresRtree_.load(t,r),this.hasListener(Sie)){i=0;for(var d=n.length;i<d;i++)this.dispatchEvent(new Die(Sie,n[i]))}},t.prototype.bindFeaturesCollection_=function(e){var t=!1;this.addEventListener(Sie,(function(n){t||(t=!0,e.push(n.feature),t=!1)})),this.addEventListener(Aie,(function(n){t||(t=!0,e.remove(n.feature),t=!1)})),e.addEventListener(A8,function(e){t||(t=!0,this.addFeature(e.element),t=!1)}.bind(this)),e.addEventListener(T8,function(e){t||(t=!0,this.removeFeature(e.element),t=!1)}.bind(this)),this.featuresCollection_=e},t.prototype.clear=function(e){if(e){for(var t in this.featureChangeKeys_)this.featureChangeKeys_[t].forEach(f4);this.featuresCollection_||(this.featureChangeKeys_={},this.idIndex_={},this.uidIndex_={})}else if(this.featuresRtree_){var n=function(e){this.removeFeatureInternal(e)}.bind(this);for(var r in this.featuresRtree_.forEach(n),this.nullGeometryFeatures_)this.removeFeatureInternal(this.nullGeometryFeatures_[r])}this.featuresCollection_&&this.featuresCollection_.clear(),this.featuresRtree_&&this.featuresRtree_.clear(),this.nullGeometryFeatures_={};var i=new Die("clear");this.dispatchEvent(i),this.changed()},t.prototype.forEachFeature=function(e){if(this.featuresRtree_)return this.featuresRtree_.forEach(e);this.featuresCollection_&&this.featuresCollection_.forEach(e)},t.prototype.forEachFeatureAtCoordinateDirect=function(e,t){var n=[e[0],e[1],e[0],e[1]];return this.forEachFeatureInExtent(n,(function(n){return n.getGeometry().intersectsCoordinate(e)?t(n):void 0}))},t.prototype.forEachFeatureInExtent=function(e,t){if(this.featuresRtree_)return this.featuresRtree_.forEachInExtent(e,t);this.featuresCollection_&&this.featuresCollection_.forEach(t)},t.prototype.forEachFeatureIntersectingExtent=function(e,t){return this.forEachFeatureInExtent(e,(function(n){if(n.getGeometry().intersectsExtent(e)){var r=t(n);if(r)return r}}))},t.prototype.getFeaturesCollection=function(){return this.featuresCollection_},t.prototype.getFeatures=function(){var e;return this.featuresCollection_?e=this.featuresCollection_.getArray().slice(0):this.featuresRtree_&&(e=this.featuresRtree_.getAll(),e4(this.nullGeometryFeatures_)||C9(e,J3(this.nullGeometryFeatures_))),e},t.prototype.getFeaturesAtCoordinate=function(e){var t=[];return this.forEachFeatureAtCoordinateDirect(e,(function(e){t.push(e)})),t},t.prototype.getFeaturesInExtent=function(e,t){var n=this;if(this.featuresRtree_){if(!(t&&t.canWrapX()&&this.getWrapX()))return this.featuresRtree_.getInExtent(e);var r=function(e,t){if(t.canWrapX()){var n=t.getExtent();if(!isFinite(e[0])||!isFinite(e[2]))return[[n[0],e[1],n[2],e[3]]];A3(e,t);var r=E3(n);if(E3(e)>r)return[[n[0],e[1],n[2],e[3]]];if(e[0]<n[0])return[[e[0]+r,e[1],n[2],e[3]],[n[0],e[1],e[2],e[3]]];if(e[2]>n[2])return[[e[0],e[1],n[2],e[3]],[n[0],e[1],e[2]-r,e[3]]]}return[e]}(e,t);return[].concat.apply([],r.map((function(e){return n.featuresRtree_.getInExtent(e)})))}return this.featuresCollection_?this.featuresCollection_.getArray().slice(0):[]},t.prototype.getClosestFeatureToCoordinate=function(e,t){var n=e[0],r=e[1],i=null,o=[NaN,NaN],a=1/0,s=[-1/0,-1/0,1/0,1/0],l=t||A9;return this.featuresRtree_.forEachInExtent(s,(function(e){if(l(e)){var t=e.getGeometry(),u=a;if((a=t.closestPointXY(n,r,o,a))<u){i=e;var c=Math.sqrt(a);s[0]=n-c,s[1]=r-c,s[2]=n+c,s[3]=r+c}}})),i},t.prototype.getExtent=function(e){return this.featuresRtree_.getExtent(e)},t.prototype.getFeatureById=function(e){var t=this.idIndex_[e.toString()];return void 0!==t?t:null},t.prototype.getFeatureByUid=function(e){var t=this.uidIndex_[e];return void 0!==t?t:null},t.prototype.getFormat=function(){return this.format_},t.prototype.getOverlaps=function(){return this.overlaps_},t.prototype.getUrl=function(){return this.url_},t.prototype.handleFeatureChange_=function(e){var t=e.target,n=R9(t),r=t.getGeometry();if(r){var i=r.getExtent();n in this.nullGeometryFeatures_?(delete this.nullGeometryFeatures_[n],this.featuresRtree_&&this.featuresRtree_.insert(i,t)):this.featuresRtree_&&this.featuresRtree_.update(i,t)}else n in this.nullGeometryFeatures_||(this.featuresRtree_&&this.featuresRtree_.remove(t),this.nullGeometryFeatures_[n]=t);var o=t.getId();if(void 0!==o){var a=o.toString();this.idIndex_[a]!==t&&(this.removeFromIdIndex_(t),this.idIndex_[a]=t)}else this.removeFromIdIndex_(t),this.uidIndex_[n]=t;this.changed(),this.dispatchEvent(new Die("changefeature",t))},t.prototype.hasFeature=function(e){var t=e.getId();return void 0!==t?t in this.idIndex_:R9(e)in this.uidIndex_},t.prototype.isEmpty=function(){return this.featuresRtree_?this.featuresRtree_.isEmpty()&&e4(this.nullGeometryFeatures_):!this.featuresCollection_||0===this.featuresCollection_.getLength()},t.prototype.loadFeatures=function(e,t,n){for(var r=this.loadedExtentsRtree_,i=this.strategy_(e,t,n),o=function(e,o){var s=i[e],l=r.forEachInExtent(s,(function(e){return t3(e.extent,s)}));l||(++a.loadingExtentsCount_,a.dispatchEvent(new Die("featuresloadstart")),a.loader_.call(a,s,t,n,function(e){--this.loadingExtentsCount_,this.dispatchEvent(new Die("featuresloadend",void 0,e))}.bind(a),function(){--this.loadingExtentsCount_,this.dispatchEvent(new Die("featuresloaderror"))}.bind(a)),r.insert(s,{extent:s.slice()}))},a=this,s=0,l=i.length;s<l;++s)o(s);this.loading=!(this.loader_.length<4)&&this.loadingExtentsCount_>0},t.prototype.refresh=function(){this.clear(!0),this.loadedExtentsRtree_.clear(),e.prototype.refresh.call(this)},t.prototype.removeLoadedExtent=function(e){var t,n=this.loadedExtentsRtree_;n.forEachInExtent(e,(function(n){if(s3(n.extent,e))return t=n,!0})),t&&n.remove(t)},t.prototype.removeFeature=function(e){if(e){var t=R9(e);t in this.nullGeometryFeatures_?delete this.nullGeometryFeatures_[t]:this.featuresRtree_&&this.featuresRtree_.remove(e),this.removeFeatureInternal(e)&&this.changed()}},t.prototype.removeFeatureInternal=function(e){var t=R9(e),n=this.featureChangeKeys_[t];if(n){n.forEach(f4),delete this.featureChangeKeys_[t];var r=e.getId();return void 0!==r&&delete this.idIndex_[r.toString()],delete this.uidIndex_[t],this.dispatchEvent(new Die(Aie,e)),e}},t.prototype.removeFromIdIndex_=function(e){var t=!1;for(var n in this.idIndex_)if(this.idIndex_[n]===e){delete this.idIndex_[n],t=!0;break}return t},t.prototype.setLoader=function(e){this.loader_=e},t.prototype.setUrl=function(e){$9(this.format_,7),this.url_=e,this.setLoader(Oie(e,this.format_))},t}(Cie);const Iie=Rie;var Mie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Lie="Point",Nie="LineString",jie="Polygon",Fie="Circle",Bie="drawstart",zie=function(e){function t(t,n){var r=e.call(this,t)||this;return r.feature=n,r}return Mie(t,e),t}(Y3),Uie=function(e){function t(t){var n=this,r=t;r.stopDown||(r.stopDown=T9),(n=e.call(this,r)||this).on,n.once,n.un,n.shouldHandle_=!1,n.downPx_=null,n.downTimeout_,n.lastDragTime_,n.pointerType_,n.freehand_=!1,n.source_=t.source?t.source:null,n.features_=t.features?t.features:null,n.snapTolerance_=t.snapTolerance?t.snapTolerance:12,n.type_=t.type,n.mode_=function(e){switch(e){case"Point":case"MultiPoint":return Lie;case"LineString":case"MultiLineString":return Nie;case"Polygon":case"MultiPolygon":return jie;case"Circle":return Fie;default:throw new Error("Invalid type: "+e)}}(n.type_),n.stopClick_=!!t.stopClick,n.minPoints_=t.minPoints?t.minPoints:n.mode_===jie?3:2,n.maxPoints_=n.mode_===Fie?2:t.maxPoints?t.maxPoints:1/0,n.finishCondition_=t.finishCondition?t.finishCondition:A9,n.geometryLayout_=t.geometryLayout?t.geometryLayout:h7;var i=t.geometryFunction;if(!i){var o,a=n.mode_;a===Fie?i=function(e,t,n){var r,i,o,a,s=t||new Cne([NaN,NaN]),l=J6(e[0]),u=(r=l,i=J6(e[e.length-1]),o=r[0]-i[0],a=r[1]-i[1],o*o+a*a);s.setCenterAndRadius(l,Math.sqrt(u),this.geometryLayout_);var c=X6();return c&&s.transform(n,c),s}:(a===Lie?o=Z7:a===Nie?o=lne:a===jie&&(o=pee),i=function(e,t,n){return t?a===jie?e[0].length?t.setCoordinates([e[0].concat([e[0][0]])],this.geometryLayout_):t.setCoordinates([],this.geometryLayout_):t.setCoordinates(e,this.geometryLayout_):t=new o(e,this.geometryLayout_),t})}return n.geometryFunction_=i,n.dragVertexDelay_=void 0!==t.dragVertexDelay?t.dragVertexDelay:500,n.finishCoordinate_=null,n.sketchFeature_=null,n.sketchPoint_=null,n.sketchCoords_=null,n.sketchLine_=null,n.sketchLineCoords_=null,n.squaredClickTolerance_=t.clickTolerance?t.clickTolerance*t.clickTolerance:36,n.overlay_=new bie({source:new Iie({useSpatialIndex:!1,wrapX:!!t.wrapX&&t.wrapX}),style:t.style?t.style:qie(),updateWhileInteracting:!0}),n.geometryName_=t.geometryName,n.condition_=t.condition?t.condition:nte,n.freehandCondition_,t.freehand?n.freehandCondition_=ete:n.freehandCondition_=t.freehandCondition?t.freehandCondition:rte,n.addChangeListener(Bee,n.updateState_),n}return Mie(t,e),t.prototype.setMap=function(t){e.prototype.setMap.call(this,t),this.updateState_()},t.prototype.getOverlay=function(){return this.overlay_},t.prototype.handleEvent=function(t){t.originalEvent.type===o4&&t.originalEvent.preventDefault(),this.freehand_=this.mode_!==Lie&&this.freehandCondition_(t);var n=t.type===H8.POINTERMOVE,r=!0;return!this.freehand_&&this.lastDragTime_&&t.type===H8.POINTERDRAG&&(Date.now()-this.lastDragTime_>=this.dragVertexDelay_?(this.downPx_=t.pixel,this.shouldHandle_=!this.freehand_,n=!0):this.lastDragTime_=void 0,this.shouldHandle_&&void 0!==this.downTimeout_&&(clearTimeout(this.downTimeout_),this.downTimeout_=void 0)),this.freehand_&&t.type===H8.POINTERDRAG&&null!==this.sketchFeature_?(this.addToDrawing_(t.coordinate),r=!1):this.freehand_&&t.type===H8.POINTERDOWN?r=!1:n&&this.getPointerCount()<2?(r=t.type===H8.POINTERMOVE)&&this.freehand_?(this.handlePointerMove_(t),this.shouldHandle_&&t.originalEvent.preventDefault()):("mouse"===t.originalEvent.pointerType||t.type===H8.POINTERDRAG&&void 0===this.downTimeout_)&&this.handlePointerMove_(t):t.type===H8.DBLCLICK&&(r=!1),e.prototype.handleEvent.call(this,t)&&r},t.prototype.handleDownEvent=function(e){return this.shouldHandle_=!this.freehand_,this.freehand_?(this.downPx_=e.pixel,this.finishCoordinate_||this.startDrawing_(e.coordinate),!0):this.condition_(e)?(this.lastDragTime_=Date.now(),this.downTimeout_=setTimeout(function(){this.handlePointerMove_(new W8(H8.POINTERMOVE,e.map,e.originalEvent,!1,e.frameState))}.bind(this),this.dragVertexDelay_),this.downPx_=e.pixel,!0):(this.lastDragTime_=void 0,!1)},t.prototype.handleUpEvent=function(e){var t=!0;if(0===this.getPointerCount())if(this.downTimeout_&&(clearTimeout(this.downTimeout_),this.downTimeout_=void 0),this.handlePointerMove_(e),this.shouldHandle_){var n=!this.finishCoordinate_;n&&this.startDrawing_(e.coordinate),!n&&this.freehand_?this.finishDrawing():this.freehand_||n&&this.mode_!==Lie||(this.atFinish_(e.pixel)?this.finishCondition_(e)&&this.finishDrawing():this.addToDrawing_(e.coordinate)),t=!1}else this.freehand_&&this.abortDrawing();return!t&&this.stopClick_&&e.preventDefault(),t},t.prototype.handlePointerMove_=function(e){if(this.pointerType_=e.originalEvent.pointerType,this.downPx_&&(!this.freehand_&&this.shouldHandle_||this.freehand_&&!this.shouldHandle_)){var t=this.downPx_,n=e.pixel,r=t[0]-n[0],i=t[1]-n[1],o=r*r+i*i;if(this.shouldHandle_=this.freehand_?o>this.squaredClickTolerance_:o<=this.squaredClickTolerance_,!this.shouldHandle_)return}this.finishCoordinate_?this.modifyDrawing_(e.coordinate):this.createOrUpdateSketchPoint_(e.coordinate.slice())},t.prototype.atFinish_=function(e){var t=!1;if(this.sketchFeature_){var n=!1,r=[this.finishCoordinate_],i=this.mode_;if(i===Lie)t=!0;else if(i===Fie)t=2===this.sketchCoords_.length;else if(i===Nie)n=this.sketchCoords_.length>this.minPoints_;else if(i===jie){var o=this.sketchCoords_;n=o[0].length>this.minPoints_,r=[o[0][0],o[0][o[0].length-2]]}if(n)for(var a=this.getMap(),s=0,l=r.length;s<l;s++){var u=r[s],c=a.getPixelFromCoordinate(u),d=e[0]-c[0],p=e[1]-c[1],f=this.freehand_?1:this.snapTolerance_;if(t=Math.sqrt(d*d+p*p)<=f){this.finishCoordinate_=u;break}}}return t},t.prototype.createOrUpdateSketchPoint_=function(e){this.sketchPoint_?this.sketchPoint_.getGeometry().setCoordinates(e):(this.sketchPoint_=new Vte(new Z7(e)),this.updateSketchFeatures_())},t.prototype.createOrUpdateCustomSketchLine_=function(e){this.sketchLine_||(this.sketchLine_=new Vte);var t=e.getLinearRing(0),n=this.sketchLine_.getGeometry();n?(n.setFlatCoordinates(t.getLayout(),t.getFlatCoordinates()),n.changed()):(n=new lne(t.getFlatCoordinates(),t.getLayout()),this.sketchLine_.setGeometry(n))},t.prototype.startDrawing_=function(e){for(var t=this.getMap().getView().getProjection(),n=S7(this.geometryLayout_);e.length<n;)e.push(0);this.finishCoordinate_=e,this.mode_===Lie?this.sketchCoords_=e.slice():this.mode_===jie?(this.sketchCoords_=[[e.slice(),e.slice()]],this.sketchLineCoords_=this.sketchCoords_[0]):this.sketchCoords_=[e.slice(),e.slice()],this.sketchLineCoords_&&(this.sketchLine_=new Vte(new lne(this.sketchLineCoords_)));var r=this.geometryFunction_(this.sketchCoords_,void 0,t);this.sketchFeature_=new Vte,this.geometryName_&&this.sketchFeature_.setGeometryName(this.geometryName_),this.sketchFeature_.setGeometry(r),this.updateSketchFeatures_(),this.dispatchEvent(new zie(Bie,this.sketchFeature_))},t.prototype.modifyDrawing_=function(e){for(var t,n,r=this.getMap(),i=this.sketchFeature_.getGeometry(),o=r.getView().getProjection(),a=S7(this.geometryLayout_);e.length<a;)e.push(0);this.mode_===Lie?n=this.sketchCoords_:this.mode_===jie?(n=(t=this.sketchCoords_[0])[t.length-1],this.atFinish_(r.getPixelFromCoordinate(e))&&(e=this.finishCoordinate_.slice())):n=(t=this.sketchCoords_)[t.length-1],n[0]=e[0],n[1]=e[1],this.geometryFunction_(this.sketchCoords_,i,o),this.sketchPoint_&&this.sketchPoint_.getGeometry().setCoordinates(e),"Polygon"===i.getType()&&this.mode_!==jie?this.createOrUpdateCustomSketchLine_(i):this.sketchLineCoords_&&this.sketchLine_.getGeometry().setCoordinates(this.sketchLineCoords_),this.updateSketchFeatures_()},t.prototype.addToDrawing_=function(e){var t,n,r=this.sketchFeature_.getGeometry(),i=this.getMap().getView().getProjection(),o=this.mode_;o===Nie||o===Fie?(this.finishCoordinate_=e.slice(),(n=this.sketchCoords_).length>=this.maxPoints_&&(this.freehand_?n.pop():t=!0),n.push(e.slice()),this.geometryFunction_(n,r,i)):o===jie&&((n=this.sketchCoords_[0]).length>=this.maxPoints_&&(this.freehand_?n.pop():t=!0),n.push(e.slice()),t&&(this.finishCoordinate_=n[0]),this.geometryFunction_(this.sketchCoords_,r,i)),this.createOrUpdateSketchPoint_(e.slice()),this.updateSketchFeatures_(),t&&this.finishDrawing()},t.prototype.removeLastPoint=function(){if(this.sketchFeature_){var e,t=this.sketchFeature_.getGeometry(),n=this.getMap().getView().getProjection(),r=this.mode_;if(r===Nie||r===Fie){if((e=this.sketchCoords_).splice(-2,1),e.length>=2){this.finishCoordinate_=e[e.length-2].slice();var i=this.finishCoordinate_.slice();e[e.length-1]=i,this.createOrUpdateSketchPoint_(i)}this.geometryFunction_(e,t,n),"Polygon"===t.getType()&&this.sketchLine_&&this.createOrUpdateCustomSketchLine_(t)}else if(r===jie){(e=this.sketchCoords_[0]).splice(-2,1);var o=this.sketchLine_.getGeometry();e.length>=2&&(i=e[e.length-2].slice(),e[e.length-1]=i,this.createOrUpdateSketchPoint_(i)),o.setCoordinates(e),this.geometryFunction_(this.sketchCoords_,t,n)}1===e.length&&this.abortDrawing(),this.updateSketchFeatures_()}},t.prototype.finishDrawing=function(){var e=this.abortDrawing_();if(e){var t=this.sketchCoords_,n=e.getGeometry(),r=this.getMap().getView().getProjection();this.mode_===Nie?(t.pop(),this.geometryFunction_(t,n,r)):this.mode_===jie&&(t[0].pop(),this.geometryFunction_(t,n,r),t=n.getCoordinates()),"MultiPoint"===this.type_?e.setGeometry(new hne([t])):"MultiLineString"===this.type_?e.setGeometry(new dne([t])):"MultiPolygon"===this.type_&&e.setGeometry(new vne([t])),this.dispatchEvent(new zie("drawend",e)),this.features_&&this.features_.push(e),this.source_&&this.source_.addFeature(e)}},t.prototype.abortDrawing_=function(){this.finishCoordinate_=null;var e=this.sketchFeature_;return this.sketchFeature_=null,this.sketchPoint_=null,this.sketchLine_=null,this.overlay_.getSource().clear(!0),e},t.prototype.abortDrawing=function(){var e=this.abortDrawing_();e&&this.dispatchEvent(new zie("drawabort",e))},t.prototype.appendCoordinates=function(e){var t,n=this.mode_,r=!this.sketchFeature_;if(r&&this.startDrawing_(e[0]),n===Nie||n===Fie)t=this.sketchCoords_;else{if(n!==jie)return;t=this.sketchCoords_&&this.sketchCoords_.length?this.sketchCoords_[0]:[]}r&&t.shift(),t.pop();for(var i=0;i<e.length;i++)this.addToDrawing_(e[i]);var o=e[e.length-1];this.addToDrawing_(o),this.modifyDrawing_(o)},t.prototype.extend=function(e){var t=e.getGeometry();this.sketchFeature_=e,this.sketchCoords_=t.getCoordinates();var n=this.sketchCoords_[this.sketchCoords_.length-1];this.finishCoordinate_=n.slice(),this.sketchCoords_.push(n.slice()),this.sketchPoint_=new Vte(new Z7(n)),this.updateSketchFeatures_(),this.dispatchEvent(new zie(Bie,this.sketchFeature_))},t.prototype.updateSketchFeatures_=function(){var e=[];this.sketchFeature_&&e.push(this.sketchFeature_),this.sketchLine_&&e.push(this.sketchLine_),this.sketchPoint_&&e.push(this.sketchPoint_);var t=this.overlay_.getSource();t.clear(!0),t.addFeatures(e)},t.prototype.updateState_=function(){var e=this.getMap(),t=this.getActive();e&&t||this.abortDrawing(),this.overlay_.setMap(t?e:null)},t}(Zee);function qie(){var e=function(){var e={},t=[255,255,255,1],n=[0,153,255,1];return e.Polygon=[new zne({fill:new jne({color:[255,255,255,.5]})})],e.MultiPolygon=e.Polygon,e.LineString=[new zne({stroke:new Bne({color:t,width:5})}),new zne({stroke:new Bne({color:n,width:3})})],e.MultiLineString=e.LineString,e.Circle=e.Polygon.concat(e.LineString),e.Point=[new zne({image:new Lne({radius:6,fill:new jne({color:n}),stroke:new Bne({color:t,width:1.5})}),zIndex:1/0})],e.MultiPoint=e.Point,e.GeometryCollection=e.Polygon.concat(e.LineString,e.Point),e}();return function(t,n){return e[t.getGeometry().getType()]}}const $ie=Uie,Wie="preload",Hie="useInterimTilesOnError";var Vie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Gie=function(e){function t(t){var n=this,r=t||{},i=X3({},r);return delete i.preload,delete i.useInterimTilesOnError,(n=e.call(this,i)||this).on,n.once,n.un,n.setPreload(void 0!==r.preload?r.preload:0),n.setUseInterimTilesOnError(void 0===r.useInterimTilesOnError||r.useInterimTilesOnError),n}return Vie(t,e),t.prototype.getPreload=function(){return this.get(Wie)},t.prototype.setPreload=function(e){this.set(Wie,e)},t.prototype.getUseInterimTilesOnError=function(){return this.get(Hie)},t.prototype.setUseInterimTilesOnError=function(e){this.set(Hie,e)},t.prototype.getData=function(t){return e.prototype.getData.call(this,t)},t}(F4);const Kie=Gie;var Yie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Zie=function(e){function t(t,n,r){var i=e.call(this)||this,o=r||{};return i.tileCoord=t,i.state=n,i.interimTile=null,i.key="",i.transition_=void 0===o.transition?250:o.transition,i.transitionStarts_={},i.interpolate=!!o.interpolate,i}return Yie(t,e),t.prototype.changed=function(){this.dispatchEvent(i4)},t.prototype.release=function(){},t.prototype.getKey=function(){return this.key+"/"+this.tileCoord},t.prototype.getInterimTile=function(){if(!this.interimTile)return this;var e=this.interimTile;do{if(2==e.getState())return this.transition_=0,e;e=e.interimTile}while(e);return this},t.prototype.refreshInterimChain=function(){if(this.interimTile){var e=this.interimTile,t=this;do{if(2==e.getState()){e.interimTile=null;break}1==e.getState()?t=e:0==e.getState()?t.interimTile=e.interimTile:t=e,e=t.interimTile}while(e)}},t.prototype.getTileCoord=function(){return this.tileCoord},t.prototype.getState=function(){return this.state},t.prototype.setState=function(e){if(3!==this.state&&this.state>e)throw new Error("Tile load sequence violation");this.state=e,this.changed()},t.prototype.load=function(){P9()},t.prototype.getAlpha=function(e,t){if(!this.transition_)return 1;var n=this.transitionStarts_[e];if(n){if(-1===n)return 1}else n=t,this.transitionStarts_[e]=n;var r=t-n+1e3/60;return r>=this.transition_?1:c7(r/this.transition_)},t.prototype.inTransition=function(e){return!!this.transition_&&-1!==this.transitionStarts_[e]},t.prototype.endTransition=function(e){this.transition_&&(this.transitionStarts_[e]=-1)},t}(r4);const Xie=Zie;var Qie=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Jie=function(e){function t(t,n,r,i,o,a){var s=e.call(this,t,n,a)||this;return s.crossOrigin_=i,s.src_=r,s.key=r,s.image_=new Image,null!==i&&(s.image_.crossOrigin=i),s.unlisten_=null,s.tileLoadFunction_=o,s}return Qie(t,e),t.prototype.getImage=function(){return this.image_},t.prototype.setImage=function(e){this.image_=e,this.state=2,this.unlistenImage_(),this.changed()},t.prototype.handleImageError_=function(){var e;this.state=3,this.unlistenImage_(),this.image_=((e=t8(1,1)).fillStyle="rgba(0,0,0,0)",e.fillRect(0,0,1,1),e.canvas),this.changed()},t.prototype.handleImageLoad_=function(){var e=this.image_;e.naturalWidth&&e.naturalHeight?this.state=2:this.state=4,this.unlistenImage_(),this.changed()},t.prototype.load=function(){3==this.state&&(this.state=0,this.image_=new Image,null!==this.crossOrigin_&&(this.image_.crossOrigin=this.crossOrigin_)),0==this.state&&(this.state=1,this.changed(),this.tileLoadFunction_(this,this.src_),this.unlisten_=nie(this.image_,this.handleImageLoad_.bind(this),this.handleImageError_.bind(this)))},t.prototype.unlistenImage_=function(){this.unlisten_&&(this.unlisten_(),this.unlisten_=null)},t}(Xie);const eoe=Jie;var toe=function(){function e(e,t,n,r,i,o){this.sourceProj_=e,this.targetProj_=t;var a={},s=H6(this.targetProj_,this.sourceProj_);this.transformInv_=function(e){var t=e[0]+"/"+e[1];return a[t]||(a[t]=s(e)),a[t]},this.maxSourceExtent_=r,this.errorThresholdSquared_=i*i,this.triangles_=[],this.wrapsXInSource_=!1,this.canWrapXInSource_=this.sourceProj_.canWrapX()&&!!r&&!!this.sourceProj_.getExtent()&&E3(r)==E3(this.sourceProj_.getExtent()),this.sourceWorldWidth_=this.sourceProj_.getExtent()?E3(this.sourceProj_.getExtent()):null,this.targetWorldWidth_=this.targetProj_.getExtent()?E3(this.targetProj_.getExtent()):null;var l=x3(n),u=k3(n),c=m3(n),d=h3(n),p=this.transformInv_(l),f=this.transformInv_(u),h=this.transformInv_(c),m=this.transformInv_(d),g=10+(o?Math.max(0,Math.ceil(P3(f3(n)/(o*o*256*256)))):0);if(this.addQuad_(l,u,c,d,p,f,h,m,g),this.wrapsXInSource_){var v=1/0;this.triangles_.forEach((function(e,t,n){v=Math.min(v,e.source[0][0],e.source[1][0],e.source[2][0])})),this.triangles_.forEach(function(e){if(Math.max(e.source[0][0],e.source[1][0],e.source[2][0])-v>this.sourceWorldWidth_/2){var t=[[e.source[0][0],e.source[0][1]],[e.source[1][0],e.source[1][1]],[e.source[2][0],e.source[2][1]]];t[0][0]-v>this.sourceWorldWidth_/2&&(t[0][0]-=this.sourceWorldWidth_),t[1][0]-v>this.sourceWorldWidth_/2&&(t[1][0]-=this.sourceWorldWidth_),t[2][0]-v>this.sourceWorldWidth_/2&&(t[2][0]-=this.sourceWorldWidth_);var n=Math.min(t[0][0],t[1][0],t[2][0]);Math.max(t[0][0],t[1][0],t[2][0])-n<this.sourceWorldWidth_/2&&(e.source=t)}}.bind(this))}a={}}return e.prototype.addTriangle_=function(e,t,n,r,i,o){this.triangles_.push({source:[r,i,o],target:[e,t,n]})},e.prototype.addQuad_=function(e,t,n,r,i,o,a,s,l){var u=Z9([i,o,a,s]),c=this.sourceWorldWidth_?E3(u)/this.sourceWorldWidth_:null,d=this.sourceWorldWidth_,p=this.sourceProj_.canWrapX()&&c>.5&&c<1,f=!1;if(l>0&&(this.targetProj_.isGlobal()&&this.targetWorldWidth_&&(f=E3(Z9([e,t,n,r]))/this.targetWorldWidth_>.25||f),!p&&this.sourceProj_.isGlobal()&&c&&(f=c>.25||f)),!(!f&&this.maxSourceExtent_&&isFinite(u[0])&&isFinite(u[1])&&isFinite(u[2])&&isFinite(u[3]))||C3(u,this.maxSourceExtent_)){var h=0;if(!(f||isFinite(i[0])&&isFinite(i[1])&&isFinite(o[0])&&isFinite(o[1])&&isFinite(a[0])&&isFinite(a[1])&&isFinite(s[0])&&isFinite(s[1])))if(l>0)f=!0;else if(1!=(h=(isFinite(i[0])&&isFinite(i[1])?0:8)+(isFinite(o[0])&&isFinite(o[1])?0:4)+(isFinite(a[0])&&isFinite(a[1])?0:2)+(isFinite(s[0])&&isFinite(s[1])?0:1))&&2!=h&&4!=h&&8!=h)return;if(l>0){if(!f){var m=[(e[0]+n[0])/2,(e[1]+n[1])/2],g=this.transformInv_(m),v=void 0;v=p?(M3(i[0],d)+M3(a[0],d))/2-M3(g[0],d):(i[0]+a[0])/2-g[0];var y=(i[1]+a[1])/2-g[1];f=v*v+y*y>this.errorThresholdSquared_}if(f){if(Math.abs(e[0]-n[0])<=Math.abs(e[1]-n[1])){var b=[(t[0]+n[0])/2,(t[1]+n[1])/2],w=this.transformInv_(b),_=[(r[0]+e[0])/2,(r[1]+e[1])/2],x=this.transformInv_(_);this.addQuad_(e,t,b,_,i,o,w,x,l-1),this.addQuad_(_,b,n,r,x,w,a,s,l-1)}else{var k=[(e[0]+t[0])/2,(e[1]+t[1])/2],E=this.transformInv_(k),C=[(n[0]+r[0])/2,(n[1]+r[1])/2],S=this.transformInv_(C);this.addQuad_(e,k,C,r,i,E,S,s,l-1),this.addQuad_(k,t,n,C,E,o,a,S,l-1)}return}}if(p){if(!this.canWrapXInSource_)return;this.wrapsXInSource_=!0}0==(11&h)&&this.addTriangle_(e,n,r,i,a,s),0==(14&h)&&this.addTriangle_(e,n,t,i,a,o),h&&(0==(13&h)&&this.addTriangle_(t,r,e,o,s,i),0==(7&h)&&this.addTriangle_(t,r,n,o,s,a))}},e.prototype.calculateSourceExtent=function(){var e=[1/0,1/0,-1/0,-1/0];return this.triangles_.forEach((function(t,n,r){var i=t.source;u3(e,i[0]),u3(e,i[1]),u3(e,i[2])})),e},e.prototype.getTriangles=function(){return this.triangles_},e}();const noe=toe;var roe,ioe={imageSmoothingEnabled:!1,msImageSmoothingEnabled:!1},ooe={imageSmoothingEnabled:!0,msImageSmoothingEnabled:!0},aoe=[];function soe(e,t,n,r,i){e.beginPath(),e.moveTo(0,0),e.lineTo(t,n),e.lineTo(r,i),e.closePath(),e.save(),e.clip(),e.fillRect(0,0,Math.max(t,r)+1,Math.max(n,i)),e.restore()}function loe(e,t){return Math.abs(e[4*t]-210)>2||Math.abs(e[4*t+3]-191.25)>2}function uoe(e,t,n,r){var i=V6(n,t,e),o=z6(t,r,n),a=t.getMetersPerUnit();void 0!==a&&(o*=a);var s=e.getMetersPerUnit();void 0!==s&&(o/=s);var l=e.getExtent();if(!l||e3(l,i)){var u=z6(e,o,i)/o;isFinite(u)&&u>0&&(o/=u)}return o}var coe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),doe=function(e){function t(t,n,r,i,o,a,s,l,u,c,d,p){var f=e.call(this,o,0,{interpolate:!!p})||this;f.renderEdges_=void 0!==d&&d,f.pixelRatio_=s,f.gutter_=l,f.canvas_=null,f.sourceTileGrid_=n,f.targetTileGrid_=i,f.wrappedTileCoord_=a||o,f.sourceTiles_=[],f.sourcesListenerKeys_=null,f.sourceZ_=0;var h=i.getTileCoordExtent(f.wrappedTileCoord_),m=f.targetTileGrid_.getExtent(),g=f.sourceTileGrid_.getExtent(),v=m?_3(h,m):h;if(0===f3(v))return f.state=4,f;var y=t.getExtent();y&&(g=g?_3(g,y):y);var b=i.getResolution(f.wrappedTileCoord_[0]),w=function(e,t,n,r){var i=g3(n),o=uoe(e,t,i,r);return(!isFinite(o)||o<=0)&&p3(n,(function(n){return o=uoe(e,t,n,r),isFinite(o)&&o>0})),o}(t,r,v,b);if(!isFinite(w)||w<=0)return f.state=4,f;var _=void 0!==c?c:.5;if(f.triangulation_=new noe(t,r,v,g,w*_,b),0===f.triangulation_.getTriangles().length)return f.state=4,f;f.sourceZ_=n.getZForResolution(w);var x=f.triangulation_.calculateSourceExtent();if(g&&(t.canWrapX()?(x[1]=T3(x[1],g[1],g[3]),x[3]=T3(x[3],g[1],g[3])):x=_3(x,g)),f3(x)){for(var k=n.getTileRangeForExtentAndZ(x,f.sourceZ_),E=k.minX;E<=k.maxX;E++)for(var C=k.minY;C<=k.maxY;C++){var S=u(f.sourceZ_,E,C,s);S&&f.sourceTiles_.push(S)}0===f.sourceTiles_.length&&(f.state=4)}else f.state=4;return f}return coe(t,e),t.prototype.getImage=function(){return this.canvas_},t.prototype.reproject_=function(){var e=[];if(this.sourceTiles_.forEach(function(t,n,r){t&&2==t.getState()&&e.push({extent:this.sourceTileGrid_.getTileCoordExtent(t.tileCoord),image:t.getImage()})}.bind(this)),this.sourceTiles_.length=0,0===e.length)this.state=3;else{var t=this.wrappedTileCoord_[0],n=this.targetTileGrid_.getTileSize(t),r="number"==typeof n?n:n[0],i="number"==typeof n?n:n[1],o=this.targetTileGrid_.getResolution(t),a=this.sourceTileGrid_.getResolution(this.sourceZ_),s=this.targetTileGrid_.getTileCoordExtent(this.wrappedTileCoord_);this.canvas_=function(e,t,n,r,i,o,a,s,l,u,c,d){var p=t8(Math.round(n*e),Math.round(n*t),aoe);if(d||X3(p,ioe),0===l.length)return p.canvas;function f(e){return Math.round(e*n)/n}p.scale(n,n),p.globalCompositeOperation="lighter";var h=[1/0,1/0,-1/0,-1/0];l.forEach((function(e,t,n){l3(h,e.extent)}));var m=E3(h),g=w3(h),v=t8(Math.round(n*m/r),Math.round(n*g/r));d||X3(v,ioe);var y=n/r;l.forEach((function(e,t,n){var r=e.extent[0]-h[0],i=-(e.extent[3]-h[3]),o=E3(e.extent),a=w3(e.extent);e.image.width>0&&e.image.height>0&&v.drawImage(e.image,u,u,e.image.width-2*u,e.image.height-2*u,r*y,i*y,o*y,a*y)}));var b=x3(a);return s.getTriangles().forEach((function(e,t,i){var a=e.source,s=e.target,l=a[0][0],u=a[0][1],c=a[1][0],m=a[1][1],g=a[2][0],y=a[2][1],w=f((s[0][0]-b[0])/o),_=f(-(s[0][1]-b[1])/o),x=f((s[1][0]-b[0])/o),k=f(-(s[1][1]-b[1])/o),E=f((s[2][0]-b[0])/o),C=f(-(s[2][1]-b[1])/o),S=l,A=u;l=0,u=0;var T=function(e){for(var t=e.length,n=0;n<t;n++){for(var r=n,i=Math.abs(e[n][n]),o=n+1;o<t;o++){var a=Math.abs(e[o][n]);a>i&&(i=a,r=o)}if(0===i)return null;var s=e[r];e[r]=e[n],e[n]=s;for(var l=n+1;l<t;l++)for(var u=-e[l][n]/e[n][n],c=n;c<t+1;c++)n==c?e[l][c]=0:e[l][c]+=u*e[n][c]}for(var d=new Array(t),p=t-1;p>=0;p--){d[p]=e[p][t]/e[p][p];for(var f=p-1;f>=0;f--)e[f][t]-=e[f][p]*d[p]}return d}([[c-=S,m-=A,0,0,x-w],[g-=S,y-=A,0,0,E-w],[0,0,c,m,k-_],[0,0,g,y,C-_]]);if(T){if(p.save(),p.beginPath(),function(){if(void 0===roe){var e=document.createElement("canvas").getContext("2d");e.globalCompositeOperation="lighter",e.fillStyle="rgba(210, 0, 0, 0.75)",soe(e,4,5,4,0),soe(e,4,5,0,5);var t=e.getImageData(0,0,3,3).data;roe=loe(t,0)||loe(t,4)||loe(t,8)}return roe}()||!d){p.moveTo(x,k);for(var O=w-x,P=_-k,D=0;D<4;D++)p.lineTo(x+f((D+1)*O/4),k+f(D*P/3)),3!=D&&p.lineTo(x+f((D+1)*O/4),k+f((D+1)*P/3));p.lineTo(E,C)}else p.moveTo(x,k),p.lineTo(w,_),p.lineTo(E,C);p.clip(),p.transform(T[0],T[2],T[1],T[3],w,_),p.translate(h[0]-S,h[3]-A),p.scale(r/n,-r/n),p.drawImage(v.canvas,0,0),p.restore()}})),c&&(p.save(),p.globalCompositeOperation="source-over",p.strokeStyle="black",p.lineWidth=1,s.getTriangles().forEach((function(e,t,n){var r=e.target,i=(r[0][0]-b[0])/o,a=-(r[0][1]-b[1])/o,s=(r[1][0]-b[0])/o,l=-(r[1][1]-b[1])/o,u=(r[2][0]-b[0])/o,c=-(r[2][1]-b[1])/o;p.beginPath(),p.moveTo(s,l),p.lineTo(i,a),p.lineTo(u,c),p.closePath(),p.stroke()})),p.restore()),p.canvas}(r,i,this.pixelRatio_,a,this.sourceTileGrid_.getExtent(),o,s,this.triangulation_,e,this.gutter_,this.renderEdges_,this.interpolate),this.state=2}this.changed()},t.prototype.load=function(){if(0==this.state){this.state=1,this.changed();var e=0;this.sourcesListenerKeys_=[],this.sourceTiles_.forEach(function(t,n,r){var i=t.getState();if(0==i||1==i){e++;var o=d4(t,i4,(function(n){var r=t.getState();2!=r&&3!=r&&4!=r||(f4(o),0==--e&&(this.unlistenSources_(),this.reproject_()))}),this);this.sourcesListenerKeys_.push(o)}}.bind(this)),0===e?setTimeout(this.reproject_.bind(this),0):this.sourceTiles_.forEach((function(e,t,n){0==e.getState()&&e.load()}))}},t.prototype.unlistenSources_=function(){this.sourcesListenerKeys_.forEach(f4),this.sourcesListenerKeys_=null},t.prototype.release=function(){this.canvas_&&(n8(this.canvas_.getContext("2d")),aoe.push(this.canvas_),this.canvas_=null),e.prototype.release.call(this)},t}(Xie);const poe=doe;var foe=function(){function e(e,t,n,r){this.minX=e,this.maxX=t,this.minY=n,this.maxY=r}return e.prototype.contains=function(e){return this.containsXY(e[1],e[2])},e.prototype.containsTileRange=function(e){return this.minX<=e.minX&&e.maxX<=this.maxX&&this.minY<=e.minY&&e.maxY<=this.maxY},e.prototype.containsXY=function(e,t){return this.minX<=e&&e<=this.maxX&&this.minY<=t&&t<=this.maxY},e.prototype.equals=function(e){return this.minX==e.minX&&this.minY==e.minY&&this.maxX==e.maxX&&this.maxY==e.maxY},e.prototype.extend=function(e){e.minX<this.minX&&(this.minX=e.minX),e.maxX>this.maxX&&(this.maxX=e.maxX),e.minY<this.minY&&(this.minY=e.minY),e.maxY>this.maxY&&(this.maxY=e.maxY)},e.prototype.getHeight=function(){return this.maxY-this.minY+1},e.prototype.getSize=function(){return[this.getWidth(),this.getHeight()]},e.prototype.getWidth=function(){return this.maxX-this.minX+1},e.prototype.intersects=function(e){return this.minX<=e.maxX&&this.maxX>=e.minX&&this.minY<=e.maxY&&this.maxY>=e.minY},e}();function hoe(e,t,n,r,i){return void 0!==i?(i.minX=e,i.maxX=t,i.minY=n,i.maxY=r,i):new foe(e,t,n,r)}const moe=foe;var goe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),voe=function(e){function t(t){var n=e.call(this,t)||this;return n.extentChanged=!0,n.renderedExtent_=null,n.renderedPixelRatio,n.renderedProjection=null,n.renderedRevision,n.renderedTiles=[],n.newTiles_=!1,n.tmpExtent=[1/0,1/0,-1/0,-1/0],n.tmpTileRange_=new moe(0,0,0,0),n}return goe(t,e),t.prototype.isDrawableTile=function(e){var t=this.getLayer(),n=e.getState(),r=t.getUseInterimTilesOnError();return 2==n||4==n||3==n&&!r},t.prototype.getTile=function(e,t,n,r){var i=r.pixelRatio,o=r.viewState.projection,a=this.getLayer(),s=a.getSource().getTile(e,t,n,i,o);return 3==s.getState()&&(a.getUseInterimTilesOnError()?a.getPreload()>0&&(this.newTiles_=!0):s.setState(2)),this.isDrawableTile(s)||(s=s.getInterimTile()),s},t.prototype.getData=function(e){var t=this.frameState;if(!t)return null;var n=this.getLayer(),r=H9(t.pixelToCoordinateTransform,e.slice()),i=n.getExtent();if(i&&!e3(i,r))return null;for(var o=t.pixelRatio,a=t.viewState.projection,s=t.viewState,l=n.getRenderSource(),u=l.getTileGridForProjection(s.projection),c=l.getTilePixelRatio(t.pixelRatio),d=u.getZForResolution(s.resolution);d>=u.getMinZoom();--d){var p=u.getTileCoordForCoordAndZ(r,d),f=l.getTile(d,p[1],p[2],o,a);if(!(f instanceof eoe||f instanceof poe))return null;if(2===f.getState()){var h=u.getOrigin(d),m=_ee(u.getTileSize(d)),g=u.getResolution(d),v=Math.floor(c*((r[0]-h[0])/g-p[1]*m[0])),y=Math.floor(c*((h[1]-r[1])/g-p[2]*m[1])),b=Math.round(c*l.getGutterForProjection(s.projection));return this.getImageData(f.getImage(),v+b,y+b)}}return null},t.prototype.loadedTileCallback=function(t,n,r){return!!this.isDrawableTile(r)&&e.prototype.loadedTileCallback.call(this,t,n,r)},t.prototype.prepareFrame=function(e){return!!this.getLayer().getSource()},t.prototype.renderFrame=function(e,t){var n=e.layerStatesArray[e.layerIndex],r=e.viewState,i=r.projection,o=r.resolution,a=r.center,s=r.rotation,l=e.pixelRatio,u=this.getLayer(),c=u.getSource(),d=c.getRevision(),p=c.getTileGridForProjection(i),f=p.getZForResolution(o,c.zDirection),h=p.getResolution(f),m=e.extent,g=e.viewState.resolution,v=c.getTilePixelRatio(l),y=Math.round(E3(m)/g*l),b=Math.round(w3(m)/g*l),w=n.extent&&t7(n.extent);w&&(m=_3(m,t7(n.extent)));var _=h*y/2/v,x=h*b/2/v,k=[a[0]-_,a[1]-x,a[0]+_,a[1]+x],E=p.getTileRangeForExtentAndZ(m,f),C={};C[f]={};var S=this.createLoadedTileFinder(c,i,C),A=this.tmpExtent,T=this.tmpTileRange_;this.newTiles_=!1;for(var O=s?b3(r.center,g,s,e.size):void 0,P=E.minX;P<=E.maxX;++P)for(var D=E.minY;D<=E.maxY;++D)if(!s||p.tileCoordIntersectsViewport([f,P,D],O)){var R=this.getTile(f,P,D,e);if(this.isDrawableTile(R)){var I=R9(this);if(2==R.getState()&&(C[f][R.tileCoord.toString()]=R,(ne=R.inTransition(I))&&1!==n.opacity&&(R.endTransition(I),ne=!1),this.newTiles_||!ne&&-1!==this.renderedTiles.indexOf(R)||(this.newTiles_=!0)),1===R.getAlpha(I,e.time))continue}var M=p.getTileCoordChildTileRange(R.tileCoord,T,A),L=!1;M&&(L=S(f+1,M)),L||p.forEachTileCoordParentTileRange(R.tileCoord,S,T,A)}var N=h/o*l/v;V9(this.pixelTransform,e.size[0]/2,e.size[1]/2,1/l,1/l,s,-y/2,-b/2);var j=K9(this.pixelTransform);this.useContainer(t,j,this.getBackground(e));var F=this.context,B=F.canvas;G9(this.inversePixelTransform,this.pixelTransform),V9(this.tempTransform,y/2,b/2,N,N,0,-y/2,-b/2),B.width!=y||B.height!=b?(B.width=y,B.height=b):this.containerReused||F.clearRect(0,0,y,b),w&&this.clipUnrotated(F,e,w),c.getInterpolate()||X3(F,ioe),this.preRender(F,e),this.renderedTiles.length=0;var z,U,q,$=Object.keys(C).map(Number);$.sort(x9),1!==n.opacity||this.containerReused&&!c.getOpaque(e.viewState.projection)?(z=[],U=[]):$=$.reverse();for(var W=$.length-1;W>=0;--W){var H=$[W],V=c.getTilePixelSize(H,l,i),G=p.getResolution(H)/h,K=V[0]*G*N,Y=V[1]*G*N,Z=p.getTileCoordForCoordAndZ(x3(k),H),X=p.getTileCoordExtent(Z),Q=H9(this.tempTransform,[v*(X[0]-k[0])/h,v*(k[3]-X[3])/h]),J=v*c.getGutterForProjection(i),ee=C[H];for(var te in ee){var ne,re=(R=ee[te]).tileCoord,ie=Z[1]-re[1],oe=Math.round(Q[0]-(ie-1)*K),ae=Z[2]-re[2],se=Math.round(Q[1]-(ae-1)*Y),le=oe-(P=Math.round(Q[0]-ie*K)),ue=se-(D=Math.round(Q[1]-ae*Y)),ce=f===H,de=!1;if(!(ne=ce&&1!==R.getAlpha(R9(this),e.time)))if(z){q=[P,D,P+le,D,P+le,D+ue,P,D+ue];for(var pe=0,fe=z.length;pe<fe;++pe)if(f!==H&&H<U[pe]){var he=z[pe];C3([P,D,P+le,D+ue],[he[0],he[3],he[4],he[7]])&&(de||(F.save(),de=!0),F.beginPath(),F.moveTo(q[0],q[1]),F.lineTo(q[2],q[3]),F.lineTo(q[4],q[5]),F.lineTo(q[6],q[7]),F.moveTo(he[6],he[7]),F.lineTo(he[4],he[5]),F.lineTo(he[2],he[3]),F.lineTo(he[0],he[1]),F.clip())}z.push(q),U.push(H)}else F.clearRect(P,D,le,ue);this.drawTileImage(R,e,P,D,le,ue,J,ce),z&&!ne?(de&&F.restore(),this.renderedTiles.unshift(R)):this.renderedTiles.push(R),this.updateUsedTiles(e.usedTiles,c,R)}}return this.renderedRevision=d,this.renderedResolution=h,this.extentChanged=!this.renderedExtent_||!s3(this.renderedExtent_,k),this.renderedExtent_=k,this.renderedPixelRatio=l,this.renderedProjection=i,this.manageTilePyramid(e,c,p,l,i,m,f,u.getPreload()),this.scheduleExpireCache(e,c),this.postRender(F,e),n.extent&&F.restore(),X3(F,ooe),j!==B.style.transform&&(B.style.transform=j),this.container},t.prototype.drawTileImage=function(e,t,n,r,i,o,a,s){var l=this.getTileImage(e);if(l){var u=R9(this),c=t.layerStatesArray[t.layerIndex],d=c.opacity*(s?e.getAlpha(u,t.time):1),p=d!==this.context.globalAlpha;p&&(this.context.save(),this.context.globalAlpha=d),this.context.drawImage(l,a,a,l.width-2*a,l.height-2*a,n,r,i,o),p&&this.context.restore(),d!==c.opacity?t.animate=!0:s&&e.endTransition(u)}},t.prototype.getImage=function(){var e=this.context;return e?e.canvas:null},t.prototype.getTileImage=function(e){return e.getImage()},t.prototype.scheduleExpireCache=function(e,t){if(t.canExpireCache()){var n=function(e,t,n){var r=R9(e);r in n.usedTiles&&e.expireCache(n.viewState.projection,n.usedTiles[r])}.bind(null,t);e.postRenderFunctions.push(n)}},t.prototype.updateUsedTiles=function(e,t,n){var r=R9(t);r in e||(e[r]={}),e[r][n.getKey()]=!0},t.prototype.manageTilePyramid=function(e,t,n,r,i,o,a,s,l){var u=R9(t);u in e.wantedTiles||(e.wantedTiles[u]={});var c,d,p,f,h,m,g=e.wantedTiles[u],v=e.tileQueue,y=n.getMinZoom(),b=e.viewState.rotation,w=b?b3(e.viewState.center,e.viewState.resolution,b,e.size):void 0,_=0;for(m=y;m<=a;++m)for(d=n.getTileRangeForExtentAndZ(o,m,d),p=n.getResolution(m),f=d.minX;f<=d.maxX;++f)for(h=d.minY;h<=d.maxY;++h)b&&!n.tileCoordIntersectsViewport([m,f,h],w)||(a-m<=s?(++_,0==(c=t.getTile(m,f,h,r,i)).getState()&&(g[c.getKey()]=!0,v.isKeyQueued(c.getKey())||v.enqueue([c,u,n.getTileCoordCenter(c.tileCoord),p])),void 0!==l&&l(c)):t.useTile(m,f,h,i));t.updateCacheSize(_,i)},t}(Are);const yoe=voe;var boe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}();const woe=function(e){function t(t){return e.call(this,t)||this}return boe(t,e),t.prototype.createRenderer=function(){return new yoe(this)},t}(Kie);var _oe=function(){function e(e){this.highWaterMark=void 0!==e?e:2048,this.count_=0,this.entries_={},this.oldest_=null,this.newest_=null}return e.prototype.canExpireCache=function(){return this.highWaterMark>0&&this.getCount()>this.highWaterMark},e.prototype.expireCache=function(e){for(;this.canExpireCache();)this.pop()},e.prototype.clear=function(){this.count_=0,this.entries_={},this.oldest_=null,this.newest_=null},e.prototype.containsKey=function(e){return this.entries_.hasOwnProperty(e)},e.prototype.forEach=function(e){for(var t=this.oldest_;t;)e(t.value_,t.key_,this),t=t.newer},e.prototype.get=function(e,t){var n=this.entries_[e];return $9(void 0!==n,15),n===this.newest_||(n===this.oldest_?(this.oldest_=this.oldest_.newer,this.oldest_.older=null):(n.newer.older=n.older,n.older.newer=n.newer),n.newer=null,n.older=this.newest_,this.newest_.newer=n,this.newest_=n),n.value_},e.prototype.remove=function(e){var t=this.entries_[e];return $9(void 0!==t,15),t===this.newest_?(this.newest_=t.older,this.newest_&&(this.newest_.newer=null)):t===this.oldest_?(this.oldest_=t.newer,this.oldest_&&(this.oldest_.older=null)):(t.newer.older=t.older,t.older.newer=t.newer),delete this.entries_[e],--this.count_,t.value_},e.prototype.getCount=function(){return this.count_},e.prototype.getKeys=function(){var e,t=new Array(this.count_),n=0;for(e=this.newest_;e;e=e.older)t[n++]=e.key_;return t},e.prototype.getValues=function(){var e,t=new Array(this.count_),n=0;for(e=this.newest_;e;e=e.older)t[n++]=e.value_;return t},e.prototype.peekLast=function(){return this.oldest_.value_},e.prototype.peekLastKey=function(){return this.oldest_.key_},e.prototype.peekFirstKey=function(){return this.newest_.key_},e.prototype.peek=function(e){if(this.containsKey(e))return this.entries_[e].value_},e.prototype.pop=function(){var e=this.oldest_;return delete this.entries_[e.key_],e.newer&&(e.newer.older=null),this.oldest_=e.newer,this.oldest_||(this.newest_=null),--this.count_,e.value_},e.prototype.replace=function(e,t){this.get(e),this.entries_[e].value_=t},e.prototype.set=function(e,t){$9(!(e in this.entries_),16);var n={key_:e,newer:null,older:this.newest_,value_:t};this.newest_?this.newest_.newer=n:this.oldest_=n,this.newest_=n,this.entries_[e]=n,++this.count_},e.prototype.setSize=function(e){this.highWaterMark=e},e}();const xoe=_oe;function koe(e,t,n,r){return void 0!==r?(r[0]=e,r[1]=t,r[2]=n,r):[e,t,n]}function Eoe(e,t,n){return e+"/"+t+"/"+n}function Coe(e){return Eoe(e[0],e[1],e[2])}var Soe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Aoe=function(e){function t(){return null!==e&&e.apply(this,arguments)||this}return Soe(t,e),t.prototype.expireCache=function(e){for(;this.canExpireCache()&&!(this.peekLast().getKey()in e);)this.pop().release()},t.prototype.pruneExceptNewestZ=function(){if(0!==this.getCount()){var e=function(e){return e.split("/").map(Number)}(this.peekFirstKey()),t=e[0];this.forEach(function(e){e.tileCoord[0]!==t&&(this.remove(Coe(e.tileCoord)),e.release())}.bind(this))}},t}(xoe);const Toe=Aoe;var Ooe=[0,0,0],Poe=function(){function e(e){var t,n,r,i;if(this.minZoom=void 0!==e.minZoom?e.minZoom:0,this.resolutions_=e.resolutions,$9((t=this.resolutions_,n=function(e,t){return t-e},!0,r=n||x9,t.every((function(e,n){if(0===n)return!0;var i=r(t[n-1],e);return!(i>0||0===i)}))),17),!e.origins)for(var o=0,a=this.resolutions_.length-1;o<a;++o)if(i){if(this.resolutions_[o]/this.resolutions_[o+1]!==i){i=void 0;break}}else i=this.resolutions_[o]/this.resolutions_[o+1];this.zoomFactor_=i,this.maxZoom=this.resolutions_.length-1,this.origin_=void 0!==e.origin?e.origin:null,this.origins_=null,void 0!==e.origins&&(this.origins_=e.origins,$9(this.origins_.length==this.resolutions_.length,20));var s=e.extent;void 0===s||this.origin_||this.origins_||(this.origin_=x3(s)),$9(!this.origin_&&this.origins_||this.origin_&&!this.origins_,18),this.tileSizes_=null,void 0!==e.tileSizes&&(this.tileSizes_=e.tileSizes,$9(this.tileSizes_.length==this.resolutions_.length,19)),this.tileSize_=void 0!==e.tileSize?e.tileSize:this.tileSizes_?null:h6,$9(!this.tileSize_&&this.tileSizes_||this.tileSize_&&!this.tileSizes_,22),this.extent_=void 0!==s?s:null,this.fullTileRanges_=null,this.tmpSize_=[0,0],this.tmpExtent_=[0,0,0,0],void 0!==e.sizes?this.fullTileRanges_=e.sizes.map((function(e,t){var n=new moe(Math.min(0,e[0]),Math.max(e[0]-1,-1),Math.min(0,e[1]),Math.max(e[1]-1,-1));if(s){var r=this.getTileRangeForExtentAndZ(s,t);n.minX=Math.max(r.minX,n.minX),n.maxX=Math.min(r.maxX,n.maxX),n.minY=Math.max(r.minY,n.minY),n.maxY=Math.min(r.maxY,n.maxY)}return n}),this):s&&this.calculateTileRanges_(s)}return e.prototype.forEachTileCoord=function(e,t,n){for(var r=this.getTileRangeForExtentAndZ(e,t),i=r.minX,o=r.maxX;i<=o;++i)for(var a=r.minY,s=r.maxY;a<=s;++a)n([t,i,a])},e.prototype.forEachTileCoordParentTileRange=function(e,t,n,r){var i,o,a=null,s=e[0]-1;for(2===this.zoomFactor_?(i=e[1],o=e[2]):a=this.getTileCoordExtent(e,r);s>=this.minZoom;){if(t(s,2===this.zoomFactor_?hoe(i=Math.floor(i/2),i,o=Math.floor(o/2),o,n):this.getTileRangeForExtentAndZ(a,s,n)))return!0;--s}return!1},e.prototype.getExtent=function(){return this.extent_},e.prototype.getMaxZoom=function(){return this.maxZoom},e.prototype.getMinZoom=function(){return this.minZoom},e.prototype.getOrigin=function(e){return this.origin_?this.origin_:this.origins_[e]},e.prototype.getResolution=function(e){return this.resolutions_[e]},e.prototype.getResolutions=function(){return this.resolutions_},e.prototype.getTileCoordChildTileRange=function(e,t,n){if(e[0]<this.maxZoom){if(2===this.zoomFactor_){var r=2*e[1],i=2*e[2];return hoe(r,r+1,i,i+1,t)}var o=this.getTileCoordExtent(e,n||this.tmpExtent_);return this.getTileRangeForExtentAndZ(o,e[0]+1,t)}return null},e.prototype.getTileRangeForTileCoordAndZ=function(e,t,n){if(t>this.maxZoom||t<this.minZoom)return null;var r=e[0],i=e[1],o=e[2];if(t===r)return hoe(i,o,i,o,n);if(this.zoomFactor_){var a=Math.pow(this.zoomFactor_,t-r),s=Math.floor(i*a),l=Math.floor(o*a);return t<r?hoe(s,s,l,l,n):hoe(s,Math.floor(a*(i+1))-1,l,Math.floor(a*(o+1))-1,n)}var u=this.getTileCoordExtent(e,this.tmpExtent_);return this.getTileRangeForExtentAndZ(u,t,n)},e.prototype.getTileRangeExtent=function(e,t,n){var r=this.getOrigin(e),i=this.getResolution(e),o=_ee(this.getTileSize(e),this.tmpSize_),a=r[0]+t.minX*o[0]*i,s=r[0]+(t.maxX+1)*o[0]*i;return i3(a,r[1]+t.minY*o[1]*i,s,r[1]+(t.maxY+1)*o[1]*i,n)},e.prototype.getTileRangeForExtentAndZ=function(e,t,n){var r=Ooe;this.getTileCoordForXYAndZ_(e[0],e[3],t,!1,r);var i=r[1],o=r[2];return this.getTileCoordForXYAndZ_(e[2],e[1],t,!0,r),hoe(i,r[1],o,r[2],n)},e.prototype.getTileCoordCenter=function(e){var t=this.getOrigin(e[0]),n=this.getResolution(e[0]),r=_ee(this.getTileSize(e[0]),this.tmpSize_);return[t[0]+(e[1]+.5)*r[0]*n,t[1]-(e[2]+.5)*r[1]*n]},e.prototype.getTileCoordExtent=function(e,t){var n=this.getOrigin(e[0]),r=this.getResolution(e[0]),i=_ee(this.getTileSize(e[0]),this.tmpSize_),o=n[0]+e[1]*i[0]*r,a=n[1]-(e[2]+1)*i[1]*r;return i3(o,a,o+i[0]*r,a+i[1]*r,t)},e.prototype.getTileCoordForCoordAndResolution=function(e,t,n){return this.getTileCoordForXYAndResolution_(e[0],e[1],t,!1,n)},e.prototype.getTileCoordForXYAndResolution_=function(e,t,n,r,i){var o=this.getZForResolution(n),a=n/this.getResolution(o),s=this.getOrigin(o),l=_ee(this.getTileSize(o),this.tmpSize_),u=a*(e-s[0])/n/l[0],c=a*(s[1]-t)/n/l[1];return r?(u=F3(u,5)-1,c=F3(c,5)-1):(u=j3(u,5),c=j3(c,5)),koe(o,u,c,i)},e.prototype.getTileCoordForXYAndZ_=function(e,t,n,r,i){var o=this.getOrigin(n),a=this.getResolution(n),s=_ee(this.getTileSize(n),this.tmpSize_),l=(e-o[0])/a/s[0],u=(o[1]-t)/a/s[1];return r?(l=F3(l,5)-1,u=F3(u,5)-1):(l=j3(l,5),u=j3(u,5)),koe(n,l,u,i)},e.prototype.getTileCoordForCoordAndZ=function(e,t,n){return this.getTileCoordForXYAndZ_(e[0],e[1],t,!1,n)},e.prototype.getTileCoordResolution=function(e){return this.resolutions_[e[0]]},e.prototype.getTileSize=function(e){return this.tileSize_?this.tileSize_:this.tileSizes_[e]},e.prototype.getFullTileRange=function(e){return this.fullTileRanges_?this.fullTileRanges_[e]:this.extent_?this.getTileRangeForExtentAndZ(this.extent_,e):null},e.prototype.getZForResolution=function(e,t){return T3(k9(this.resolutions_,e,t||0),this.minZoom,this.maxZoom)},e.prototype.tileCoordIntersectsViewport=function(e,t){return ree(t,0,t.length,2,this.getTileCoordExtent(e))},e.prototype.calculateTileRanges_=function(e){for(var t=this.resolutions_.length,n=new Array(t),r=this.minZoom;r<t;++r)n[r]=this.getTileRangeForExtentAndZ(e,r);this.fullTileRanges_=n},e}();const Doe=Poe;function Roe(e){var t=e.getDefaultTileGrid();return t||(t=function(e,t,n,r){return function(e,t,n,r){var i=Ioe(e,undefined,n);return new Doe({extent:e,origin:v3(e,"top-left"),resolutions:i,tileSize:n})}(Moe(e),0,void 0)}(e),e.setDefaultTileGrid(t)),t}function Ioe(e,t,n,r){for(var i=void 0!==t?t:f6,o=w3(e),a=E3(e),s=_ee(void 0!==n?n:h6),l=r>0?r:Math.max(a/s[0],o/s[1]),u=i+1,c=new Array(u),d=0;d<u;++d)c[d]=l/Math.pow(2,d);return c}function Moe(e){var t=(e=B6(e)).getExtent();if(!t){var n=180*c6[d6.DEGREES]/e.getMetersPerUnit();t=i3(-n,-n,n,n)}return t}var Loe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Noe=function(e){function t(t){var n=e.call(this,{attributions:t.attributions,attributionsCollapsible:t.attributionsCollapsible,projection:t.projection,state:t.state,wrapX:t.wrapX,interpolate:t.interpolate})||this;return n.on,n.once,n.un,n.opaque_=void 0!==t.opaque&&t.opaque,n.tilePixelRatio_=void 0!==t.tilePixelRatio?t.tilePixelRatio:1,n.tileGrid=void 0!==t.tileGrid?t.tileGrid:null,n.tileGrid&&_ee(n.tileGrid.getTileSize(n.tileGrid.getMinZoom()),[256,256]),n.tileCache=new Toe(t.cacheSize||0),n.tmpSize=[0,0],n.key_=t.key||"",n.tileOptions={transition:t.transition,interpolate:t.interpolate},n.zDirection=t.zDirection?t.zDirection:0,n}return Loe(t,e),t.prototype.canExpireCache=function(){return this.tileCache.canExpireCache()},t.prototype.expireCache=function(e,t){var n=this.getTileCacheForProjection(e);n&&n.expireCache(t)},t.prototype.forEachLoadedTile=function(e,t,n,r){var i=this.getTileCacheForProjection(e);if(!i)return!1;for(var o,a,s,l=!0,u=n.minX;u<=n.maxX;++u)for(var c=n.minY;c<=n.maxY;++c)a=Eoe(t,u,c),s=!1,i.containsKey(a)&&(s=2===(o=i.get(a)).getState())&&(s=!1!==r(o)),s||(l=!1);return l},t.prototype.getGutterForProjection=function(e){return 0},t.prototype.getKey=function(){return this.key_},t.prototype.setKey=function(e){this.key_!==e&&(this.key_=e,this.changed())},t.prototype.getOpaque=function(e){return this.opaque_},t.prototype.getResolutions=function(){return this.tileGrid?this.tileGrid.getResolutions():null},t.prototype.getTile=function(e,t,n,r,i){return P9()},t.prototype.getTileGrid=function(){return this.tileGrid},t.prototype.getTileGridForProjection=function(e){return this.tileGrid?this.tileGrid:Roe(e)},t.prototype.getTileCacheForProjection=function(e){var t=this.getProjection();return $9(null===t||$6(t,e),68),this.tileCache},t.prototype.getTilePixelRatio=function(e){return this.tilePixelRatio_},t.prototype.getTilePixelSize=function(e,t,n){var r=this.getTileGridForProjection(n),i=this.getTilePixelRatio(t),o=_ee(r.getTileSize(e),this.tmpSize);return 1==i?o:function(e,t,n){return void 0===n&&(n=[0,0]),n[0]=e[0]*t+.5|0,n[1]=e[1]*t+.5|0,n}(o,i,this.tmpSize)},t.prototype.getTileCoordForTileUrlFunction=function(e,t){var n=void 0!==t?t:this.getProjection(),r=this.getTileGridForProjection(n);return this.getWrapX()&&n.isGlobal()&&(e=function(e,t,n){var r=t[0],i=e.getTileCoordCenter(t),o=Moe(n);if(e3(o,i))return t;var a=E3(o),s=Math.ceil((o[0]-i[0])/a);return i[0]+=a*s,e.getTileCoordForCoordAndZ(i,r)}(r,e,n)),function(e,t){var n=e[0],r=e[1],i=e[2];if(t.getMinZoom()>n||n>t.getMaxZoom())return!1;var o=t.getFullTileRange(n);return!o||o.containsXY(r,i)}(e,r)?e:null},t.prototype.clear=function(){this.tileCache.clear()},t.prototype.refresh=function(){this.clear(),e.prototype.refresh.call(this)},t.prototype.updateCacheSize=function(e,t){var n=this.getTileCacheForProjection(t);e>n.highWaterMark&&(n.highWaterMark=e)},t.prototype.useTile=function(e,t,n,r){},t}(Cie),joe=function(e){function t(t,n){var r=e.call(this,t)||this;return r.tile=n,r}return Loe(t,e),t}(Y3);const Foe=Noe;function Boe(e,t){var n=/\{z\}/g,r=/\{x\}/g,i=/\{y\}/g,o=/\{-y\}/g;return function(a,s,l){return a?e.replace(n,a[0].toString()).replace(r,a[1].toString()).replace(i,a[2].toString()).replace(o,(function(){var e=a[0],n=t.getFullTileRange(e);return $9(n,55),(n.getHeight()-a[2]-1).toString()})):void 0}}var zoe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Uoe=function(e){function t(n){var r=e.call(this,{attributions:n.attributions,cacheSize:n.cacheSize,opaque:n.opaque,projection:n.projection,state:n.state,tileGrid:n.tileGrid,tilePixelRatio:n.tilePixelRatio,wrapX:n.wrapX,transition:n.transition,interpolate:n.interpolate,key:n.key,attributionsCollapsible:n.attributionsCollapsible,zDirection:n.zDirection})||this;return r.generateTileUrlFunction_=r.tileUrlFunction===t.prototype.tileUrlFunction,r.tileLoadFunction=n.tileLoadFunction,n.tileUrlFunction&&(r.tileUrlFunction=n.tileUrlFunction),r.urls=null,n.urls?r.setUrls(n.urls):n.url&&r.setUrl(n.url),r.tileLoadingKeys_={},r}return zoe(t,e),t.prototype.getTileLoadFunction=function(){return this.tileLoadFunction},t.prototype.getTileUrlFunction=function(){return Object.getPrototypeOf(this).tileUrlFunction===this.tileUrlFunction?this.tileUrlFunction.bind(this):this.tileUrlFunction},t.prototype.getUrls=function(){return this.urls},t.prototype.handleTileChange=function(e){var t,n=e.target,r=R9(n),i=n.getState();1==i?(this.tileLoadingKeys_[r]=!0,t="tileloadstart"):r in this.tileLoadingKeys_&&(delete this.tileLoadingKeys_[r],t=3==i?"tileloaderror":2==i?"tileloadend":void 0),null!=t&&this.dispatchEvent(new joe(t,n))},t.prototype.setTileLoadFunction=function(e){this.tileCache.clear(),this.tileLoadFunction=e,this.changed()},t.prototype.setTileUrlFunction=function(e,t){this.tileUrlFunction=e,this.tileCache.pruneExceptNewestZ(),void 0!==t?this.setKey(t):this.changed()},t.prototype.setUrl=function(e){var t=function(e){var t=[],n=/\{([a-z])-([a-z])\}/.exec(e);if(n){var r=n[1].charCodeAt(0),i=n[2].charCodeAt(0),o=void 0;for(o=r;o<=i;++o)t.push(e.replace(n[0],String.fromCharCode(o)));return t}if(n=/\{(\d+)-(\d+)\}/.exec(e)){for(var a=parseInt(n[2],10),s=parseInt(n[1],10);s<=a;s++)t.push(e.replace(n[0],s.toString()));return t}return t.push(e),t}(e);this.urls=t,this.setUrls(t)},t.prototype.setUrls=function(e){this.urls=e;var t=e.join("\n");this.generateTileUrlFunction_?this.setTileUrlFunction(function(e,t){for(var n=e.length,r=new Array(n),i=0;i<n;++i)r[i]=Boe(e[i],t);return function(e){return 1===e.length?e[0]:function(t,n,r){if(t){var i=function(e){return(e[1]<<e[0])+e[2]}(t),o=M3(i,e.length);return e[o](t,n,r)}}}(r)}(e,this.tileGrid),t):this.setKey(t)},t.prototype.tileUrlFunction=function(e,t,n){},t.prototype.useTile=function(e,t,n){var r=Eoe(e,t,n);this.tileCache.containsKey(r)&&this.tileCache.get(r)},t}(Foe);const qoe=Uoe;var $oe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Woe=function(e){function t(t){var n=this,r=void 0===t.imageSmoothing||t.imageSmoothing;return void 0!==t.interpolate&&(r=t.interpolate),(n=e.call(this,{attributions:t.attributions,cacheSize:t.cacheSize,opaque:t.opaque,projection:t.projection,state:t.state,tileGrid:t.tileGrid,tileLoadFunction:t.tileLoadFunction?t.tileLoadFunction:Hoe,tilePixelRatio:t.tilePixelRatio,tileUrlFunction:t.tileUrlFunction,url:t.url,urls:t.urls,wrapX:t.wrapX,transition:t.transition,interpolate:r,key:t.key,attributionsCollapsible:t.attributionsCollapsible,zDirection:t.zDirection})||this).crossOrigin=void 0!==t.crossOrigin?t.crossOrigin:null,n.tileClass=void 0!==t.tileClass?t.tileClass:eoe,n.tileCacheForProjection={},n.tileGridForProjection={},n.reprojectionErrorThreshold_=t.reprojectionErrorThreshold,n.renderReprojectionEdges_=!1,n}return $oe(t,e),t.prototype.canExpireCache=function(){if(this.tileCache.canExpireCache())return!0;for(var e in this.tileCacheForProjection)if(this.tileCacheForProjection[e].canExpireCache())return!0;return!1},t.prototype.expireCache=function(e,t){var n=this.getTileCacheForProjection(e);for(var r in this.tileCache.expireCache(this.tileCache==n?t:{}),this.tileCacheForProjection){var i=this.tileCacheForProjection[r];i.expireCache(i==n?t:{})}},t.prototype.getGutterForProjection=function(e){return this.getProjection()&&e&&!$6(this.getProjection(),e)?0:this.getGutter()},t.prototype.getGutter=function(){return 0},t.prototype.getKey=function(){var t=e.prototype.getKey.call(this);return this.getInterpolate()||(t+=":disable-interpolation"),t},t.prototype.getOpaque=function(t){return!(this.getProjection()&&t&&!$6(this.getProjection(),t))&&e.prototype.getOpaque.call(this,t)},t.prototype.getTileGridForProjection=function(e){var t=this.getProjection();if(!this.tileGrid||t&&!$6(t,e)){var n=R9(e);return n in this.tileGridForProjection||(this.tileGridForProjection[n]=Roe(e)),this.tileGridForProjection[n]}return this.tileGrid},t.prototype.getTileCacheForProjection=function(e){var t=this.getProjection();if(!t||$6(t,e))return this.tileCache;var n=R9(e);return n in this.tileCacheForProjection||(this.tileCacheForProjection[n]=new Toe(this.tileCache.highWaterMark)),this.tileCacheForProjection[n]},t.prototype.createTile_=function(e,t,n,r,i,o){var a=[e,t,n],s=this.getTileCoordForTileUrlFunction(a,i),l=s?this.tileUrlFunction(s,r,i):void 0,u=new this.tileClass(a,void 0!==l?0:4,void 0!==l?l:"",this.crossOrigin,this.tileLoadFunction,this.tileOptions);return u.key=o,u.addEventListener(i4,this.handleTileChange.bind(this)),u},t.prototype.getTile=function(e,t,n,r,i){var o=this.getProjection();if(o&&i&&!$6(o,i)){var a=this.getTileCacheForProjection(i),s=[e,t,n],l=void 0,u=Coe(s);a.containsKey(u)&&(l=a.get(u));var c=this.getKey();if(l&&l.key==c)return l;var d=this.getTileGridForProjection(o),p=this.getTileGridForProjection(i),f=this.getTileCoordForTileUrlFunction(s,i),h=new poe(o,d,i,p,s,f,this.getTilePixelRatio(r),this.getGutter(),function(e,t,n,r){return this.getTileInternal(e,t,n,r,o)}.bind(this),this.reprojectionErrorThreshold_,this.renderReprojectionEdges_,this.getInterpolate());return h.key=c,l?(h.interimTile=l,h.refreshInterimChain(),a.replace(u,h)):a.set(u,h),h}return this.getTileInternal(e,t,n,r,o||i)},t.prototype.getTileInternal=function(e,t,n,r,i){var o=null,a=Eoe(e,t,n),s=this.getKey();if(this.tileCache.containsKey(a)){if((o=this.tileCache.get(a)).key!=s){var l=o;o=this.createTile_(e,t,n,r,i,s),0==l.getState()?o.interimTile=l.interimTile:o.interimTile=l,o.refreshInterimChain(),this.tileCache.replace(a,o)}}else o=this.createTile_(e,t,n,r,i,s),this.tileCache.set(a,o);return o},t.prototype.setRenderReprojectionEdges=function(e){if(this.renderReprojectionEdges_!=e){for(var t in this.renderReprojectionEdges_=e,this.tileCacheForProjection)this.tileCacheForProjection[t].clear();this.changed()}},t.prototype.setTileGridForProjection=function(e,t){var n=B6(e);if(n){var r=R9(n);r in this.tileGridForProjection||(this.tileGridForProjection[r]=t)}},t}(qoe);function Hoe(e,t){e.getImage().src=t}const Voe=Woe;var Goe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Koe=function(e){function t(t){var n=this,r=t||{},i=void 0===r.imageSmoothing||r.imageSmoothing;void 0!==r.interpolate&&(i=r.interpolate);var o=void 0!==r.projection?r.projection:"EPSG:3857",a=void 0!==r.tileGrid?r.tileGrid:function(e){var t=e||{},n=t.extent||B6("EPSG:3857").getExtent(),r={extent:n,minZoom:t.minZoom,tileSize:t.tileSize,resolutions:Ioe(n,t.maxZoom,t.tileSize,t.maxResolution)};return new Doe(r)}({extent:Moe(o),maxResolution:r.maxResolution,maxZoom:r.maxZoom,minZoom:r.minZoom,tileSize:r.tileSize});return(n=e.call(this,{attributions:r.attributions,cacheSize:r.cacheSize,crossOrigin:r.crossOrigin,interpolate:i,opaque:r.opaque,projection:o,reprojectionErrorThreshold:r.reprojectionErrorThreshold,tileGrid:a,tileLoadFunction:r.tileLoadFunction,tilePixelRatio:r.tilePixelRatio,tileUrlFunction:r.tileUrlFunction,url:r.url,urls:r.urls,wrapX:void 0===r.wrapX||r.wrapX,transition:r.transition,attributionsCollapsible:r.attributionsCollapsible,zDirection:r.zDirection})||this).gutter_=void 0!==r.gutter?r.gutter:0,n}return Goe(t,e),t.prototype.getGutter=function(){return this.gutter_},t}(Voe);const Yoe=Koe;var Zoe=function(){var e=function(t,n){return e=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(e,t){e.__proto__=t}||function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n])},e(t,n)};return function(t,n){if("function"!=typeof n&&null!==n)throw new TypeError("Class extends value "+String(n)+" is not a constructor or null");function r(){this.constructor=t}e(t,n),t.prototype=null===n?Object.create(n):(r.prototype=n.prototype,new r)}}(),Xoe=function(e){function t(t){var n,r=t||{},i=void 0===r.imageSmoothing||r.imageSmoothing;void 0!==r.interpolate&&(i=r.interpolate),n=void 0!==r.attributions?r.attributions:['&#169; <a href="https://www.openstreetmap.org/copyright" target="_blank">OpenStreetMap</a> contributors.'];var o=void 0!==r.crossOrigin?r.crossOrigin:"anonymous",a=void 0!==r.url?r.url:"https://{a-c}.tile.openstreetmap.org/{z}/{x}/{y}.png";return e.call(this,{attributions:n,attributionsCollapsible:!1,cacheSize:r.cacheSize,crossOrigin:o,interpolate:i,maxZoom:void 0!==r.maxZoom?r.maxZoom:19,opaque:void 0===r.opaque||r.opaque,reprojectionErrorThreshold:r.reprojectionErrorThreshold,tileLoadFunction:r.tileLoadFunction,transition:r.transition,url:a,wrapX:r.wrapX,zDirection:r.zDirection})||this}return Zoe(t,e),t}(Yoe);const Qoe=Xoe;function Joe(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const eae={dataProjection:"EPSG:4326",featureProjection:"EPSG:3857"};function tae({value:e}){return xn(oU,null,e?e.toString():null)}tae.propTypes={value:ie().string};const nae=tae,rae={properties:{decimals:{type:"integer"},type:{type:"string",enum:["Point","LineString","Polygon"]}}};function iae(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function oae(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const aae=function({getFormat:e,getMap:t}={}){var n;return n=class extends o.Component{constructor(e){super(e),this.mapContainer=o.createRef(),this.resizeObserver=null}componentDidMount(){const{field:n,onChange:r,value:i}=this.props,o=e?e(n):new xne(eae),a=i?[o.readFeature(i)]:[],s=new Iie({features:a,wrapX:!1}),l=new bie({source:s}),u=this.mapContainer.current,c=t?t(u,l):function(e,t){return new $te({target:e,layers:[new woe({source:new Qoe}),t],view:new bee({center:[0,0],zoom:2})})}(u,l);a.length>0&&c.getView().fit(s.getExtent(),{maxZoom:16,padding:[80,80,80,80]});const d=new $ie({source:s,type:n.get("type","Point")});c.addInteraction(d);const p={decimals:n.get("decimals",7)};d.on("drawend",(({feature:e})=>{s.clear(),r(o.writeGeometry(e.getGeometry(),p))})),this.resizeObserver=new ResizeObserver((()=>{c.updateSize()})),this.resizeObserver.observe(u)}componentWillUnmount(){this.resizeObserver&&this.resizeObserver.disconnect()}render(){const{height:e}=this.props;return xn(Tn,null,(({cx:t,css:n})=>xn("div",{className:t(this.props.classNameWrapper,n`
${b9()};
padding: 0;
overflow: hidden;
height: ${e};
`),ref:this.mapContainer})))}},Joe(n,"propTypes",{onChange:ie().func.isRequired,field:ie().object.isRequired,height:ie().string,value:ie().node}),Joe(n,"defaultProps",{value:"",height:"400px"}),n}(),sae={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?iae(Object(n),!0).forEach((function(t){oae(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):iae(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"map",controlComponent:aae,previewComponent:nae,schema:rae},e)},controlComponent:aae,previewComponent:nae},lae=sae;var uae=__webpack_require__(89945),cae=__webpack_require__.n(uae),dae=__webpack_require__(33147),pae=__webpack_require__.n(dae),fae=__webpack_require__(10264),hae=__webpack_require__.n(fae);function mae(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}fa().extend(cae()),fa().extend(pae()),fa().extend(hae());var gae={name:"1tfa1p6-Buttons",styles:"display:flex;gap:20px;width:fit-content;label:Buttons;"};function vae({t:e,handleChange:t,getNow:n}){return xn("div",{css:gae},xn("button",{css:En(cr.button," ",cr.widget,";;label:Buttons;",";label:css;"),onClick:()=>t(n()),"data-testid":"now-button"},e("editor.editorWidgets.datetime.now")),xn("button",{css:En(cr.button," ",cr.widget,";;label:Buttons;",";label:css;"),onClick:()=>t(""),"data-testid":"clear-button"},e("editor.editorWidgets.datetime.clear")))}var yae={name:"1mn76l5-DateTimeControl",styles:"font-size:0.8em;color:#666;label:DateTimeControl;"},bae={name:"ptu1mf-DateTimeControl",styles:"display:flex!important;gap:20px;align-items:center;label:DateTimeControl;"};class wae extends o.Component{constructor(...e){super(...e),mae(this,"isUtc",this.props.field.get("picker_utc")||!1),mae(this,"isValidDate",(e=>fa()(e,this.getFormat().inputFormat).isValid()||""===e)),mae(this,"handleChange",(e=>{if(!this.isValidDate(e))return;const{onChange:t}=this.props;if(""===e)t("");else{const{format:n,inputFormat:r}=this.getFormat();t(fa()(e,r).format(n))}})),mae(this,"onInputChange",(e=>{const t=e.target.value;this.handleChange(t)}))}componentDidMount(){const{value:e}=this.props;"{{now}}"===e&&this.handleChange(this.getNow())}escapeZ(e){return/Z(?![\]])/.test(e)?e.replace("Z","[Z]"):e}getFormat(){const{field:e}=this.props;let t="datetime-local",n="YYYY-MM-DDTHH:mm",r="YYYY-MM-DDTHH:mm:ss.SSS[Z]",i=null==e?void 0:e.get("format"),o=null==e?void 0:e.get("date_format"),a=null==e?void 0:e.get("time_format");return!0===o&&(o="YYYY-MM-DD"),!0===a&&(a="HH:mm"),this.isUtc&&(i=this.escapeZ(i),o=this.escapeZ(o),a=this.escapeZ(a)),"string"==typeof o&&"string"==typeof a?r=`${o}T${a}`:"string"==typeof a?(t="time",r=a):"string"==typeof o&&(t="date",r=o),"string"==typeof i&&(r=i,t="datetime-local"),!1===o&&(t="time"),!1===a&&(t="date"),"datetime-local"===t&&(n="YYYY-MM-DDTHH:mm"),"date"===t&&(n="YYYY-MM-DD"),"time"===t&&(n="HH:mm"),{format:r,inputType:t,inputFormat:n}}getNow(){const{inputFormat:e}=this.getFormat();return this.isUtc?fa().utc().format(e):fa()().format(e)}formatInputValue(e){if(""===e)return e;const{format:t,inputFormat:n}=this.getFormat(),r=this.isUtc?fa().utc(e,t).format(n):fa()(e,t).format(n);return this.isValidDate(r)?r:this.isUtc?fa().utc(e).format(n):fa()(e).format(n)}render(){const{forID:e,value:t,classNameWrapper:n,setActiveStyle:r,setInactiveStyle:i,t:o,isDisabled:a}=this.props,{inputType:s}=this.getFormat();return xn("div",{className:n,css:bae},xn("input",{id:e,"data-testid":e,type:s,value:t?this.formatInputValue(t):"",onChange:this.onInputChange,onFocus:r,onBlur:i,disabled:a}),this.isUtc&&xn("span",{css:yae},"UTC"),!a&&xn(vae,{t:o,handleChange:e=>this.handleChange(e),getNow:()=>this.getNow()}))}}mae(wae,"propTypes",{field:ie().object.isRequired,forID:ie().string,onChange:ie().func.isRequired,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired,value:ie().oneOfType([ie().object,ie().string]),t:ie().func.isRequired,isDisabled:ie().bool}),mae(wae,"defaultProps",{isDisabled:!1});const _ae=wae;function xae({value:e}){return xn(oU,null,e?e.toString():null)}xae.propTypes={value:ie().oneOfType([ie().object,ie().string])};const kae=xae,Eae={properties:{format:{type:"string"},date_format:{oneOf:[{type:"string"},{type:"boolean"}]},time_format:{oneOf:[{type:"string"},{type:"boolean"}]},picker_utc:{type:"boolean"}}};function Cae(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Sae(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Aae={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Cae(Object(n),!0).forEach((function(t){Sae(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Cae(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"datetime",controlComponent:_ae,previewComponent:kae,schema:Eae},e)},controlComponent:_ae,previewComponent:kae},Tae=Aae;var Oae=__webpack_require__(50730),Pae=__webpack_require__(23326),Dae=__webpack_require__.n(Pae),Rae=(__webpack_require__(50832),__webpack_require__(94521),__webpack_require__(83280),__webpack_require__(49470)),Iae=__webpack_require__.n(Rae),Mae=__webpack_require__(82305),Lae=__webpack_require__.n(Mae);const Nae=gb("button",{target:"epeop3r0",label:"StyledSettingsButton"})(cr.button,";",cr.default,";",Qn,";display:block;position:absolute;z-index:",hr.zIndex100,";right:8px;top:8px;opacity:0.8;padding:2px 4px;line-height:1;height:auto;",O_,"{position:relative;top:1px;}"),jae=function({showClose:e,onClick:t}){return xn(Nae,{onClick:t},xn(O_,{type:e?"close":"settings",size:"small"}))};function Fae(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Bae(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Fae(Object(n),!0).forEach((function(t){zae(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Fae(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function zae(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Uae=Bae(Bae({},fr),{},{container:e=>Bae(Bae({},fr.container(e)),{},{"margin-top":"2px"}),control:e=>Bae(Bae({},fr.control(e)),{},{border:Zn.textField,padding:0,fontSize:"13px",minHeight:"auto"}),dropdownIndicator:e=>Bae(Bae({},fr.dropdownIndicator(e)),{},{padding:"4px"}),option:(e,t)=>Bae(Bae({},fr.option(e,t)),{},{padding:0,paddingLeft:"8px"}),menu:e=>Bae(Bae({},fr.menu(e)),{},{margin:"2px 0"}),menuList:e=>Bae(Bae({},e),{},{"max-height":"200px"})}),qae=gb("div",{target:"e147sj9s2",label:"SettingsPaneContainer"})("position:absolute;right:0;width:200px;z-index:",hr.zIndex10,";height:100%;background-color:#fff;overflow:hidden;overflow-y:scroll;padding:12px;border-radius:0 3px 3px 0;",Qn,";"),$ae=gb("label",{target:"e147sj9s1",label:"SettingsFieldLabel"})(rr.fieldLabel,";font-size:11px;display:block;margin-top:8px;margin-bottom:2px;"),Wae=gb("h3",{target:"e147sj9s0",label:"SettingsSectionTitle"})({name:"punfmt",styles:"font-size:14px;margin-top:14px;margin-bottom:0;&:first-of-type{margin-top:4px;}"});function Hae({value:e,options:t,onChange:n,forID:r,type:i,autoFocus:o}){return xn(XV,{inputId:`${r}-select-${i}`,styles:Uae,value:e,options:t,onChange:e=>n(e.value),menuPlacement:"auto",captureMenuScroll:!1,autoFocus:o})}const Vae=function({hideSettings:e,forID:t,modes:n,mode:r,theme:i,themes:a,keyMap:s,keyMaps:l,allowLanguageSelection:u,onChangeLang:c,onChangeTheme:d,onChangeKeyMap:p}){return xn(qae,{onKeyDown:t=>(0,UJ.ZP)("esc",t)&&e()},xn(jae,{onClick:e,showClose:!0}),u&&xn(o.Fragment,null,xn(Wae,null,"Field Settings"),xn($ae,{htmlFor:`${t}-select-mode`},"Mode"),xn(Hae,{type:"mode",forID:t,value:r,options:n,onChange:c,autoFocus:!0})),xn(o.Fragment,null,xn(Wae,null,"Global Settings"),a&&xn(o.Fragment,null,xn($ae,{htmlFor:`${t}-select-theme`},"Theme"),xn(Hae,{type:"theme",forID:t,value:{value:i,label:i},options:a.map((e=>({value:e,label:e}))),onChange:d,autoFocus:!u})),xn($ae,{htmlFor:`${t}-select-keymap`},"KeyMap"),xn(Hae,{type:"keymap",forID:t,value:s,options:l,onChange:p})))};function Gae(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Kae(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Gae(Object(n),!0).forEach((function(t){Yae(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Gae(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Yae(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Zae=[{label:"AGS Script",identifiers:["ags","asc","ash"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"APL",identifiers:["apl","dyalog"],codemirror_mode:"apl",codemirror_mime_type:"text/apl"},{label:"ASN.1",identifiers:["asn"],codemirror_mode:"asn.1",codemirror_mime_type:"text/x-ttcn-asn"},{label:"ASP",identifiers:["asp","aspx","asax","ascx","ashx","asmx","axd"],codemirror_mode:"htmlembedded",codemirror_mime_type:"application/x-aspx"},{label:"Alpine Abuild",identifiers:["abuild","apkbuild"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"AngelScript",identifiers:["angelscript","as"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"Ant Build System",identifiers:[],codemirror_mode:"xml",codemirror_mime_type:"application/xml"},{label:"Apex",identifiers:["apex","cls"],codemirror_mode:"clike",codemirror_mime_type:"text/x-java"},{label:"Asymptote",identifiers:["asymptote","asy"],codemirror_mode:"clike",codemirror_mime_type:"text/x-kotlin"},{label:"BibTeX",identifiers:["bibtex","bib"],codemirror_mode:"stex",codemirror_mime_type:"text/x-stex"},{label:"Brainfuck",identifiers:["brainfuck","b","bf"],codemirror_mode:"brainfuck",codemirror_mime_type:"text/x-brainfuck"},{label:"C",identifiers:["c","cats","h","idc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"C#",identifiers:["csharp","cs","cake","csx"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csharp"},{label:"C++",identifiers:["cpp","cc","cp","cxx","h","hh","hpp","hxx","inc","inl","ino","ipp","re","tcc","tpp"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"C2hs Haskell",identifiers:["chs"],codemirror_mode:"haskell",codemirror_mime_type:"text/x-haskell"},{label:"CMake",identifiers:["cmake"],codemirror_mode:"cmake",codemirror_mime_type:"text/x-cmake"},{label:"COBOL",identifiers:["cobol","cob","cbl","ccp","cpy"],codemirror_mode:"cobol",codemirror_mime_type:"text/x-cobol"},{label:"COLLADA",identifiers:["collada","dae"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"CSON",identifiers:["cson"],codemirror_mode:"coffeescript",codemirror_mime_type:"text/x-coffeescript"},{label:"CSS",identifiers:["css"],codemirror_mode:"css",codemirror_mime_type:"text/css"},{label:"Cabal Config",identifiers:["Cabal","cabal"],codemirror_mode:"haskell",codemirror_mime_type:"text/x-haskell"},{label:"ChucK",identifiers:["chuck","ck"],codemirror_mode:"clike",codemirror_mime_type:"text/x-java"},{label:"Clojure",identifiers:["clojure","clj","boot","cljc","cljs","cljscm","cljx","hic"],codemirror_mode:"clojure",codemirror_mime_type:"text/x-clojure"},{label:"Closure Templates",identifiers:["soy"],codemirror_mode:"soy",codemirror_mime_type:"text/x-soy"},{label:"Cloud Firestore Security Rules",identifiers:[],codemirror_mode:"css",codemirror_mime_type:"text/css"},{label:"CoffeeScript",identifiers:["coffeescript","coffee","cake","cjsx","iced"],codemirror_mode:"coffeescript",codemirror_mime_type:"text/x-coffeescript"},{label:"Common Lisp",identifiers:["lisp","asd","cl","l","lsp","ny","podsl","sexp"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"Common Workflow Language",identifiers:["cwl"],codemirror_mode:"yaml",codemirror_mime_type:"text/x-yaml"},{label:"Component Pascal",identifiers:["delphi","objectpascal","cp","cps"],codemirror_mode:"pascal",codemirror_mime_type:"text/x-pascal"},{label:"Crystal",identifiers:["crystal","cr"],codemirror_mode:"crystal",codemirror_mime_type:"text/x-crystal"},{label:"Cuda",identifiers:["cuda","cu","cuh"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"Cycript",identifiers:["cycript","cy"],codemirror_mode:"javascript",codemirror_mime_type:"text/javascript"},{label:"Cython",identifiers:["cython","pyrex","pyx","pxd","pxi"],codemirror_mode:"python",codemirror_mime_type:"text/x-cython"},{label:"D",identifiers:["d","di"],codemirror_mode:"d",codemirror_mime_type:"text/x-d"},{label:"DTrace",identifiers:["dtrace","d"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"Dart",identifiers:["dart"],codemirror_mode:"dart",codemirror_mime_type:"application/dart"},{label:"Dhall",identifiers:["dhall"],codemirror_mode:"haskell",codemirror_mime_type:"text/x-haskell"},{label:"Diff",identifiers:["diff","udiff","patch"],codemirror_mode:"diff",codemirror_mime_type:"text/x-diff"},{label:"Dockerfile",identifiers:["dockerfile"],codemirror_mode:"dockerfile",codemirror_mime_type:"text/x-dockerfile"},{label:"Dylan",identifiers:["dylan","dyl","intr","lid"],codemirror_mode:"dylan",codemirror_mime_type:"text/x-dylan"},{label:"EBNF",identifiers:["ebnf"],codemirror_mode:"ebnf",codemirror_mime_type:"text/x-ebnf"},{label:"ECL",identifiers:["ecl","eclxml"],codemirror_mode:"ecl",codemirror_mime_type:"text/x-ecl"},{label:"EQ",identifiers:["eq"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csharp"},{label:"Eagle",identifiers:["eagle","sch","brd"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"Easybuild",identifiers:["easybuild","eb"],codemirror_mode:"python",codemirror_mime_type:"text/x-python"},{label:"Ecere Projects",identifiers:["epj"],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"EditorConfig",identifiers:["editorconfig"],codemirror_mode:"properties",codemirror_mime_type:"text/x-properties"},{label:"Edje Data Collection",identifiers:["edc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"Eiffel",identifiers:["eiffel","e"],codemirror_mode:"eiffel",codemirror_mime_type:"text/x-eiffel"},{label:"Elm",identifiers:["elm"],codemirror_mode:"elm",codemirror_mime_type:"text/x-elm"},{label:"Emacs Lisp",identifiers:["elisp","emacs","el"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"EmberScript",identifiers:["emberscript","em"],codemirror_mode:"coffeescript",codemirror_mime_type:"text/x-coffeescript"},{label:"Erlang",identifiers:["erlang","erl","es","escript","hrl","xrl","yrl"],codemirror_mode:"erlang",codemirror_mime_type:"text/x-erlang"},{label:"F#",identifiers:["fsharp","fs","fsi","fsx"],codemirror_mode:"mllike",codemirror_mime_type:"text/x-fsharp"},{label:"Factor",identifiers:["factor"],codemirror_mode:"factor",codemirror_mime_type:"text/x-factor"},{label:"Forth",identifiers:["forth","fth","f","for","fr","frt","fs"],codemirror_mode:"forth",codemirror_mime_type:"text/x-forth"},{label:"Fortran",identifiers:["fortran","f","for","fpp"],codemirror_mode:"fortran",codemirror_mime_type:"text/x-fortran"},{label:"GCC Machine Description",identifiers:["md"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"GN",identifiers:["gn","gni"],codemirror_mode:"python",codemirror_mime_type:"text/x-python"},{label:"Game Maker Language",identifiers:["gml"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"Genshi",identifiers:["genshi","kid"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"Gentoo Ebuild",identifiers:["ebuild"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"Gentoo Eclass",identifiers:["eclass"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"Git Attributes",identifiers:["gitattributes"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"Git Config",identifiers:["gitconfig","gitmodules"],codemirror_mode:"properties",codemirror_mime_type:"text/x-properties"},{label:"Glyph",identifiers:["glyph","glf"],codemirror_mode:"tcl",codemirror_mime_type:"text/x-tcl"},{label:"Go",identifiers:["go","golang"],codemirror_mode:"go",codemirror_mime_type:"text/x-go"},{label:"Grammatical Framework",identifiers:["gf"],codemirror_mode:"haskell",codemirror_mime_type:"text/x-haskell"},{label:"Groovy",identifiers:["groovy","grt","gtpl","gvy"],codemirror_mode:"groovy",codemirror_mime_type:"text/x-groovy"},{label:"Groovy Server Pages",identifiers:["gsp"],codemirror_mode:"htmlembedded",codemirror_mime_type:"application/x-jsp"},{label:"HCL",identifiers:["hcl","terraform","tf","tfvars","workflow"],codemirror_mode:"ruby",codemirror_mime_type:"text/x-ruby"},{label:"HTML",identifiers:["html","xhtml","htm","inc","st","xht"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"HTML+Django",identifiers:["django","htmldjango","njk","nunjucks","jinja","mustache"],codemirror_mode:"django",codemirror_mime_type:"text/x-django"},{label:"HTML+ECR",identifiers:["ecr"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"HTML+EEX",identifiers:["eex"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"HTML+ERB",identifiers:["erb"],codemirror_mode:"htmlembedded",codemirror_mime_type:"application/x-erb"},{label:"HTML+PHP",identifiers:["phtml"],codemirror_mode:"php",codemirror_mime_type:"application/x-httpd-php"},{label:"HTML+Razor",identifiers:["razor","cshtml"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"HTTP",identifiers:["http"],codemirror_mode:"http",codemirror_mime_type:"message/http"},{label:"Hack",identifiers:["hack","hh","php"],codemirror_mode:"php",codemirror_mime_type:"application/x-httpd-php"},{label:"Haml",identifiers:["haml"],codemirror_mode:"haml",codemirror_mime_type:"text/x-haml"},{label:"Haskell",identifiers:["haskell","hs","hsc"],codemirror_mode:"haskell",codemirror_mime_type:"text/x-haskell"},{label:"Haxe",identifiers:["haxe","hx","hxsl"],codemirror_mode:"haxe",codemirror_mime_type:"text/x-haxe"},{label:"HolyC",identifiers:["holyc","hc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"IDL",identifiers:["idl","pro","dlm"],codemirror_mode:"idl",codemirror_mime_type:"text/x-idl"},{label:"INI",identifiers:["ini","dosini","cfg","lektorproject","prefs","pro","properties"],codemirror_mode:"properties",codemirror_mime_type:"text/x-properties"},{label:"IRC log",identifiers:["irc","irclog","weechatlog"],codemirror_mode:"mirc",codemirror_mime_type:"text/mirc"},{label:"Ignore List",identifiers:["ignore","gitignore"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"JSON",identifiers:["json","avsc","geojson","gltf","har","ice","jsonl","mcmeta","tfstate","topojson","webapp","webmanifest","yy","yyp"],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"JSON with Comments",identifiers:["jsonc"],codemirror_mode:"javascript",codemirror_mime_type:"text/javascript"},{label:"JSON5",identifiers:[],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"JSONLD",identifiers:["jsonld"],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"JSONiq",identifiers:["jsoniq","jq"],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"JSX",identifiers:["jsx"],codemirror_mode:"jsx",codemirror_mime_type:"text/jsx"},{label:"Java",identifiers:["java"],codemirror_mode:"clike",codemirror_mime_type:"text/x-java"},{label:"Java Properties",identifiers:["properties"],codemirror_mode:"properties",codemirror_mime_type:"text/x-properties"},{label:"Java Server Pages",identifiers:["jsp"],codemirror_mode:"htmlembedded",codemirror_mime_type:"application/x-jsp"},{label:"JavaScript",identifiers:["javascript","js","node","bones","es","frag","gs","jake","jsb","jscad","jsfl","jsm","jss","mjs","njs","pac","sjs","ssjs","xsjs","xsjslib"],codemirror_mode:"javascript",codemirror_mime_type:"text/javascript"},{label:"JavaScript+ERB",identifiers:[],codemirror_mode:"javascript",codemirror_mime_type:"application/javascript"},{label:"Julia",identifiers:["julia","jl"],codemirror_mode:"julia",codemirror_mime_type:"text/x-julia"},{label:"Jupyter Notebook",identifiers:["ipynb"],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"KiCad Layout",identifiers:["pcbnew"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"Kit",identifiers:["kit"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"Kotlin",identifiers:["kotlin","kt","ktm","kts"],codemirror_mode:"clike",codemirror_mime_type:"text/x-kotlin"},{label:"LFE",identifiers:["lfe"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"LTspice Symbol",identifiers:["asy"],codemirror_mode:"spreadsheet",codemirror_mime_type:"text/x-spreadsheet"},{label:"LabVIEW",identifiers:["labview","lvproj"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"Latte",identifiers:["latte"],codemirror_mode:"smarty",codemirror_mime_type:"text/x-smarty"},{label:"Less",identifiers:["less"],codemirror_mode:"css",codemirror_mime_type:"text/css"},{label:"Literate Haskell",identifiers:["lhaskell","lhs"],codemirror_mode:"haskell-literate",codemirror_mime_type:"text/x-literate-haskell"},{label:"LiveScript",identifiers:["livescript","ls"],codemirror_mode:"livescript",codemirror_mime_type:"text/x-livescript"},{label:"LookML",identifiers:["lookml"],codemirror_mode:"yaml",codemirror_mime_type:"text/x-yaml"},{label:"Lua",identifiers:["lua","fcgi","nse","rbxs","wlua"],codemirror_mode:"lua",codemirror_mime_type:"text/x-lua"},{label:"M",identifiers:["m","mumps"],codemirror_mode:"mumps",codemirror_mime_type:"text/x-mumps"},{label:"MATLAB",identifiers:["matlab","octave","m"],codemirror_mode:"octave",codemirror_mime_type:"text/x-octave"},{label:"MTML",identifiers:["mtml"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"MUF",identifiers:["muf","m"],codemirror_mode:"forth",codemirror_mime_type:"text/x-forth"},{label:"Makefile",identifiers:["makefile","bsdmake","make","mf","mak","d","mk","mkfile"],codemirror_mode:"cmake",codemirror_mime_type:"text/x-cmake"},{label:"Markdown",identifiers:["markdown","pandoc","md","mdown","mdwn","mdx","mkd","mkdn","mkdown","ronn","workbook"],codemirror_mode:"gfm",codemirror_mime_type:"text/x-gfm"},{label:"Marko",identifiers:["marko","markojs"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"Mathematica",identifiers:["mathematica","mma","cdf","m","ma","mt","nb","nbp","wl","wlt"],codemirror_mode:"mathematica",codemirror_mime_type:"text/x-mathematica"},{label:"Maven POM",identifiers:[],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"Max",identifiers:["max","maxmsp","maxpat","maxhelp","maxproj","mxt","pat"],codemirror_mode:"javascript",codemirror_mime_type:"application/json"},{label:"Metal",identifiers:["metal"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"Mirah",identifiers:["mirah","druby","duby"],codemirror_mode:"ruby",codemirror_mime_type:"text/x-ruby"},{label:"Modelica",identifiers:["modelica","mo"],codemirror_mode:"modelica",codemirror_mime_type:"text/x-modelica"},{label:"NSIS",identifiers:["nsis","nsi","nsh"],codemirror_mode:"nsis",codemirror_mime_type:"text/x-nsis"},{label:"NetLogo",identifiers:["netlogo","nlogo"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"NewLisp",identifiers:["newlisp","nl","lisp","lsp"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"Nginx",identifiers:["nginx","nginxconf","vhost"],codemirror_mode:"nginx",codemirror_mime_type:"text/x-nginx-conf"},{label:"Nu",identifiers:["nu","nush"],codemirror_mode:"scheme",codemirror_mime_type:"text/x-scheme"},{label:"NumPy",identifiers:["numpy","numpyw","numsc"],codemirror_mode:"python",codemirror_mime_type:"text/x-python"},{label:"OCaml",identifiers:["ocaml","ml","eliom","eliomi","mli","mll","mly"],codemirror_mode:"mllike",codemirror_mime_type:"text/x-ocaml"},{label:"Objective-C",identifiers:["objc","objectivec","m","h"],codemirror_mode:"clike",codemirror_mime_type:"text/x-objectivec"},{label:"Objective-C++",identifiers:["mm"],codemirror_mode:"clike",codemirror_mime_type:"text/x-objectivec"},{label:"OpenCL",identifiers:["opencl","cl"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"OpenRC runscript",identifiers:["openrc"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"Oz",identifiers:["oz"],codemirror_mode:"oz",codemirror_mime_type:"text/x-oz"},{label:"PHP",identifiers:["php","inc","aw","ctp","fcgi","phps","phpt"],codemirror_mode:"php",codemirror_mime_type:"application/x-httpd-php"},{label:"PLSQL",identifiers:["plsql","pls","bdy","ddl","fnc","pck","pkb","pks","plb","prc","spc","sql","tpb","tps","trg","vw"],codemirror_mode:"sql",codemirror_mime_type:"text/x-plsql"},{label:"PLpgSQL",identifiers:["plpgsql","pgsql","sql"],codemirror_mode:"sql",codemirror_mime_type:"text/x-sql"},{label:"Pascal",identifiers:["pascal","pas","dfm","dpr","inc","lpr","pp"],codemirror_mode:"pascal",codemirror_mime_type:"text/x-pascal"},{label:"Perl",identifiers:["perl","cperl","pl","al","cgi","fcgi","ph","plx","pm","psgi","t"],codemirror_mode:"perl",codemirror_mime_type:"text/x-perl"},{label:"Perl 6",identifiers:["nqp","pl","pm","t"],codemirror_mode:"perl",codemirror_mime_type:"text/x-perl"},{label:"Pic",identifiers:["pic","chem"],codemirror_mode:"troff",codemirror_mime_type:"text/troff"},{label:"Pod",identifiers:["pod"],codemirror_mode:"perl",codemirror_mime_type:"text/x-perl"},{label:"PowerShell",identifiers:["powershell","posh","pwsh"],codemirror_mode:"powershell",codemirror_mime_type:"application/x-powershell"},{label:"Protocol Buffer",identifiers:["protobuf","proto"],codemirror_mode:"protobuf",codemirror_mime_type:"text/x-protobuf"},{label:"Public Key",identifiers:["asc","pub"],codemirror_mode:"asciiarmor",codemirror_mime_type:"application/pgp"},{label:"Pug",identifiers:["pug","jade"],codemirror_mode:"pug",codemirror_mime_type:"text/x-pug"},{label:"Puppet",identifiers:["puppet","pp"],codemirror_mode:"puppet",codemirror_mime_type:"text/x-puppet"},{label:"PureScript",identifiers:["purescript","purs"],codemirror_mode:"haskell",codemirror_mime_type:"text/x-haskell"},{label:"Python",identifiers:["python","rusthon","py","bzl","cgi","fcgi","gyp","gypi","lmi","pyde","pyi","pyp","pyt","pyw","rpy","spec","tac","wsgi","xpy"],codemirror_mode:"python",codemirror_mime_type:"text/x-python"},{label:"R",identifiers:["r","R","Rscript","splus","rd","rsx"],codemirror_mode:"r",codemirror_mime_type:"text/x-rsrc"},{label:"RAML",identifiers:["raml"],codemirror_mode:"yaml",codemirror_mime_type:"text/x-yaml"},{label:"RHTML",identifiers:["rhtml"],codemirror_mode:"htmlembedded",codemirror_mime_type:"application/x-erb"},{label:"RMarkdown",identifiers:["rmarkdown","rmd"],codemirror_mode:"gfm",codemirror_mime_type:"text/x-gfm"},{label:"RPM Spec",identifiers:["specfile","spec"],codemirror_mode:"rpm",codemirror_mime_type:"text/x-rpm-spec"},{label:"Reason",identifiers:["reason","re","rei"],codemirror_mode:"rust",codemirror_mime_type:"text/x-rustsrc"},{label:"Roff",identifiers:["roff","groff","man","manpage","mdoc","nroff","troff","l","me","ms","n","nr","rno","tmac"],codemirror_mode:"troff",codemirror_mime_type:"text/troff"},{label:"Roff Manpage",identifiers:["man","mdoc"],codemirror_mode:"troff",codemirror_mime_type:"text/troff"},{label:"Rouge",identifiers:["rouge","rg"],codemirror_mode:"clojure",codemirror_mime_type:"text/x-clojure"},{label:"Ruby",identifiers:["ruby","jruby","macruby","rake","rb","rbx","builder","eye","fcgi","gemspec","god","jbuilder","mspec","pluginspec","podspec","rabl","rbuild","rbw","ru","spec","thor","watchr"],codemirror_mode:"ruby",codemirror_mime_type:"text/x-ruby"},{label:"Rust",identifiers:["rust","rs"],codemirror_mode:"rust",codemirror_mime_type:"text/x-rustsrc"},{label:"SAS",identifiers:["sas"],codemirror_mode:"sas",codemirror_mime_type:"text/x-sas"},{label:"SCSS",identifiers:["scss"],codemirror_mode:"css",codemirror_mime_type:"text/x-scss"},{label:"SPARQL",identifiers:["sparql","rq"],codemirror_mode:"sparql",codemirror_mime_type:"application/sparql-query"},{label:"SQL",identifiers:["sql","cql","ddl","inc","mysql","prc","tab","udf","viw"],codemirror_mode:"sql",codemirror_mime_type:"text/x-sql"},{label:"SQLPL",identifiers:["sqlpl","sql"],codemirror_mode:"sql",codemirror_mime_type:"text/x-sql"},{label:"SRecode Template",identifiers:["srt"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"SVG",identifiers:["svg"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"Sage",identifiers:["sage","sagews"],codemirror_mode:"python",codemirror_mime_type:"text/x-python"},{label:"SaltStack",identifiers:["saltstack","saltstate","salt","sls"],codemirror_mode:"yaml",codemirror_mime_type:"text/x-yaml"},{label:"Sass",identifiers:["sass"],codemirror_mode:"sass",codemirror_mime_type:"text/x-sass"},{label:"Scala",identifiers:["scala","kojo","sbt","sc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-scala"},{label:"Scheme",identifiers:["scheme","scm","sch","sld","sls","sps","ss"],codemirror_mode:"scheme",codemirror_mime_type:"text/x-scheme"},{label:"Shell",identifiers:["shell","sh","bash","zsh","bats","cgi","command","fcgi","ksh","tmux","tool"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"ShellSession",identifiers:["shellsession","console"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"Slim",identifiers:["slim"],codemirror_mode:"slim",codemirror_mime_type:"text/x-slim"},{label:"Smalltalk",identifiers:["smalltalk","squeak","st","cs"],codemirror_mode:"smalltalk",codemirror_mime_type:"text/x-stsrc"},{label:"Smarty",identifiers:["smarty","tpl"],codemirror_mode:"smarty",codemirror_mime_type:"text/x-smarty"},{label:"Squirrel",identifiers:["squirrel","nut"],codemirror_mode:"clike",codemirror_mime_type:"text/x-c++src"},{label:"Standard ML",identifiers:["sml","ML","fun","sig"],codemirror_mode:"mllike",codemirror_mime_type:"text/x-ocaml"},{label:"Svelte",identifiers:["svelte"],codemirror_mode:"htmlmixed",codemirror_mime_type:"text/html"},{label:"Swift",identifiers:["swift"],codemirror_mode:"swift",codemirror_mime_type:"text/x-swift"},{label:"SystemVerilog",identifiers:["systemverilog","sv","svh","vh"],codemirror_mode:"verilog",codemirror_mime_type:"text/x-systemverilog"},{label:"TOML",identifiers:["toml"],codemirror_mode:"toml",codemirror_mime_type:"text/x-toml"},{label:"TSX",identifiers:["tsx"],codemirror_mode:"jsx",codemirror_mime_type:"text/jsx"},{label:"Tcl",identifiers:["tcl","adp","tm"],codemirror_mode:"tcl",codemirror_mime_type:"text/x-tcl"},{label:"Tcsh",identifiers:["tcsh","csh"],codemirror_mode:"shell",codemirror_mime_type:"text/x-sh"},{label:"TeX",identifiers:["tex","latex","aux","bbx","cbx","cls","dtx","ins","lbx","ltx","mkii","mkiv","mkvi","sty","toc"],codemirror_mode:"stex",codemirror_mime_type:"text/x-stex"},{label:"Terra",identifiers:["terra","t"],codemirror_mode:"lua",codemirror_mime_type:"text/x-lua"},{label:"Textile",identifiers:["textile"],codemirror_mode:"textile",codemirror_mime_type:"text/x-textile"},{label:"Turtle",identifiers:["turtle","ttl"],codemirror_mode:"turtle",codemirror_mime_type:"text/turtle"},{label:"Twig",identifiers:["twig"],codemirror_mode:"twig",codemirror_mime_type:"text/x-twig"},{label:"TypeScript",identifiers:["typescript","ts"],codemirror_mode:"javascript",codemirror_mime_type:"application/typescript"},{label:"Unified Parallel C",identifiers:["upc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"Unity3D Asset",identifiers:["anim","asset","mat","meta","prefab","unity"],codemirror_mode:"yaml",codemirror_mime_type:"text/x-yaml"},{label:"Uno",identifiers:["uno"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csharp"},{label:"UnrealScript",identifiers:["unrealscript","uc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-java"},{label:"V",identifiers:["v","vlang"],codemirror_mode:"go",codemirror_mime_type:"text/x-go"},{label:"VHDL",identifiers:["vhdl","vhd","vhf","vhi","vho","vhs","vht","vhw"],codemirror_mode:"vhdl",codemirror_mime_type:"text/x-vhdl"},{label:"Verilog",identifiers:["verilog","v","veo"],codemirror_mode:"verilog",codemirror_mime_type:"text/x-verilog"},{label:"Visual Basic",identifiers:["vbnet","vb","bas","cls","frm","frx","vba","vbhtml","vbs"],codemirror_mode:"vb",codemirror_mime_type:"text/x-vb"},{label:"Volt",identifiers:["volt"],codemirror_mode:"d",codemirror_mime_type:"text/x-d"},{label:"WebAssembly",identifiers:["webassembly","wast","wasm","wat"],codemirror_mode:"commonlisp",codemirror_mime_type:"text/x-common-lisp"},{label:"WebIDL",identifiers:["webidl"],codemirror_mode:"webidl",codemirror_mime_type:"text/x-webidl"},{label:"Windows Registry Entries",identifiers:["reg"],codemirror_mode:"properties",codemirror_mime_type:"text/x-properties"},{label:"X BitMap",identifiers:["xbm"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"X PixMap",identifiers:["xpm","pm"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"XC",identifiers:["xc"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"XML",identifiers:["xml","rss","xsd","wsdl","adml","admx","ant","axml","builds","ccproj","ccxml","clixml","cproject","cscfg","csdef","csl","csproj","ct","depproj","dita","ditamap","ditaval","dotsettings","filters","fsproj","fxml","glade","gml","gmx","grxml","iml","ivy","jelly","jsproj","kml","launch","mdpolicy","mjml","mm","mod","mxml","natvis","ncl","ndproj","nproj","nuspec","odd","osm","pkgproj","pluginspec","proj","props","pt","rdf","resx","sch","scxml","sfproj","shproj","srdf","storyboard","targets","tml","ts","tsx","ui","urdf","ux","vbproj","vcxproj","vsixmanifest","vssettings","vstemplate","vxml","wixproj","workflow","wsf","wxi","wxl","wxs","xacro","xaml","xib","xlf","xliff","xmi","xproj","xspec","xul","zcml"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"XML Property List",identifiers:["plist","stTheme","tmCommand","tmLanguage","tmPreferences","tmSnippet","tmTheme"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"XPages",identifiers:["xpages"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"XProc",identifiers:["xproc","xpl"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"XQuery",identifiers:["xquery","xq","xql","xqm","xqy"],codemirror_mode:"xquery",codemirror_mime_type:"application/xquery"},{label:"XS",identifiers:["xs"],codemirror_mode:"clike",codemirror_mime_type:"text/x-csrc"},{label:"XSLT",identifiers:["xslt","xsl"],codemirror_mode:"xml",codemirror_mime_type:"text/xml"},{label:"YAML",identifiers:["yaml","yml","mir","reek","rviz","syntax"],codemirror_mode:"yaml",codemirror_mime_type:"text/x-yaml"},{label:"edn",identifiers:["edn"],codemirror_mode:"clojure",codemirror_mime_type:"text/x-clojure"},{label:"reStructuredText",identifiers:["restructuredtext","rst","rest"],codemirror_mode:"rst",codemirror_mime_type:"text/x-rst"},{label:"wisp",identifiers:["wisp"],codemirror_mode:"clojure",codemirror_mime_type:"text/x-clojure"}].map((e=>({label:e.label,name:e.identifiers[0],mode:e.codemirror_mode,mimeType:e.codemirror_mime_type}))),Xae={name:"",mode:"",label:"none"};function Qae(e){return"string"==typeof e?{value:e,label:e}:{value:e.name,label:e.label||e.name}}const Jae=Zae.map(Qae),ese=["default","material"],tse={theme:"cms.codemirror.theme",keyMap:"cms.codemirror.keymap"};class nse extends o.Component{constructor(...e){var t;super(...e),Yae(this,"keys",this.getKeys(this.props.field)),Yae(this,"state",{isActive:!1,unknownLang:null,lang:"",keyMap:localStorage.getItem(tse.keyMap)||"default",settingsVisible:!1,codeMirrorKey:xl(),theme:localStorage.getItem(tse.theme)||ese[ese.length-1],lastKnownValue:this.valueIsMap()?null===(t=this.props.value)||void 0===t?void 0:t.get(this.keys.code):this.props.value}),Yae(this,"visibility",{isInvisibleOnInit:!0===this.props.isParentListCollapsed,isRefreshedAfterInvisible:!1}),Yae(this,"getLanguageByName",(e=>Zae.find((t=>t.name===e)))),Yae(this,"getKeyMapOptions",(()=>Object.keys(Dae().keyMap).sort().filter((e=>["emacs","vim","sublime","default"].includes(e))).map((e=>({value:e,label:e}))))),Yae(this,"getInitialLang",(()=>{const{value:e,field:t}=this.props,n=this.valueIsMap()&&e&&e.get(this.keys.lang)||t.get("default_language"),r=this.getLanguageByName(n);return n&&!r&&this.setState({unknownLang:n}),n})),Yae(this,"allowLanguageSelection",!this.props.field.has("allow_language_selection")||!!this.props.field.get("allow_language_selection")),Yae(this,"toValue",this.valueIsMap()?(e,t)=>(this.props.value||(0,kr.Map)()).set(this.keys[e],t):(e,t)=>"code"===e?t:this.props.value),Yae(this,"showSettings",(()=>{this.setState({settingsVisible:!0})})),Yae(this,"hideSettings",(()=>{this.state.settingsVisible&&this.setState({settingsVisible:!1}),this.cm.focus()})),Yae(this,"handleFocus",(()=>{this.hideSettings(),this.props.setActiveStyle(),this.setActive()})),Yae(this,"handleBlur",(()=>{this.setInactive(),this.props.setInactiveStyle()})),Yae(this,"setActive",(()=>this.setState({isActive:!0}))),Yae(this,"setInactive",(()=>this.setState({isActive:!1})))}shouldComponentUpdate(e,t){return!wu()(this.state,t)||this.props.classNameWrapper!==e.classNameWrapper||this.visibility.isInvisibleOnInit&&!this.visibility.isRefreshedAfterInvisible}componentDidMount(){this.setState({lang:this.getInitialLang()||""})}componentDidUpdate(e,t){this.updateCodeMirrorProps(t),!this.visibility.isInvisibleOnInit||this.visibility.isRefreshedAfterInvisible||this.props.isParentListCollapsed||this.refreshCodeMirrorInstance()}updateCodeMirrorProps(e){const t=function(e,t,n){const r=(["lang","theme","keyMap"]||Di()(Object.keys(e),Object.keys(t))).reduce(((n,r)=>(e[r]!==t[r]&&(n[r]=t[r]),n)),{});if(!nl()(r))return r}(e,this.state);t&&this.handleChangeCodeMirrorProps(t)}refreshCodeMirrorInstance(){var e;null!==(e=this.cm)&&void 0!==e&&e.getWrapperElement().offsetHeight&&(this.cm.refresh(),this.visibility.isRefreshedAfterInvisible=!0)}getKeys(e){const t={code:"code",lang:"lang"};if(this.props.isEditorComponent)return t;const n=e.get("keys",(0,kr.Map)()).toJS();return Kae(Kae({},t),n)}valueIsMap(){const{field:e,isEditorComponent:t}=this.props;return!e.get("output_code_only")||t}async handleChangeCodeMirrorProps(e){const{onChange:t}=this.props;if(e.lang){const{mode:t}=this.getLanguageByName(e.lang)||{};t&&__webpack_require__(52106)(`./${t}/${t}.js`)}if(this.cm){const e=this.cm.doc.getCursor(),t=this.cm.doc.listSelections();this.setState({codeMirrorKey:xl()},(()=>{this.cm.doc.setCursor(e),this.cm.doc.setSelections(t)}))}for(const t of["theme","keyMap"])e[t]&&localStorage.setItem(tse[t],e[t]);e.lang&&this.valueIsMap()&&t(this.toValue("lang",e.lang))}handleChange(e){const t=this.cm.doc.getCursor(),n=this.cm.doc.listSelections();this.setState({lastKnownValue:e}),this.props.onChange(this.toValue("code",e),{cursor:t,selections:n})}render(){const{classNameWrapper:e,forID:t,widget:n,isNewEditorComponent:r}=this.props,{lang:i,settingsVisible:o,keyMap:a,codeMirrorKey:s,theme:l,lastKnownValue:u}=this.state,c=this.getLanguageByName(i),d=(null==c?void 0:c.mimeType)||(null==c?void 0:c.mode);return xn(Tn,null,(({css:i,cx:p})=>xn("div",{className:p(e,i`
${Iae()};
${Lae()};
${"\n padding: 0;\n"};
`)},!o&&xn(jae,{onClick:this.showSettings}),o&&xn(Vae,{hideSettings:this.hideSettings,forID:t,modes:Jae,mode:Qae(c||Xae),theme:ese.find((e=>e===l)),themes:ese,keyMap:{value:a,label:a},keyMaps:this.getKeyMapOptions(),allowLanguageSelection:this.allowLanguageSelection,onChangeLang:e=>this.setState({lang:e}),onChangeTheme:e=>this.setState({theme:e}),onChangeKeyMap:e=>this.setState({keyMap:e})}),xn(Oae.Rt,{key:s,id:t,className:i`
height: 100%;
border-radius: 0 3px 3px;
overflow: hidden;
.CodeMirror {
height: auto !important;
cursor: text;
min-height: 300px;
}
.CodeMirror-scroll {
min-height: 300px;
}
`,options:Kae(Kae({lineNumbers:!0},n.codeMirrorConfig),{},{extraKeys:Kae({"Shift-Tab":"indentLess",Tab:"indentMore"},n.codeMirrorConfig.extraKeys||{}),theme:l,mode:d,keyMap:a,viewportMargin:1/0}),detach:!0,editorDidMount:e=>{this.cm=e,r&&this.handleFocus()},value:u,onChange:(e,t,n)=>this.handleChange(n),onFocus:this.handleFocus,onBlur:this.handleBlur}))))}}function rse(e){return xn(oU,null,xn("pre",null,xn("code",null,(t=e.value,n=e.field,Yi()(t)?t:kr.Map.isMap(t)?t.get(n.getIn(["keys","code"],"code"),""):""))));var t,n}Yae(nse,"propTypes",{field:Q_().map.isRequired,onChange:ie().func.isRequired,value:ie().node,forID:ie().string.isRequired,classNameWrapper:ie().string.isRequired,widget:ie().object.isRequired,isParentListCollapsed:ie().bool}),rse.propTypes={value:ie().node};const ise=rse,ose={properties:{default_language:{type:"string"},allow_language_selection:{type:"boolean"},output_code_only:{type:"boolean"},keys:{type:"object",properties:{code:{type:"string"},lang:{type:"string"}}}}};function ase(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function sse(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const lse={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?ase(Object(n),!0).forEach((function(t){sse(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):ase(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"code",controlComponent:nse,previewComponent:ise,schema:ose,allowMapValue:!0,codeMirrorConfig:{}},e)},controlComponent:nse,previewComponent:ise},use=lse;var cse=__webpack_require__(7151),dse={},pse=function(e,t,n,r){var i=e+"-"+t+"-"+n+(r?"-server":"");if(dse[i])return dse[i];var o=function(e,t,n,r){if("undefined"==typeof document&&!r)return null;var i=r?new r:document.createElement("canvas");i.width=2*n,i.height=2*n;var o=i.getContext("2d");return o?(o.fillStyle=e,o.fillRect(0,0,i.width,i.height),o.fillStyle=t,o.fillRect(0,0,n,n),o.translate(n,n),o.fillRect(0,0,n,n),i.toDataURL()):null}(e,t,n,r);return dse[i]=o,o},fse=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},hse=function(e){var t=e.white,n=e.grey,r=e.size,i=e.renderers,a=e.borderRadius,s=e.boxShadow,l=e.children,u=(0,cse.ZP)({default:{grid:{borderRadius:a,boxShadow:s,absolute:"0px 0px 0px 0px",background:"url("+pse(t,n,r,i.canvas)+") center left"}}});return(0,o.isValidElement)(l)?o.cloneElement(l,fse({},l.props,{style:fse({},l.props.style,u.grid)})):o.createElement("div",{style:u.grid})};hse.defaultProps={size:8,white:"transparent",grey:"rgba(0,0,0,.08)",renderers:{}};const mse=hse;var gse=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},vse=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}();function yse(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var bse=function(e){function t(){var e,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var i=arguments.length,o=Array(i),a=0;a<i;a++)o[a]=arguments[a];return n=r=yse(this,(e=t.__proto__||Object.getPrototypeOf(t)).call.apply(e,[this].concat(o))),r.handleChange=function(e){var t=function(e,t,n,r,i){var o=i.clientWidth,a=i.clientHeight,s="number"==typeof e.pageX?e.pageX:e.touches[0].pageX,l="number"==typeof e.pageY?e.pageY:e.touches[0].pageY,u=s-(i.getBoundingClientRect().left+window.pageXOffset),c=l-(i.getBoundingClientRect().top+window.pageYOffset);if("vertical"===n){var d;if(d=c<0?0:c>a?1:Math.round(100*c/a)/100,t.a!==d)return{h:t.h,s:t.s,l:t.l,a:d,source:"rgb"}}else{var p;if(r!==(p=u<0?0:u>o?1:Math.round(100*u/o)/100))return{h:t.h,s:t.s,l:t.l,a:p,source:"rgb"}}return null}(e,r.props.hsl,r.props.direction,r.props.a,r.container);t&&"function"==typeof r.props.onChange&&r.props.onChange(t,e)},r.handleMouseDown=function(e){r.handleChange(e),window.addEventListener("mousemove",r.handleChange),window.addEventListener("mouseup",r.handleMouseUp)},r.handleMouseUp=function(){r.unbindEventListeners()},r.unbindEventListeners=function(){window.removeEventListener("mousemove",r.handleChange),window.removeEventListener("mouseup",r.handleMouseUp)},yse(r,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),vse(t,[{key:"componentWillUnmount",value:function(){this.unbindEventListeners()}},{key:"render",value:function(){var e=this,t=this.props.rgb,n=(0,cse.ZP)({default:{alpha:{absolute:"0px 0px 0px 0px",borderRadius:this.props.radius},checkboard:{absolute:"0px 0px 0px 0px",overflow:"hidden",borderRadius:this.props.radius},gradient:{absolute:"0px 0px 0px 0px",background:"linear-gradient(to right, rgba("+t.r+","+t.g+","+t.b+", 0) 0%,\n rgba("+t.r+","+t.g+","+t.b+", 1) 100%)",boxShadow:this.props.shadow,borderRadius:this.props.radius},container:{position:"relative",height:"100%",margin:"0 3px"},pointer:{position:"absolute",left:100*t.a+"%"},slider:{width:"4px",borderRadius:"1px",height:"8px",boxShadow:"0 0 2px rgba(0, 0, 0, .6)",background:"#fff",marginTop:"1px",transform:"translateX(-2px)"}},vertical:{gradient:{background:"linear-gradient(to bottom, rgba("+t.r+","+t.g+","+t.b+", 0) 0%,\n rgba("+t.r+","+t.g+","+t.b+", 1) 100%)"},pointer:{left:0,top:100*t.a+"%"}},overwrite:gse({},this.props.style)},{vertical:"vertical"===this.props.direction,overwrite:!0});return o.createElement("div",{style:n.alpha},o.createElement("div",{style:n.checkboard},o.createElement(mse,{renderers:this.props.renderers})),o.createElement("div",{style:n.gradient}),o.createElement("div",{style:n.container,ref:function(t){return e.container=t},onMouseDown:this.handleMouseDown,onTouchMove:this.handleChange,onTouchStart:this.handleChange},o.createElement("div",{style:n.pointer},this.props.pointer?o.createElement(this.props.pointer,this.props):o.createElement("div",{style:n.slider}))))}}]),t}(o.PureComponent||o.Component);const wse=bse;var _se=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),xse=[38,40],kse=1,Ese=function(e){function t(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var n=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this));return n.handleBlur=function(){n.state.blurValue&&n.setState({value:n.state.blurValue,blurValue:null})},n.handleChange=function(e){n.setUpdatedValue(e.target.value,e)},n.handleKeyDown=function(e){var t,r=function(e){return Number(String(e).replace(/%/g,""))}(e.target.value);if(!isNaN(r)&&(t=e.keyCode,xse.indexOf(t)>-1)){var i=n.getArrowOffset(),o=38===e.keyCode?r+i:r-i;n.setUpdatedValue(o,e)}},n.handleDrag=function(e){if(n.props.dragLabel){var t=Math.round(n.props.value+e.movementX);t>=0&&t<=n.props.dragMax&&n.props.onChange&&n.props.onChange(n.getValueObjectWithLabel(t),e)}},n.handleMouseDown=function(e){n.props.dragLabel&&(e.preventDefault(),n.handleDrag(e),window.addEventListener("mousemove",n.handleDrag),window.addEventListener("mouseup",n.handleMouseUp))},n.handleMouseUp=function(){n.unbindEventListeners()},n.unbindEventListeners=function(){window.removeEventListener("mousemove",n.handleDrag),window.removeEventListener("mouseup",n.handleMouseUp)},n.state={value:String(e.value).toUpperCase(),blurValue:String(e.value).toUpperCase()},n.inputId="rc-editable-input-"+kse++,n}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),_se(t,[{key:"componentDidUpdate",value:function(e,t){this.props.value===this.state.value||e.value===this.props.value&&t.value===this.state.value||(this.input===document.activeElement?this.setState({blurValue:String(this.props.value).toUpperCase()}):this.setState({value:String(this.props.value).toUpperCase(),blurValue:!this.state.blurValue&&String(this.props.value).toUpperCase()}))}},{key:"componentWillUnmount",value:function(){this.unbindEventListeners()}},{key:"getValueObjectWithLabel",value:function(e){return function(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}({},this.props.label,e)}},{key:"getArrowOffset",value:function(){return this.props.arrowOffset||1}},{key:"setUpdatedValue",value:function(e,t){var n=this.props.label?this.getValueObjectWithLabel(e):e;this.props.onChange&&this.props.onChange(n,t),this.setState({value:e})}},{key:"render",value:function(){var e=this,t=(0,cse.ZP)({default:{wrap:{position:"relative"}},"user-override":{wrap:this.props.style&&this.props.style.wrap?this.props.style.wrap:{},input:this.props.style&&this.props.style.input?this.props.style.input:{},label:this.props.style&&this.props.style.label?this.props.style.label:{}},"dragLabel-true":{label:{cursor:"ew-resize"}}},{"user-override":!0},this.props);return o.createElement("div",{style:t.wrap},o.createElement("input",{id:this.inputId,style:t.input,ref:function(t){return e.input=t},value:this.state.value,onKeyDown:this.handleKeyDown,onChange:this.handleChange,onBlur:this.handleBlur,placeholder:this.props.placeholder,spellCheck:"false"}),this.props.label&&!this.props.hideLabel?o.createElement("label",{htmlFor:this.inputId,style:t.label,onMouseDown:this.handleMouseDown},this.props.label):null)}}]),t}(o.PureComponent||o.Component);const Cse=Ese;var Sse=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}();function Ase(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var Tse=function(e){function t(){var e,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);for(var i=arguments.length,o=Array(i),a=0;a<i;a++)o[a]=arguments[a];return n=r=Ase(this,(e=t.__proto__||Object.getPrototypeOf(t)).call.apply(e,[this].concat(o))),r.handleChange=function(e){var t=function(e,t,n,r){var i=r.clientWidth,o=r.clientHeight,a="number"==typeof e.pageX?e.pageX:e.touches[0].pageX,s="number"==typeof e.pageY?e.pageY:e.touches[0].pageY,l=a-(r.getBoundingClientRect().left+window.pageXOffset),u=s-(r.getBoundingClientRect().top+window.pageYOffset);if("vertical"===t){var c;if(c=u<0?359:u>o?0:360*(-100*u/o+100)/100,n.h!==c)return{h:c,s:n.s,l:n.l,a:n.a,source:"hsl"}}else{var d;if(d=l<0?0:l>i?359:100*l/i*360/100,n.h!==d)return{h:d,s:n.s,l:n.l,a:n.a,source:"hsl"}}return null}(e,r.props.direction,r.props.hsl,r.container);t&&"function"==typeof r.props.onChange&&r.props.onChange(t,e)},r.handleMouseDown=function(e){r.handleChange(e),window.addEventListener("mousemove",r.handleChange),window.addEventListener("mouseup",r.handleMouseUp)},r.handleMouseUp=function(){r.unbindEventListeners()},Ase(r,n)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),Sse(t,[{key:"componentWillUnmount",value:function(){this.unbindEventListeners()}},{key:"unbindEventListeners",value:function(){window.removeEventListener("mousemove",this.handleChange),window.removeEventListener("mouseup",this.handleMouseUp)}},{key:"render",value:function(){var e=this,t=this.props.direction,n=void 0===t?"horizontal":t,r=(0,cse.ZP)({default:{hue:{absolute:"0px 0px 0px 0px",borderRadius:this.props.radius,boxShadow:this.props.shadow},container:{padding:"0 2px",position:"relative",height:"100%",borderRadius:this.props.radius},pointer:{position:"absolute",left:100*this.props.hsl.h/360+"%"},slider:{marginTop:"1px",width:"4px",borderRadius:"1px",height:"8px",boxShadow:"0 0 2px rgba(0, 0, 0, .6)",background:"#fff",transform:"translateX(-2px)"}},vertical:{pointer:{left:"0px",top:-100*this.props.hsl.h/360+100+"%"}}},{vertical:"vertical"===n});return o.createElement("div",{style:r.hue},o.createElement("div",{className:"hue-"+n,style:r.container,ref:function(t){return e.container=t},onMouseDown:this.handleMouseDown,onTouchMove:this.handleChange,onTouchStart:this.handleChange},o.createElement("style",null,"\n .hue-horizontal {\n background: linear-gradient(to right, #f00 0%, #ff0 17%, #0f0\n 33%, #0ff 50%, #00f 67%, #f0f 83%, #f00 100%);\n background: -webkit-linear-gradient(to right, #f00 0%, #ff0\n 17%, #0f0 33%, #0ff 50%, #00f 67%, #f0f 83%, #f00 100%);\n }\n\n .hue-vertical {\n background: linear-gradient(to top, #f00 0%, #ff0 17%, #0f0 33%,\n #0ff 50%, #00f 67%, #f0f 83%, #f00 100%);\n background: -webkit-linear-gradient(to top, #f00 0%, #ff0 17%,\n #0f0 33%, #0ff 50%, #00f 67%, #f0f 83%, #f00 100%);\n }\n "),o.createElement("div",{style:r.pointer},this.props.pointer?o.createElement(this.props.pointer,this.props):o.createElement("div",{style:r.slider}))))}}]),t}(o.PureComponent||o.Component);const Ose=Tse,Pse=function(e,t){return e===t||e!=e&&t!=t},Dse=function(e,t){for(var n=e.length;n--;)if(Pse(e[n][0],t))return n;return-1};var Rse=Array.prototype.splice;function Ise(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}Ise.prototype.clear=function(){this.__data__=[],this.size=0},Ise.prototype.delete=function(e){var t=this.__data__,n=Dse(t,e);return!(n<0||(n==t.length-1?t.pop():Rse.call(t,n,1),--this.size,0))},Ise.prototype.get=function(e){var t=this.__data__,n=Dse(t,e);return n<0?void 0:t[n][1]},Ise.prototype.has=function(e){return Dse(this.__data__,e)>-1},Ise.prototype.set=function(e,t){var n=this.__data__,r=Dse(n,e);return r<0?(++this.size,n.push([e,t])):n[r][1]=t,this};const Mse=Ise,Lse="object"==typeof global&&global&&global.Object===Object&&global;var Nse="object"==typeof self&&self&&self.Object===Object&&self;const jse=Lse||Nse||Function("return this")(),Fse=jse.Symbol;var Bse=Object.prototype,zse=Bse.hasOwnProperty,Use=Bse.toString,qse=Fse?Fse.toStringTag:void 0;var $se=Object.prototype.toString;var Wse=Fse?Fse.toStringTag:void 0;const Hse=function(e){return null==e?void 0===e?"[object Undefined]":"[object Null]":Wse&&Wse in Object(e)?function(e){var t=zse.call(e,qse),n=e[qse];try{e[qse]=void 0;var r=!0}catch(e){}var i=Use.call(e);return r&&(t?e[qse]=n:delete e[qse]),i}(e):function(e){return $se.call(e)}(e)},Vse=function(e){var t=typeof e;return null!=e&&("object"==t||"function"==t)},Gse=function(e){if(!Vse(e))return!1;var t=Hse(e);return"[object Function]"==t||"[object GeneratorFunction]"==t||"[object AsyncFunction]"==t||"[object Proxy]"==t},Kse=jse["__core-js_shared__"];var Yse,Zse=(Yse=/[^.]+$/.exec(Kse&&Kse.keys&&Kse.keys.IE_PROTO||""))?"Symbol(src)_1."+Yse:"";var Xse=Function.prototype.toString;const Qse=function(e){if(null!=e){try{return Xse.call(e)}catch(e){}try{return e+""}catch(e){}}return""};var Jse=/^\[object .+?Constructor\]$/,ele=Function.prototype,tle=Object.prototype,nle=ele.toString,rle=tle.hasOwnProperty,ile=RegExp("^"+nle.call(rle).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$");const ole=function(e){return!(!Vse(e)||function(e){return!!Zse&&Zse in e}(e))&&(Gse(e)?ile:Jse).test(Qse(e))},ale=function(e,t){var n=function(e,t){return null==e?void 0:e[t]}(e,t);return ole(n)?n:void 0},sle=ale(jse,"Map"),lle=ale(Object,"create");var ule=Object.prototype.hasOwnProperty;var cle=Object.prototype.hasOwnProperty;function dle(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}dle.prototype.clear=function(){this.__data__=lle?lle(null):{},this.size=0},dle.prototype.delete=function(e){var t=this.has(e)&&delete this.__data__[e];return this.size-=t?1:0,t},dle.prototype.get=function(e){var t=this.__data__;if(lle){var n=t[e];return"__lodash_hash_undefined__"===n?void 0:n}return ule.call(t,e)?t[e]:void 0},dle.prototype.has=function(e){var t=this.__data__;return lle?void 0!==t[e]:cle.call(t,e)},dle.prototype.set=function(e,t){var n=this.__data__;return this.size+=this.has(e)?0:1,n[e]=lle&&void 0===t?"__lodash_hash_undefined__":t,this};const ple=dle,fle=function(e,t){var n=e.__data__;return function(e){var t=typeof e;return"string"==t||"number"==t||"symbol"==t||"boolean"==t?"__proto__"!==e:null===e}(t)?n["string"==typeof t?"string":"hash"]:n.map};function hle(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}hle.prototype.clear=function(){this.size=0,this.__data__={hash:new ple,map:new(sle||Mse),string:new ple}},hle.prototype.delete=function(e){var t=fle(this,e).delete(e);return this.size-=t?1:0,t},hle.prototype.get=function(e){return fle(this,e).get(e)},hle.prototype.has=function(e){return fle(this,e).has(e)},hle.prototype.set=function(e,t){var n=fle(this,e),r=n.size;return n.set(e,t),this.size+=n.size==r?0:1,this};const mle=hle;function gle(e){var t=this.__data__=new Mse(e);this.size=t.size}gle.prototype.clear=function(){this.__data__=new Mse,this.size=0},gle.prototype.delete=function(e){var t=this.__data__,n=t.delete(e);return this.size=t.size,n},gle.prototype.get=function(e){return this.__data__.get(e)},gle.prototype.has=function(e){return this.__data__.has(e)},gle.prototype.set=function(e,t){var n=this.__data__;if(n instanceof Mse){var r=n.__data__;if(!sle||r.length<199)return r.push([e,t]),this.size=++n.size,this;n=this.__data__=new mle(r)}return n.set(e,t),this.size=n.size,this};const vle=gle;var yle=function(){try{var e=ale(Object,"defineProperty");return e({},"",{}),e}catch(e){}}();const ble=yle,wle=function(e,t,n){"__proto__"==t&&ble?ble(e,t,{configurable:!0,enumerable:!0,value:n,writable:!0}):e[t]=n},_le=function(e,t,n){(void 0!==n&&!Pse(e[t],n)||void 0===n&&!(t in e))&&wle(e,t,n)},xle=function(e,t,n){for(var r=-1,i=Object(e),o=n(e),a=o.length;a--;){var s=o[kle?a:++r];if(!1===t(i[s],s,i))break}return e};var kle,Ele="object"==typeof exports&&exports&&!exports.nodeType&&exports,Cle=Ele&&"object"==typeof module&&module&&!module.nodeType&&module,Sle=Cle&&Cle.exports===Ele?jse.Buffer:void 0,Ale=Sle?Sle.allocUnsafe:void 0;const Tle=jse.Uint8Array,Ole=function(e,t){var n=t?function(e){var t=new e.constructor(e.byteLength);return new Tle(t).set(new Tle(e)),t}(e.buffer):e.buffer;return new e.constructor(n,e.byteOffset,e.length)};var Ple=Object.create,Dle=function(){function e(){}return function(t){if(!Vse(t))return{};if(Ple)return Ple(t);e.prototype=t;var n=new e;return e.prototype=void 0,n}}();const Rle=Dle,Ile=function(e,t){return function(n){return e(t(n))}},Mle=Ile(Object.getPrototypeOf,Object);var Lle=Object.prototype;const Nle=function(e){var t=e&&e.constructor;return e===("function"==typeof t&&t.prototype||Lle)},jle=function(e){return null!=e&&"object"==typeof e},Fle=function(e){return jle(e)&&"[object Arguments]"==Hse(e)};var Ble=Object.prototype,zle=Ble.hasOwnProperty,Ule=Ble.propertyIsEnumerable;const qle=Fle(function(){return arguments}())?Fle:function(e){return jle(e)&&zle.call(e,"callee")&&!Ule.call(e,"callee")},$le=Array.isArray,Wle=function(e){return"number"==typeof e&&e>-1&&e%1==0&&e<=9007199254740991},Hle=function(e){return null!=e&&Wle(e.length)&&!Gse(e)};var Vle="object"==typeof exports&&exports&&!exports.nodeType&&exports,Gle=Vle&&"object"==typeof module&&module&&!module.nodeType&&module,Kle=Gle&&Gle.exports===Vle?jse.Buffer:void 0;const Yle=(Kle?Kle.isBuffer:void 0)||function(){return!1};var Zle=Function.prototype,Xle=Object.prototype,Qle=Zle.toString,Jle=Xle.hasOwnProperty,eue=Qle.call(Object);var tue={};tue["[object Float32Array]"]=tue["[object Float64Array]"]=tue["[object Int8Array]"]=tue["[object Int16Array]"]=tue["[object Int32Array]"]=tue["[object Uint8Array]"]=tue["[object Uint8ClampedArray]"]=tue["[object Uint16Array]"]=tue["[object Uint32Array]"]=!0,tue["[object Arguments]"]=tue["[object Array]"]=tue["[object ArrayBuffer]"]=tue["[object Boolean]"]=tue["[object DataView]"]=tue["[object Date]"]=tue["[object Error]"]=tue["[object Function]"]=tue["[object Map]"]=tue["[object Number]"]=tue["[object Object]"]=tue["[object RegExp]"]=tue["[object Set]"]=tue["[object String]"]=tue["[object WeakMap]"]=!1;var nue="object"==typeof exports&&exports&&!exports.nodeType&&exports,rue=nue&&"object"==typeof module&&module&&!module.nodeType&&module,iue=rue&&rue.exports===nue&&Lse.process,oue=function(){try{return rue&&rue.require&&rue.require("util").types||iue&&iue.binding&&iue.binding("util")}catch(e){}}(),aue=oue&&oue.isTypedArray;const sue=aue?function(e){return function(t){return e(t)}}(aue):function(e){return jle(e)&&Wle(e.length)&&!!tue[Hse(e)]},lue=function(e,t){if(("constructor"!==t||"function"!=typeof e[t])&&"__proto__"!=t)return e[t]};var uue=Object.prototype.hasOwnProperty;const cue=function(e,t,n){var r=e[t];uue.call(e,t)&&Pse(r,n)&&(void 0!==n||t in e)||wle(e,t,n)};var due=/^(?:0|[1-9]\d*)$/;const pue=function(e,t){var n=typeof e;return!!(t=null==t?9007199254740991:t)&&("number"==n||"symbol"!=n&&due.test(e))&&e>-1&&e%1==0&&e<t};var fue=Object.prototype.hasOwnProperty;const hue=function(e,t){var n=$le(e),r=!n&&qle(e),i=!n&&!r&&Yle(e),o=!n&&!r&&!i&&sue(e),a=n||r||i||o,s=a?function(e,t){for(var n=-1,r=Array(e);++n<e;)r[n]=t(n);return r}(e.length,String):[],l=s.length;for(var u in e)!t&&!fue.call(e,u)||a&&("length"==u||i&&("offset"==u||"parent"==u)||o&&("buffer"==u||"byteLength"==u||"byteOffset"==u)||pue(u,l))||s.push(u);return s};var mue=Object.prototype.hasOwnProperty;const gue=function(e){if(!Vse(e))return function(e){var t=[];if(null!=e)for(var n in Object(e))t.push(n);return t}(e);var t=Nle(e),n=[];for(var r in e)("constructor"!=r||!t&&mue.call(e,r))&&n.push(r);return n},vue=function(e){return Hle(e)?hue(e,!0):gue(e)},yue=function(e){return function(e,t,n,r){var i=!n;n||(n={});for(var o=-1,a=t.length;++o<a;){var s=t[o],l=r?r(n[s],e[s],s,n,e):void 0;void 0===l&&(l=e[s]),i?wle(n,s,l):cue(n,s,l)}return n}(e,vue(e))},bue=function(e,t,n,r,i,o,a){var s=lue(e,n),l=lue(t,n),u=a.get(l);if(u)_le(e,n,u);else{var c=o?o(s,l,n+"",e,t,a):void 0,d=void 0===c;if(d){var p=$le(l),f=!p&&Yle(l),h=!p&&!f&&sue(l);c=l,p||f||h?$le(s)?c=s:function(e){return jle(e)&&Hle(e)}(s)?c=function(e,t){var n=-1,r=e.length;for(t||(t=Array(r));++n<r;)t[n]=e[n];return t}(s):f?(d=!1,c=function(e,t){if(t)return e.slice();var n=e.length,r=Ale?Ale(n):new e.constructor(n);return e.copy(r),r}(l,!0)):h?(d=!1,c=Ole(l,!0)):c=[]:function(e){if(!jle(e)||"[object Object]"!=Hse(e))return!1;var t=Mle(e);if(null===t)return!0;var n=Jle.call(t,"constructor")&&t.constructor;return"function"==typeof n&&n instanceof n&&Qle.call(n)==eue}(l)||qle(l)?(c=s,qle(s)?c=yue(s):Vse(s)&&!Gse(s)||(c=function(e){return"function"!=typeof e.constructor||Nle(e)?{}:Rle(Mle(e))}(l))):d=!1}d&&(a.set(l,c),i(c,l,r,o,a),a.delete(l)),_le(e,n,c)}},wue=function e(t,n,r,i,o){t!==n&&xle(n,(function(a,s){if(o||(o=new vle),Vse(a))bue(t,n,s,r,e,i,o);else{var l=i?i(lue(t,s),a,s+"",t,n,o):void 0;void 0===l&&(l=a),_le(t,s,l)}}),vue)},_ue=function(e){return e};var xue=Math.max;const kue=function(e){return function(){return e}};var Eue=ble?function(e,t){return ble(e,"toString",{configurable:!0,enumerable:!1,value:kue(t),writable:!0})}:_ue;const Cue=Eue;var Sue=Date.now;const Aue=function(e){var t=0,n=0;return function(){var r=Sue(),i=16-(r-n);if(n=r,i>0){if(++t>=800)return arguments[0]}else t=0;return e.apply(void 0,arguments)}}(Cue),Tue=function(e,t){return Aue(function(e,t,n){return t=xue(void 0===t?e.length-1:t,0),function(){for(var r=arguments,i=-1,o=xue(r.length-t,0),a=Array(o);++i<o;)a[i]=r[t+i];i=-1;for(var s=Array(t+1);++i<t;)s[i]=r[i];return s[t]=n(a),function(e,t,n){switch(n.length){case 0:return e.call(t);case 1:return e.call(t,n[0]);case 2:return e.call(t,n[0],n[1]);case 3:return e.call(t,n[0],n[1],n[2])}return e.apply(t,n)}(e,this,s)}}(e,t,_ue),e+"")};var Oue,Pue=(Oue=function(e,t,n){wue(e,t,n)},Tue((function(e,t){var n=-1,r=t.length,i=r>1?t[r-1]:void 0,o=r>2?t[2]:void 0;for(i=Oue.length>3&&"function"==typeof i?(r--,i):void 0,o&&function(e,t,n){if(!Vse(n))return!1;var r=typeof t;return!!("number"==r?Hle(n)&&pue(t,n.length):"string"==r&&t in n)&&Pse(n[t],e)}(t[0],t[1],o)&&(i=r<3?void 0:i,r=1),e=Object(e);++n<r;){var a=t[n];a&&Oue(e,a,n,i)}return e})));const Due=Pue;var Rue=function(e){var t=e.zDepth,n=e.radius,r=e.background,i=e.children,a=e.styles,s=void 0===a?{}:a,l=(0,cse.ZP)(Due({default:{wrap:{position:"relative",display:"inline-block"},content:{position:"relative"},bg:{absolute:"0px 0px 0px 0px",boxShadow:"0 "+t+"px "+4*t+"px rgba(0,0,0,.24)",borderRadius:n,background:r}},"zDepth-0":{bg:{boxShadow:"none"}},"zDepth-1":{bg:{boxShadow:"0 2px 10px rgba(0,0,0,.12), 0 2px 5px rgba(0,0,0,.16)"}},"zDepth-2":{bg:{boxShadow:"0 6px 20px rgba(0,0,0,.19), 0 8px 17px rgba(0,0,0,.2)"}},"zDepth-3":{bg:{boxShadow:"0 17px 50px rgba(0,0,0,.19), 0 12px 15px rgba(0,0,0,.24)"}},"zDepth-4":{bg:{boxShadow:"0 25px 55px rgba(0,0,0,.21), 0 16px 28px rgba(0,0,0,.22)"}},"zDepth-5":{bg:{boxShadow:"0 40px 77px rgba(0,0,0,.22), 0 27px 24px rgba(0,0,0,.2)"}},square:{bg:{borderRadius:"0"}},circle:{bg:{borderRadius:"50%"}}},s),{"zDepth-1":1===t});return o.createElement("div",{style:l.wrap},o.createElement("div",{style:l.bg}),o.createElement("div",{style:l.content},i))};Rue.propTypes={background:ie().string,zDepth:ie().oneOf([0,1,2,3,4,5]),radius:ie().number,styles:ie().object},Rue.defaultProps={background:"#fff",zDepth:1,radius:2,styles:{}};const Iue=Rue,Mue=function(){return jse.Date.now()};var Lue=/\s/;var Nue=/^\s+/;const jue=function(e){return e?e.slice(0,function(e){for(var t=e.length;t--&&Lue.test(e.charAt(t)););return t}(e)+1).replace(Nue,""):e},Fue=function(e){return"symbol"==typeof e||jle(e)&&"[object Symbol]"==Hse(e)};var Bue=/^[-+]0x[0-9a-f]+$/i,zue=/^0b[01]+$/i,Uue=/^0o[0-7]+$/i,que=parseInt;const $ue=function(e){if("number"==typeof e)return e;if(Fue(e))return NaN;if(Vse(e)){var t="function"==typeof e.valueOf?e.valueOf():e;e=Vse(t)?t+"":t}if("string"!=typeof e)return 0===e?e:+e;e=jue(e);var n=zue.test(e);return n||Uue.test(e)?que(e.slice(2),n?2:8):Bue.test(e)?NaN:+e};var Wue=Math.max,Hue=Math.min;const Vue=function(e,t,n){var r,i,o,a,s,l,u=0,c=!1,d=!1,p=!0;if("function"!=typeof e)throw new TypeError("Expected a function");function f(t){var n=r,o=i;return r=i=void 0,u=t,a=e.apply(o,n)}function h(e){var n=e-l;return void 0===l||n>=t||n<0||d&&e-u>=o}function m(){var e=Mue();if(h(e))return g(e);s=setTimeout(m,function(e){var n=t-(e-l);return d?Hue(n,o-(e-u)):n}(e))}function g(e){return s=void 0,p&&r?f(e):(r=i=void 0,a)}function v(){var e=Mue(),n=h(e);if(r=arguments,i=this,l=e,n){if(void 0===s)return function(e){return u=e,s=setTimeout(m,t),c?f(e):a}(l);if(d)return clearTimeout(s),s=setTimeout(m,t),f(l)}return void 0===s&&(s=setTimeout(m,t)),a}return t=$ue(t)||0,Vse(n)&&(c=!!n.leading,o=(d="maxWait"in n)?Wue($ue(n.maxWait)||0,t):o,p="trailing"in n?!!n.trailing:p),v.cancel=function(){void 0!==s&&clearTimeout(s),u=0,r=l=i=s=void 0},v.flush=function(){return void 0===s?a:g(Mue())},v};var Gue=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),Kue=function(e){function t(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var n=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this,e));return n.handleChange=function(e){"function"==typeof n.props.onChange&&n.throttle(n.props.onChange,function(e,t,n){var r=n.getBoundingClientRect(),i=r.width,o=r.height,a="number"==typeof e.pageX?e.pageX:e.touches[0].pageX,s="number"==typeof e.pageY?e.pageY:e.touches[0].pageY,l=a-(n.getBoundingClientRect().left+window.pageXOffset),u=s-(n.getBoundingClientRect().top+window.pageYOffset);l<0?l=0:l>i&&(l=i),u<0?u=0:u>o&&(u=o);var c=l/i,d=1-u/o;return{h:t.h,s:c,v:d,a:t.a,source:"hsv"}}(e,n.props.hsl,n.container),e)},n.handleMouseDown=function(e){n.handleChange(e);var t=n.getContainerRenderWindow();t.addEventListener("mousemove",n.handleChange),t.addEventListener("mouseup",n.handleMouseUp)},n.handleMouseUp=function(){n.unbindEventListeners()},n.throttle=function(e,t,n){var r=!0,i=!0;if("function"!=typeof e)throw new TypeError("Expected a function");return Vse(n)&&(r="leading"in n?!!n.leading:r,i="trailing"in n?!!n.trailing:i),Vue(e,t,{leading:r,maxWait:t,trailing:i})}((function(e,t,n){e(t,n)}),50),n}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),Gue(t,[{key:"componentWillUnmount",value:function(){this.throttle.cancel(),this.unbindEventListeners()}},{key:"getContainerRenderWindow",value:function(){for(var e=this.container,t=window;!t.document.contains(e)&&t.parent!==t;)t=t.parent;return t}},{key:"unbindEventListeners",value:function(){var e=this.getContainerRenderWindow();e.removeEventListener("mousemove",this.handleChange),e.removeEventListener("mouseup",this.handleMouseUp)}},{key:"render",value:function(){var e=this,t=this.props.style||{},n=t.color,r=t.white,i=t.black,a=t.pointer,s=t.circle,l=(0,cse.ZP)({default:{color:{absolute:"0px 0px 0px 0px",background:"hsl("+this.props.hsl.h+",100%, 50%)",borderRadius:this.props.radius},white:{absolute:"0px 0px 0px 0px",borderRadius:this.props.radius},black:{absolute:"0px 0px 0px 0px",boxShadow:this.props.shadow,borderRadius:this.props.radius},pointer:{position:"absolute",top:-100*this.props.hsv.v+100+"%",left:100*this.props.hsv.s+"%",cursor:"default"},circle:{width:"4px",height:"4px",boxShadow:"0 0 0 1.5px #fff, inset 0 0 1px 1px rgba(0,0,0,.3),\n 0 0 1px 2px rgba(0,0,0,.4)",borderRadius:"50%",cursor:"hand",transform:"translate(-2px, -2px)"}},custom:{color:n,white:r,black:i,pointer:a,circle:s}},{custom:!!this.props.style});return o.createElement("div",{style:l.color,ref:function(t){return e.container=t},onMouseDown:this.handleMouseDown,onTouchMove:this.handleChange,onTouchStart:this.handleChange},o.createElement("style",null,"\n .saturation-white {\n background: -webkit-linear-gradient(to right, #fff, rgba(255,255,255,0));\n background: linear-gradient(to right, #fff, rgba(255,255,255,0));\n }\n .saturation-black {\n background: -webkit-linear-gradient(to top, #000, rgba(0,0,0,0));\n background: linear-gradient(to top, #000, rgba(0,0,0,0));\n }\n "),o.createElement("div",{style:l.white,className:"saturation-white"},o.createElement("div",{style:l.black,className:"saturation-black"}),o.createElement("div",{style:l.pointer},this.props.pointer?o.createElement(this.props.pointer,this.props):o.createElement("div",{style:l.circle}))))}}]),t}(o.PureComponent||o.Component);const Yue=Kue,Zue=function(e,t){for(var n=-1,r=null==e?0:e.length;++n<r&&!1!==t(e[n],n,e););return e},Xue=Ile(Object.keys,Object);var Que=Object.prototype.hasOwnProperty;const Jue=function(e){return Hle(e)?hue(e):function(e){if(!Nle(e))return Xue(e);var t=[];for(var n in Object(e))Que.call(e,n)&&"constructor"!=n&&t.push(n);return t}(e)},ece=function(e,t){return function(n,r){if(null==n)return n;if(!Hle(n))return e(n,r);for(var i=n.length,o=t?i:-1,a=Object(n);(t?o--:++o<i)&&!1!==r(a[o],o,a););return n}}((function(e,t){return e&&xle(e,t,Jue)})),tce=function(e,t){return($le(e)?Zue:ece)(e,function(e){return"function"==typeof e?e:_ue}(t))};function nce(e){return nce="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},nce(e)}var rce=/^\s+/,ice=/\s+$/;function oce(e,t){if(t=t||{},(e=e||"")instanceof oce)return e;if(!(this instanceof oce))return new oce(e,t);var n=function(e){var t={r:0,g:0,b:0},n=1,r=null,i=null,o=null,a=!1,s=!1;return"string"==typeof e&&(e=function(e){e=e.replace(rce,"").replace(ice,"").toLowerCase();var t,n=!1;if(xce[e])e=xce[e],n=!0;else if("transparent"==e)return{r:0,g:0,b:0,a:0,format:"name"};return(t=Lce.rgb.exec(e))?{r:t[1],g:t[2],b:t[3]}:(t=Lce.rgba.exec(e))?{r:t[1],g:t[2],b:t[3],a:t[4]}:(t=Lce.hsl.exec(e))?{h:t[1],s:t[2],l:t[3]}:(t=Lce.hsla.exec(e))?{h:t[1],s:t[2],l:t[3],a:t[4]}:(t=Lce.hsv.exec(e))?{h:t[1],s:t[2],v:t[3]}:(t=Lce.hsva.exec(e))?{h:t[1],s:t[2],v:t[3],a:t[4]}:(t=Lce.hex8.exec(e))?{r:Ace(t[1]),g:Ace(t[2]),b:Ace(t[3]),a:Dce(t[4]),format:n?"name":"hex8"}:(t=Lce.hex6.exec(e))?{r:Ace(t[1]),g:Ace(t[2]),b:Ace(t[3]),format:n?"name":"hex"}:(t=Lce.hex4.exec(e))?{r:Ace(t[1]+""+t[1]),g:Ace(t[2]+""+t[2]),b:Ace(t[3]+""+t[3]),a:Dce(t[4]+""+t[4]),format:n?"name":"hex8"}:!!(t=Lce.hex3.exec(e))&&{r:Ace(t[1]+""+t[1]),g:Ace(t[2]+""+t[2]),b:Ace(t[3]+""+t[3]),format:n?"name":"hex"}}(e)),"object"==nce(e)&&(Nce(e.r)&&Nce(e.g)&&Nce(e.b)?(t=function(e,t,n){return{r:255*Cce(e,255),g:255*Cce(t,255),b:255*Cce(n,255)}}(e.r,e.g,e.b),a=!0,s="%"===String(e.r).substr(-1)?"prgb":"rgb"):Nce(e.h)&&Nce(e.s)&&Nce(e.v)?(r=Oce(e.s),i=Oce(e.v),t=function(e,t,n){e=6*Cce(e,360),t=Cce(t,100),n=Cce(n,100);var r=Math.floor(e),i=e-r,o=n*(1-t),a=n*(1-i*t),s=n*(1-(1-i)*t),l=r%6;return{r:255*[n,a,o,o,s,n][l],g:255*[s,n,n,a,o,o][l],b:255*[o,o,s,n,n,a][l]}}(e.h,r,i),a=!0,s="hsv"):Nce(e.h)&&Nce(e.s)&&Nce(e.l)&&(r=Oce(e.s),o=Oce(e.l),t=function(e,t,n){var r,i,o;function a(e,t,n){return n<0&&(n+=1),n>1&&(n-=1),n<1/6?e+6*(t-e)*n:n<.5?t:n<2/3?e+(t-e)*(2/3-n)*6:e}if(e=Cce(e,360),t=Cce(t,100),n=Cce(n,100),0===t)r=i=o=n;else{var s=n<.5?n*(1+t):n+t-n*t,l=2*n-s;r=a(l,s,e+1/3),i=a(l,s,e),o=a(l,s,e-1/3)}return{r:255*r,g:255*i,b:255*o}}(e.h,r,o),a=!0,s="hsl"),e.hasOwnProperty("a")&&(n=e.a)),n=Ece(n),{ok:a,format:e.format||s,r:Math.min(255,Math.max(t.r,0)),g:Math.min(255,Math.max(t.g,0)),b:Math.min(255,Math.max(t.b,0)),a:n}}(e);this._originalInput=e,this._r=n.r,this._g=n.g,this._b=n.b,this._a=n.a,this._roundA=Math.round(100*this._a)/100,this._format=t.format||n.format,this._gradientType=t.gradientType,this._r<1&&(this._r=Math.round(this._r)),this._g<1&&(this._g=Math.round(this._g)),this._b<1&&(this._b=Math.round(this._b)),this._ok=n.ok}function ace(e,t,n){e=Cce(e,255),t=Cce(t,255),n=Cce(n,255);var r,i,o=Math.max(e,t,n),a=Math.min(e,t,n),s=(o+a)/2;if(o==a)r=i=0;else{var l=o-a;switch(i=s>.5?l/(2-o-a):l/(o+a),o){case e:r=(t-n)/l+(t<n?6:0);break;case t:r=(n-e)/l+2;break;case n:r=(e-t)/l+4}r/=6}return{h:r,s:i,l:s}}function sce(e,t,n){e=Cce(e,255),t=Cce(t,255),n=Cce(n,255);var r,i,o=Math.max(e,t,n),a=Math.min(e,t,n),s=o,l=o-a;if(i=0===o?0:l/o,o==a)r=0;else{switch(o){case e:r=(t-n)/l+(t<n?6:0);break;case t:r=(n-e)/l+2;break;case n:r=(e-t)/l+4}r/=6}return{h:r,s:i,v:s}}function lce(e,t,n,r){var i=[Tce(Math.round(e).toString(16)),Tce(Math.round(t).toString(16)),Tce(Math.round(n).toString(16))];return r&&i[0].charAt(0)==i[0].charAt(1)&&i[1].charAt(0)==i[1].charAt(1)&&i[2].charAt(0)==i[2].charAt(1)?i[0].charAt(0)+i[1].charAt(0)+i[2].charAt(0):i.join("")}function uce(e,t,n,r){return[Tce(Pce(r)),Tce(Math.round(e).toString(16)),Tce(Math.round(t).toString(16)),Tce(Math.round(n).toString(16))].join("")}function cce(e,t){t=0===t?0:t||10;var n=oce(e).toHsl();return n.s-=t/100,n.s=Sce(n.s),oce(n)}function dce(e,t){t=0===t?0:t||10;var n=oce(e).toHsl();return n.s+=t/100,n.s=Sce(n.s),oce(n)}function pce(e){return oce(e).desaturate(100)}function fce(e,t){t=0===t?0:t||10;var n=oce(e).toHsl();return n.l+=t/100,n.l=Sce(n.l),oce(n)}function hce(e,t){t=0===t?0:t||10;var n=oce(e).toRgb();return n.r=Math.max(0,Math.min(255,n.r-Math.round(-t/100*255))),n.g=Math.max(0,Math.min(255,n.g-Math.round(-t/100*255))),n.b=Math.max(0,Math.min(255,n.b-Math.round(-t/100*255))),oce(n)}function mce(e,t){t=0===t?0:t||10;var n=oce(e).toHsl();return n.l-=t/100,n.l=Sce(n.l),oce(n)}function gce(e,t){var n=oce(e).toHsl(),r=(n.h+t)%360;return n.h=r<0?360+r:r,oce(n)}function vce(e){var t=oce(e).toHsl();return t.h=(t.h+180)%360,oce(t)}function yce(e,t){if(isNaN(t)||t<=0)throw new Error("Argument to polyad must be a positive number");for(var n=oce(e).toHsl(),r=[oce(e)],i=360/t,o=1;o<t;o++)r.push(oce({h:(n.h+o*i)%360,s:n.s,l:n.l}));return r}function bce(e){var t=oce(e).toHsl(),n=t.h;return[oce(e),oce({h:(n+72)%360,s:t.s,l:t.l}),oce({h:(n+216)%360,s:t.s,l:t.l})]}function wce(e,t,n){t=t||6,n=n||30;var r=oce(e).toHsl(),i=360/n,o=[oce(e)];for(r.h=(r.h-(i*t>>1)+720)%360;--t;)r.h=(r.h+i)%360,o.push(oce(r));return o}function _ce(e,t){t=t||6;for(var n=oce(e).toHsv(),r=n.h,i=n.s,o=n.v,a=[],s=1/t;t--;)a.push(oce({h:r,s:i,v:o})),o=(o+s)%1;return a}oce.prototype={isDark:function(){return this.getBrightness()<128},isLight:function(){return!this.isDark()},isValid:function(){return this._ok},getOriginalInput:function(){return this._originalInput},getFormat:function(){return this._format},getAlpha:function(){return this._a},getBrightness:function(){var e=this.toRgb();return(299*e.r+587*e.g+114*e.b)/1e3},getLuminance:function(){var e,t,n,r=this.toRgb();return e=r.r/255,t=r.g/255,n=r.b/255,.2126*(e<=.03928?e/12.92:Math.pow((e+.055)/1.055,2.4))+.7152*(t<=.03928?t/12.92:Math.pow((t+.055)/1.055,2.4))+.0722*(n<=.03928?n/12.92:Math.pow((n+.055)/1.055,2.4))},setAlpha:function(e){return this._a=Ece(e),this._roundA=Math.round(100*this._a)/100,this},toHsv:function(){var e=sce(this._r,this._g,this._b);return{h:360*e.h,s:e.s,v:e.v,a:this._a}},toHsvString:function(){var e=sce(this._r,this._g,this._b),t=Math.round(360*e.h),n=Math.round(100*e.s),r=Math.round(100*e.v);return 1==this._a?"hsv("+t+", "+n+"%, "+r+"%)":"hsva("+t+", "+n+"%, "+r+"%, "+this._roundA+")"},toHsl:function(){var e=ace(this._r,this._g,this._b);return{h:360*e.h,s:e.s,l:e.l,a:this._a}},toHslString:function(){var e=ace(this._r,this._g,this._b),t=Math.round(360*e.h),n=Math.round(100*e.s),r=Math.round(100*e.l);return 1==this._a?"hsl("+t+", "+n+"%, "+r+"%)":"hsla("+t+", "+n+"%, "+r+"%, "+this._roundA+")"},toHex:function(e){return lce(this._r,this._g,this._b,e)},toHexString:function(e){return"#"+this.toHex(e)},toHex8:function(e){return function(e,t,n,r,i){var o=[Tce(Math.round(e).toString(16)),Tce(Math.round(t).toString(16)),Tce(Math.round(n).toString(16)),Tce(Pce(r))];return i&&o[0].charAt(0)==o[0].charAt(1)&&o[1].charAt(0)==o[1].charAt(1)&&o[2].charAt(0)==o[2].charAt(1)&&o[3].charAt(0)==o[3].charAt(1)?o[0].charAt(0)+o[1].charAt(0)+o[2].charAt(0)+o[3].charAt(0):o.join("")}(this._r,this._g,this._b,this._a,e)},toHex8String:function(e){return"#"+this.toHex8(e)},toRgb:function(){return{r:Math.round(this._r),g:Math.round(this._g),b:Math.round(this._b),a:this._a}},toRgbString:function(){return 1==this._a?"rgb("+Math.round(this._r)+", "+Math.round(this._g)+", "+Math.round(this._b)+")":"rgba("+Math.round(this._r)+", "+Math.round(this._g)+", "+Math.round(this._b)+", "+this._roundA+")"},toPercentageRgb:function(){return{r:Math.round(100*Cce(this._r,255))+"%",g:Math.round(100*Cce(this._g,255))+"%",b:Math.round(100*Cce(this._b,255))+"%",a:this._a}},toPercentageRgbString:function(){return 1==this._a?"rgb("+Math.round(100*Cce(this._r,255))+"%, "+Math.round(100*Cce(this._g,255))+"%, "+Math.round(100*Cce(this._b,255))+"%)":"rgba("+Math.round(100*Cce(this._r,255))+"%, "+Math.round(100*Cce(this._g,255))+"%, "+Math.round(100*Cce(this._b,255))+"%, "+this._roundA+")"},toName:function(){return 0===this._a?"transparent":!(this._a<1)&&(kce[lce(this._r,this._g,this._b,!0)]||!1)},toFilter:function(e){var t="#"+uce(this._r,this._g,this._b,this._a),n=t,r=this._gradientType?"GradientType = 1, ":"";if(e){var i=oce(e);n="#"+uce(i._r,i._g,i._b,i._a)}return"progid:DXImageTransform.Microsoft.gradient("+r+"startColorstr="+t+",endColorstr="+n+")"},toString:function(e){var t=!!e;e=e||this._format;var n=!1,r=this._a<1&&this._a>=0;return t||!r||"hex"!==e&&"hex6"!==e&&"hex3"!==e&&"hex4"!==e&&"hex8"!==e&&"name"!==e?("rgb"===e&&(n=this.toRgbString()),"prgb"===e&&(n=this.toPercentageRgbString()),"hex"!==e&&"hex6"!==e||(n=this.toHexString()),"hex3"===e&&(n=this.toHexString(!0)),"hex4"===e&&(n=this.toHex8String(!0)),"hex8"===e&&(n=this.toHex8String()),"name"===e&&(n=this.toName()),"hsl"===e&&(n=this.toHslString()),"hsv"===e&&(n=this.toHsvString()),n||this.toHexString()):"name"===e&&0===this._a?this.toName():this.toRgbString()},clone:function(){return oce(this.toString())},_applyModification:function(e,t){var n=e.apply(null,[this].concat([].slice.call(t)));return this._r=n._r,this._g=n._g,this._b=n._b,this.setAlpha(n._a),this},lighten:function(){return this._applyModification(fce,arguments)},brighten:function(){return this._applyModification(hce,arguments)},darken:function(){return this._applyModification(mce,arguments)},desaturate:function(){return this._applyModification(cce,arguments)},saturate:function(){return this._applyModification(dce,arguments)},greyscale:function(){return this._applyModification(pce,arguments)},spin:function(){return this._applyModification(gce,arguments)},_applyCombination:function(e,t){return e.apply(null,[this].concat([].slice.call(t)))},analogous:function(){return this._applyCombination(wce,arguments)},complement:function(){return this._applyCombination(vce,arguments)},monochromatic:function(){return this._applyCombination(_ce,arguments)},splitcomplement:function(){return this._applyCombination(bce,arguments)},triad:function(){return this._applyCombination(yce,[3])},tetrad:function(){return this._applyCombination(yce,[4])}},oce.fromRatio=function(e,t){if("object"==nce(e)){var n={};for(var r in e)e.hasOwnProperty(r)&&(n[r]="a"===r?e[r]:Oce(e[r]));e=n}return oce(e,t)},oce.equals=function(e,t){return!(!e||!t)&&oce(e).toRgbString()==oce(t).toRgbString()},oce.random=function(){return oce.fromRatio({r:Math.random(),g:Math.random(),b:Math.random()})},oce.mix=function(e,t,n){n=0===n?0:n||50;var r=oce(e).toRgb(),i=oce(t).toRgb(),o=n/100;return oce({r:(i.r-r.r)*o+r.r,g:(i.g-r.g)*o+r.g,b:(i.b-r.b)*o+r.b,a:(i.a-r.a)*o+r.a})},oce.readability=function(e,t){var n=oce(e),r=oce(t);return(Math.max(n.getLuminance(),r.getLuminance())+.05)/(Math.min(n.getLuminance(),r.getLuminance())+.05)},oce.isReadable=function(e,t,n){var r,i,o=oce.readability(e,t);switch(i=!1,r=function(e){var t,n;return"AA"!==(t=((e=e||{level:"AA",size:"small"}).level||"AA").toUpperCase())&&"AAA"!==t&&(t="AA"),"small"!==(n=(e.size||"small").toLowerCase())&&"large"!==n&&(n="small"),{level:t,size:n}}(n),r.level+r.size){case"AAsmall":case"AAAlarge":i=o>=4.5;break;case"AAlarge":i=o>=3;break;case"AAAsmall":i=o>=7}return i},oce.mostReadable=function(e,t,n){var r,i,o,a,s=null,l=0;i=(n=n||{}).includeFallbackColors,o=n.level,a=n.size;for(var u=0;u<t.length;u++)(r=oce.readability(e,t[u]))>l&&(l=r,s=oce(t[u]));return oce.isReadable(e,s,{level:o,size:a})||!i?s:(n.includeFallbackColors=!1,oce.mostReadable(e,["#fff","#000"],n))};var xce=oce.names={aliceblue:"f0f8ff",antiquewhite:"faebd7",aqua:"0ff",aquamarine:"7fffd4",azure:"f0ffff",beige:"f5f5dc",bisque:"ffe4c4",black:"000",blanchedalmond:"ffebcd",blue:"00f",blueviolet:"8a2be2",brown:"a52a2a",burlywood:"deb887",burntsienna:"ea7e5d",cadetblue:"5f9ea0",chartreuse:"7fff00",chocolate:"d2691e",coral:"ff7f50",cornflowerblue:"6495ed",cornsilk:"fff8dc",crimson:"dc143c",cyan:"0ff",darkblue:"00008b",darkcyan:"008b8b",darkgoldenrod:"b8860b",darkgray:"a9a9a9",darkgreen:"006400",darkgrey:"a9a9a9",darkkhaki:"bdb76b",darkmagenta:"8b008b",darkolivegreen:"556b2f",darkorange:"ff8c00",darkorchid:"9932cc",darkred:"8b0000",darksalmon:"e9967a",darkseagreen:"8fbc8f",darkslateblue:"483d8b",darkslategray:"2f4f4f",darkslategrey:"2f4f4f",darkturquoise:"00ced1",darkviolet:"9400d3",deeppink:"ff1493",deepskyblue:"00bfff",dimgray:"696969",dimgrey:"696969",dodgerblue:"1e90ff",firebrick:"b22222",floralwhite:"fffaf0",forestgreen:"228b22",fuchsia:"f0f",gainsboro:"dcdcdc",ghostwhite:"f8f8ff",gold:"ffd700",goldenrod:"daa520",gray:"808080",green:"008000",greenyellow:"adff2f",grey:"808080",honeydew:"f0fff0",hotpink:"ff69b4",indianred:"cd5c5c",indigo:"4b0082",ivory:"fffff0",khaki:"f0e68c",lavender:"e6e6fa",lavenderblush:"fff0f5",lawngreen:"7cfc00",lemonchiffon:"fffacd",lightblue:"add8e6",lightcoral:"f08080",lightcyan:"e0ffff",lightgoldenrodyellow:"fafad2",lightgray:"d3d3d3",lightgreen:"90ee90",lightgrey:"d3d3d3",lightpink:"ffb6c1",lightsalmon:"ffa07a",lightseagreen:"20b2aa",lightskyblue:"87cefa",lightslategray:"789",lightslategrey:"789",lightsteelblue:"b0c4de",lightyellow:"ffffe0",lime:"0f0",limegreen:"32cd32",linen:"faf0e6",magenta:"f0f",maroon:"800000",mediumaquamarine:"66cdaa",mediumblue:"0000cd",mediumorchid:"ba55d3",mediumpurple:"9370db",mediumseagreen:"3cb371",mediumslateblue:"7b68ee",mediumspringgreen:"00fa9a",mediumturquoise:"48d1cc",mediumvioletred:"c71585",midnightblue:"191970",mintcream:"f5fffa",mistyrose:"ffe4e1",moccasin:"ffe4b5",navajowhite:"ffdead",navy:"000080",oldlace:"fdf5e6",olive:"808000",olivedrab:"6b8e23",orange:"ffa500",orangered:"ff4500",orchid:"da70d6",palegoldenrod:"eee8aa",palegreen:"98fb98",paleturquoise:"afeeee",palevioletred:"db7093",papayawhip:"ffefd5",peachpuff:"ffdab9",peru:"cd853f",pink:"ffc0cb",plum:"dda0dd",powderblue:"b0e0e6",purple:"800080",rebeccapurple:"663399",red:"f00",rosybrown:"bc8f8f",royalblue:"4169e1",saddlebrown:"8b4513",salmon:"fa8072",sandybrown:"f4a460",seagreen:"2e8b57",seashell:"fff5ee",sienna:"a0522d",silver:"c0c0c0",skyblue:"87ceeb",slateblue:"6a5acd",slategray:"708090",slategrey:"708090",snow:"fffafa",springgreen:"00ff7f",steelblue:"4682b4",tan:"d2b48c",teal:"008080",thistle:"d8bfd8",tomato:"ff6347",turquoise:"40e0d0",violet:"ee82ee",wheat:"f5deb3",white:"fff",whitesmoke:"f5f5f5",yellow:"ff0",yellowgreen:"9acd32"},kce=oce.hexNames=function(e){var t={};for(var n in e)e.hasOwnProperty(n)&&(t[e[n]]=n);return t}(xce);function Ece(e){return e=parseFloat(e),(isNaN(e)||e<0||e>1)&&(e=1),e}function Cce(e,t){(function(e){return"string"==typeof e&&-1!=e.indexOf(".")&&1===parseFloat(e)})(e)&&(e="100%");var n=function(e){return"string"==typeof e&&-1!=e.indexOf("%")}(e);return e=Math.min(t,Math.max(0,parseFloat(e))),n&&(e=parseInt(e*t,10)/100),Math.abs(e-t)<1e-6?1:e%t/parseFloat(t)}function Sce(e){return Math.min(1,Math.max(0,e))}function Ace(e){return parseInt(e,16)}function Tce(e){return 1==e.length?"0"+e:""+e}function Oce(e){return e<=1&&(e=100*e+"%"),e}function Pce(e){return Math.round(255*parseFloat(e)).toString(16)}function Dce(e){return Ace(e)/255}var Rce,Ice,Mce,Lce=(Ice="[\\s|\\(]+("+(Rce="(?:[-\\+]?\\d*\\.\\d+%?)|(?:[-\\+]?\\d+%?)")+")[,|\\s]+("+Rce+")[,|\\s]+("+Rce+")\\s*\\)?",Mce="[\\s|\\(]+("+Rce+")[,|\\s]+("+Rce+")[,|\\s]+("+Rce+")[,|\\s]+("+Rce+")\\s*\\)?",{CSS_UNIT:new RegExp(Rce),rgb:new RegExp("rgb"+Ice),rgba:new RegExp("rgba"+Mce),hsl:new RegExp("hsl"+Ice),hsla:new RegExp("hsla"+Mce),hsv:new RegExp("hsv"+Ice),hsva:new RegExp("hsva"+Mce),hex3:/^#?([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})$/,hex6:/^#?([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})$/,hex4:/^#?([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})$/,hex8:/^#?([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})$/});function Nce(e){return!!Lce.CSS_UNIT.exec(e)}var jce=function(e){var t=0,n=0;return tce(["r","g","b","a","h","s","l","v"],(function(r){e[r]&&(t+=1,isNaN(e[r])||(n+=1),"s"===r||"l"===r)&&/^\d+%$/.test(e[r])&&(n+=1)})),t===n&&e},Fce=function(e,t){var n=e.hex?oce(e.hex):oce(e),r=n.toHsl(),i=n.toHsv(),o=n.toRgb(),a=n.toHex();return 0===r.s&&(r.h=t||0,i.h=t||0),{hsl:r,hex:"000000"===a&&0===o.a?"transparent":"#"+a,rgb:o,hsv:i,oldHue:e.h||t||r.h,source:e.source}},Bce=function(e){if("transparent"===e)return!0;var t="#"===String(e).charAt(0)?1:0;return e.length!==4+t&&e.length<7+t&&oce(e).isValid()},zce=function(e){if(!e)return"#fff";var t=Fce(e);return"transparent"===t.hex?"rgba(0,0,0,0.4)":(299*t.rgb.r+587*t.rgb.g+114*t.rgb.b)/1e3>=128?"#000":"#fff"},Uce=function(e,t){return oce(t+" ("+e.replace("°","")+")")._ok},qce=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},$ce=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}();const Wce=function(e){var t=function(t){function n(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,n);var t=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(n.__proto__||Object.getPrototypeOf(n)).call(this));return t.handleChange=function(e,n){if(jce(e)){var r=Fce(e,e.h||t.state.oldHue);t.setState(r),t.props.onChangeComplete&&t.debounce(t.props.onChangeComplete,r,n),t.props.onChange&&t.props.onChange(r,n)}},t.handleSwatchHover=function(e,n){if(jce(e)){var r=Fce(e,e.h||t.state.oldHue);t.props.onSwatchHover&&t.props.onSwatchHover(r,n)}},t.state=qce({},Fce(e.color,0)),t.debounce=Vue((function(e,t,n){e(t,n)}),100),t}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(n,t),$ce(n,[{key:"render",value:function(){var t={};return this.props.onSwatchHover&&(t.onSwatchHover=this.handleSwatchHover),o.createElement(e,qce({},this.props,this.state,{onChange:this.handleChange},t))}}],[{key:"getDerivedStateFromProps",value:function(e,t){return qce({},Fce(e.color,t.oldHue))}}]),n}(o.PureComponent||o.Component);return t.propTypes=qce({},e.propTypes),t.defaultProps=qce({},e.defaultProps,{color:{h:250,s:.5,l:.2,a:1}}),t};var Hce=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},Vce=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}();function Gce(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}var Kce=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e};const Yce=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"span";return function(n){function r(){var e,t,n;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,r);for(var i=arguments.length,o=Array(i),a=0;a<i;a++)o[a]=arguments[a];return t=n=Gce(this,(e=r.__proto__||Object.getPrototypeOf(r)).call.apply(e,[this].concat(o))),n.state={focus:!1},n.handleFocus=function(){return n.setState({focus:!0})},n.handleBlur=function(){return n.setState({focus:!1})},Gce(n,t)}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(r,n),Vce(r,[{key:"render",value:function(){return o.createElement(t,{onFocus:this.handleFocus,onBlur:this.handleBlur},o.createElement(e,Hce({},this.props,this.state)))}}]),r}(o.Component)}((function(e){var t=e.color,n=e.style,r=e.onClick,i=void 0===r?function(){}:r,a=e.onHover,s=e.title,l=void 0===s?t:s,u=e.children,c=e.focus,d=e.focusStyle,p=void 0===d?{}:d,f="transparent"===t,h=(0,cse.ZP)({default:{swatch:Kce({background:t,height:"100%",width:"100%",cursor:"pointer",position:"relative",outline:"none"},n,c?p:{})}}),m={};return a&&(m.onMouseOver=function(e){return a(t,e)}),o.createElement("div",Kce({style:h.swatch,onClick:function(e){return i(t,e)},title:l,tabIndex:0,onKeyDown:function(e){return 13===e.keyCode&&i(t,e)}},m),u,f&&o.createElement(mse,{borderRadius:h.swatch.borderRadius,boxShadow:"inset 0 0 0 1px rgba(0,0,0,0.1)"}))}));var Zce=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},Xce=function(e){var t=e.rgb,n=e.hsl,r=e.width,i=e.height,a=e.onChange,s=e.direction,l=e.style,u=e.renderers,c=e.pointer,d=e.className,p=void 0===d?"":d,f=(0,cse.ZP)({default:{picker:{position:"relative",width:r,height:i},alpha:{radius:"2px",style:l}}});return o.createElement("div",{style:f.picker,className:"alpha-picker "+p},o.createElement(wse,Zce({},f.alpha,{rgb:t,hsl:n,pointer:c,renderers:u,onChange:a,direction:s})))};Xce.defaultProps={width:"316px",height:"16px",direction:"horizontal",pointer:function(e){var t=e.direction,n=(0,cse.ZP)({default:{picker:{width:"18px",height:"18px",borderRadius:"50%",transform:"translate(-9px, -1px)",backgroundColor:"rgb(248, 248, 248)",boxShadow:"0 1px 4px 0 rgba(0, 0, 0, 0.37)"}},vertical:{picker:{transform:"translate(-3px, -9px)"}}},{vertical:"vertical"===t});return o.createElement("div",{style:n.picker})}},Wce(Xce);const Qce=function(e,t){for(var n=-1,r=null==e?0:e.length,i=Array(r);++n<r;)i[n]=t(e[n],n,e);return i};function Jce(e){var t=-1,n=null==e?0:e.length;for(this.__data__=new mle;++t<n;)this.add(e[t])}Jce.prototype.add=Jce.prototype.push=function(e){return this.__data__.set(e,"__lodash_hash_undefined__"),this},Jce.prototype.has=function(e){return this.__data__.has(e)};const ede=Jce,tde=function(e,t){for(var n=-1,r=null==e?0:e.length;++n<r;)if(t(e[n],n,e))return!0;return!1},nde=function(e,t){return e.has(t)},rde=function(e,t,n,r,i,o){var a=1&n,s=e.length,l=t.length;if(s!=l&&!(a&&l>s))return!1;var u=o.get(e),c=o.get(t);if(u&&c)return u==t&&c==e;var d=-1,p=!0,f=2&n?new ede:void 0;for(o.set(e,t),o.set(t,e);++d<s;){var h=e[d],m=t[d];if(r)var g=a?r(m,h,d,t,e,o):r(h,m,d,e,t,o);if(void 0!==g){if(g)continue;p=!1;break}if(f){if(!tde(t,(function(e,t){if(!nde(f,t)&&(h===e||i(h,e,n,r,o)))return f.push(t)}))){p=!1;break}}else if(h!==m&&!i(h,m,n,r,o)){p=!1;break}}return o.delete(e),o.delete(t),p},ide=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e,r){n[++t]=[r,e]})),n},ode=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e){n[++t]=e})),n};var ade=Fse?Fse.prototype:void 0,sde=ade?ade.valueOf:void 0;var lde=Object.prototype.propertyIsEnumerable,ude=Object.getOwnPropertySymbols,cde=ude?function(e){return null==e?[]:(e=Object(e),function(e,t){for(var n=-1,r=null==e?0:e.length,i=0,o=[];++n<r;){var a=e[n];t(a,n,e)&&(o[i++]=a)}return o}(ude(e),(function(t){return lde.call(e,t)})))}:function(){return[]};const dde=cde,pde=function(e){return function(e,t,n){var r=t(e);return $le(e)?r:function(e,t){for(var n=-1,r=t.length,i=e.length;++n<r;)e[i+n]=t[n];return e}(r,n(e))}(e,Jue,dde)};var fde=Object.prototype.hasOwnProperty;const hde=ale(jse,"DataView"),mde=ale(jse,"Promise"),gde=ale(jse,"Set"),vde=ale(jse,"WeakMap");var yde="[object Map]",bde="[object Promise]",wde="[object Set]",_de="[object WeakMap]",xde="[object DataView]",kde=Qse(hde),Ede=Qse(sle),Cde=Qse(mde),Sde=Qse(gde),Ade=Qse(vde),Tde=Hse;(hde&&Tde(new hde(new ArrayBuffer(1)))!=xde||sle&&Tde(new sle)!=yde||mde&&Tde(mde.resolve())!=bde||gde&&Tde(new gde)!=wde||vde&&Tde(new vde)!=_de)&&(Tde=function(e){var t=Hse(e),n="[object Object]"==t?e.constructor:void 0,r=n?Qse(n):"";if(r)switch(r){case kde:return xde;case Ede:return yde;case Cde:return bde;case Sde:return wde;case Ade:return _de}return t});const Ode=Tde;var Pde="[object Arguments]",Dde="[object Array]",Rde="[object Object]",Ide=Object.prototype.hasOwnProperty;const Mde=function(e,t,n,r,i,o){var a=$le(e),s=$le(t),l=a?Dde:Ode(e),u=s?Dde:Ode(t),c=(l=l==Pde?Rde:l)==Rde,d=(u=u==Pde?Rde:u)==Rde,p=l==u;if(p&&Yle(e)){if(!Yle(t))return!1;a=!0,c=!1}if(p&&!c)return o||(o=new vle),a||sue(e)?rde(e,t,n,r,i,o):function(e,t,n,r,i,o,a){switch(n){case"[object DataView]":if(e.byteLength!=t.byteLength||e.byteOffset!=t.byteOffset)return!1;e=e.buffer,t=t.buffer;case"[object ArrayBuffer]":return!(e.byteLength!=t.byteLength||!o(new Tle(e),new Tle(t)));case"[object Boolean]":case"[object Date]":case"[object Number]":return Pse(+e,+t);case"[object Error]":return e.name==t.name&&e.message==t.message;case"[object RegExp]":case"[object String]":return e==t+"";case"[object Map]":var s=ide;case"[object Set]":var l=1&r;if(s||(s=ode),e.size!=t.size&&!l)return!1;var u=a.get(e);if(u)return u==t;r|=2,a.set(e,t);var c=rde(s(e),s(t),r,i,o,a);return a.delete(e),c;case"[object Symbol]":if(sde)return sde.call(e)==sde.call(t)}return!1}(e,t,l,n,r,i,o);if(!(1&n)){var f=c&&Ide.call(e,"__wrapped__"),h=d&&Ide.call(t,"__wrapped__");if(f||h){var m=f?e.value():e,g=h?t.value():t;return o||(o=new vle),i(m,g,n,r,o)}}return!!p&&(o||(o=new vle),function(e,t,n,r,i,o){var a=1&n,s=pde(e),l=s.length;if(l!=pde(t).length&&!a)return!1;for(var u=l;u--;){var c=s[u];if(!(a?c in t:fde.call(t,c)))return!1}var d=o.get(e),p=o.get(t);if(d&&p)return d==t&&p==e;var f=!0;o.set(e,t),o.set(t,e);for(var h=a;++u<l;){var m=e[c=s[u]],g=t[c];if(r)var v=a?r(g,m,c,t,e,o):r(m,g,c,e,t,o);if(!(void 0===v?m===g||i(m,g,n,r,o):v)){f=!1;break}h||(h="constructor"==c)}if(f&&!h){var y=e.constructor,b=t.constructor;y==b||!("constructor"in e)||!("constructor"in t)||"function"==typeof y&&y instanceof y&&"function"==typeof b&&b instanceof b||(f=!1)}return o.delete(e),o.delete(t),f}(e,t,n,r,i,o))},Lde=function e(t,n,r,i,o){return t===n||(null==t||null==n||!jle(t)&&!jle(n)?t!=t&&n!=n:Mde(t,n,r,i,e,o))},Nde=function(e){return e==e&&!Vse(e)},jde=function(e,t){return function(n){return null!=n&&n[e]===t&&(void 0!==t||e in Object(n))}},Fde=function(e){var t=function(e){for(var t=Jue(e),n=t.length;n--;){var r=t[n],i=e[r];t[n]=[r,i,Nde(i)]}return t}(e);return 1==t.length&&t[0][2]?jde(t[0][0],t[0][1]):function(n){return n===e||function(e,t,n,r){var i=n.length,o=i,a=!r;if(null==e)return!o;for(e=Object(e);i--;){var s=n[i];if(a&&s[2]?s[1]!==e[s[0]]:!(s[0]in e))return!1}for(;++i<o;){var l=(s=n[i])[0],u=e[l],c=s[1];if(a&&s[2]){if(void 0===u&&!(l in e))return!1}else{var d=new vle;if(r)var p=r(u,c,l,e,t,d);if(!(void 0===p?Lde(c,u,3,r,d):p))return!1}}return!0}(n,e,t)}};var Bde=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,zde=/^\w*$/;const Ude=function(e,t){if($le(e))return!1;var n=typeof e;return!("number"!=n&&"symbol"!=n&&"boolean"!=n&&null!=e&&!Fue(e))||zde.test(e)||!Bde.test(e)||null!=t&&e in Object(t)};function qde(e,t){if("function"!=typeof e||null!=t&&"function"!=typeof t)throw new TypeError("Expected a function");var n=function(){var r=arguments,i=t?t.apply(this,r):r[0],o=n.cache;if(o.has(i))return o.get(i);var a=e.apply(this,r);return n.cache=o.set(i,a)||o,a};return n.cache=new(qde.Cache||mle),n}qde.Cache=mle;const $de=qde;var Wde=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,Hde=/\\(\\)?/g,Vde=function(e){var t=$de(e,(function(e){return 500===n.size&&n.clear(),e})),n=t.cache;return t}((function(e){var t=[];return 46===e.charCodeAt(0)&&t.push(""),e.replace(Wde,(function(e,n,r,i){t.push(r?i.replace(Hde,"$1"):n||e)})),t}));const Gde=Vde;var Kde=Fse?Fse.prototype:void 0,Yde=Kde?Kde.toString:void 0;const Zde=function e(t){if("string"==typeof t)return t;if($le(t))return Qce(t,e)+"";if(Fue(t))return Yde?Yde.call(t):"";var n=t+"";return"0"==n&&1/t==-1/0?"-0":n},Xde=function(e){return null==e?"":Zde(e)},Qde=function(e,t){return $le(e)?e:Ude(e,t)?[e]:Gde(Xde(e))},Jde=function(e){if("string"==typeof e||Fue(e))return e;var t=e+"";return"0"==t&&1/e==-1/0?"-0":t},epe=function(e,t){for(var n=0,r=(t=Qde(t,e)).length;null!=e&&n<r;)e=e[Jde(t[n++])];return n&&n==r?e:void 0},tpe=function(e,t){return null!=e&&t in Object(e)},npe=function(e,t){return null!=e&&function(e,t,n){for(var r=-1,i=(t=Qde(t,e)).length,o=!1;++r<i;){var a=Jde(t[r]);if(!(o=null!=e&&n(e,a)))break;e=e[a]}return o||++r!=i?o:!!(i=null==e?0:e.length)&&Wle(i)&&pue(a,i)&&($le(e)||qle(e))}(e,t,tpe)},rpe=function(e,t){return Ude(e)&&Nde(t)?jde(Jde(e),t):function(n){var r=function(e,t,n){var r=null==e?void 0:epe(e,t);return void 0===r?n:r}(n,e);return void 0===r&&r===t?npe(n,e):Lde(t,r,3)}},ipe=function(e){return Ude(e)?function(e){return function(t){return null==t?void 0:t[e]}}(Jde(e)):function(e){return function(t){return epe(t,e)}}(e)},ope=function(e,t){var n=-1,r=Hle(e)?Array(e.length):[];return ece(e,(function(e,i,o){r[++n]=t(e,i,o)})),r},ape=function(e,t){return($le(e)?Qce:ope)(e,function(e){return"function"==typeof e?e:null==e?_ue:"object"==typeof e?$le(e)?rpe(e[0],e[1]):Fde(e):ipe(e)}(t))},spe=function(e){var t=e.colors,n=e.onClick,r=e.onSwatchHover,i=(0,cse.ZP)({default:{swatches:{marginRight:"-10px"},swatch:{width:"22px",height:"22px",float:"left",marginRight:"10px",marginBottom:"10px",borderRadius:"4px"},clear:{clear:"both"}}});return o.createElement("div",{style:i.swatches},ape(t,(function(e){return o.createElement(Yce,{key:e,color:e,style:i.swatch,onClick:n,onHover:r,focusStyle:{boxShadow:"0 0 4px "+e}})})),o.createElement("div",{style:i.clear}))};var lpe=function(e){var t=e.onChange,n=e.onSwatchHover,r=e.hex,i=e.colors,a=e.width,s=e.triangle,l=e.styles,u=void 0===l?{}:l,c=e.className,d=void 0===c?"":c,p="transparent"===r,f=function(e,n){Bce(e)&&t({hex:e,source:"hex"},n)},h=(0,cse.ZP)(Due({default:{card:{width:a,background:"#fff",boxShadow:"0 1px rgba(0,0,0,.1)",borderRadius:"6px",position:"relative"},head:{height:"110px",background:r,borderRadius:"6px 6px 0 0",display:"flex",alignItems:"center",justifyContent:"center",position:"relative"},body:{padding:"10px"},label:{fontSize:"18px",color:zce(r),position:"relative"},triangle:{width:"0px",height:"0px",borderStyle:"solid",borderWidth:"0 10px 10px 10px",borderColor:"transparent transparent "+r+" transparent",position:"absolute",top:"-10px",left:"50%",marginLeft:"-10px"},input:{width:"100%",fontSize:"12px",color:"#666",border:"0px",outline:"none",height:"22px",boxShadow:"inset 0 0 0 1px #ddd",borderRadius:"4px",padding:"0 7px",boxSizing:"border-box"}},"hide-triangle":{triangle:{display:"none"}}},u),{"hide-triangle":"hide"===s});return o.createElement("div",{style:h.card,className:"block-picker "+d},o.createElement("div",{style:h.triangle}),o.createElement("div",{style:h.head},p&&o.createElement(mse,{borderRadius:"6px 6px 0 0"}),o.createElement("div",{style:h.label},r)),o.createElement("div",{style:h.body},o.createElement(spe,{colors:i,onClick:f,onSwatchHover:n}),o.createElement(Cse,{style:{input:h.input},value:r,onChange:f})))};lpe.propTypes={width:ie().oneOfType([ie().string,ie().number]),colors:ie().arrayOf(ie().string),triangle:ie().oneOf(["top","hide"]),styles:ie().object},lpe.defaultProps={width:170,colors:["#D9E3F0","#F47373","#697689","#37D67A","#2CCCE4","#555555","#dce775","#ff8a65","#ba68c8"],triangle:"top",styles:{}},Wce(lpe);var upe="#ffcdd2",cpe="#e57373",dpe="#f44336",ppe="#d32f2f",fpe="#b71c1c",hpe="#f8bbd0",mpe="#f06292",gpe="#e91e63",vpe="#c2185b",ype="#880e4f",bpe="#e1bee7",wpe="#ba68c8",_pe="#9c27b0",xpe="#7b1fa2",kpe="#4a148c",Epe="#d1c4e9",Cpe="#9575cd",Spe="#673ab7",Ape="#512da8",Tpe="#311b92",Ope="#c5cae9",Ppe="#7986cb",Dpe="#3f51b5",Rpe="#303f9f",Ipe="#1a237e",Mpe="#bbdefb",Lpe="#64b5f6",Npe="#2196f3",jpe="#1976d2",Fpe="#0d47a1",Bpe="#b3e5fc",zpe="#4fc3f7",Upe="#03a9f4",qpe="#0288d1",$pe="#01579b",Wpe="#b2ebf2",Hpe="#4dd0e1",Vpe="#00bcd4",Gpe="#0097a7",Kpe="#006064",Ype="#b2dfdb",Zpe="#4db6ac",Xpe="#009688",Qpe="#00796b",Jpe="#004d40",efe="#c8e6c9",tfe="#81c784",nfe="#4caf50",rfe="#388e3c",ife="#dcedc8",ofe="#aed581",afe="#8bc34a",sfe="#689f38",lfe="#33691e",ufe="#f0f4c3",cfe="#dce775",dfe="#cddc39",pfe="#afb42b",ffe="#827717",hfe="#fff9c4",mfe="#fff176",gfe="#ffeb3b",vfe="#fbc02d",yfe="#f57f17",bfe="#ffecb3",wfe="#ffd54f",_fe="#ffc107",xfe="#ffa000",kfe="#ff6f00",Efe="#ffe0b2",Cfe="#ffb74d",Sfe="#ff9800",Afe="#f57c00",Tfe="#e65100",Ofe="#ffccbc",Pfe="#ff8a65",Dfe="#ff5722",Rfe="#e64a19",Ife="#bf360c",Mfe="#d7ccc8",Lfe="#a1887f",Nfe="#795548",jfe="#5d4037",Ffe="#3e2723",Bfe="#cfd8dc",zfe="#90a4ae",Ufe="#607d8b",qfe="#455a64",$fe="#263238",Wfe=function(e){var t=e.color,n=e.onClick,r=e.onSwatchHover,i=e.hover,a=e.active,s=e.circleSize,l=e.circleSpacing,u=(0,cse.ZP)({default:{swatch:{width:s,height:s,marginRight:l,marginBottom:l,transform:"scale(1)",transition:"100ms transform ease"},Swatch:{borderRadius:"50%",background:"transparent",boxShadow:"inset 0 0 0 "+(s/2+1)+"px "+t,transition:"100ms box-shadow ease"}},hover:{swatch:{transform:"scale(1.2)"}},active:{Swatch:{boxShadow:"inset 0 0 0 3px "+t}}},{hover:i,active:a});return o.createElement("div",{style:u.swatch},o.createElement(Yce,{style:u.Swatch,color:t,onClick:n,onHover:r,focusStyle:{boxShadow:u.Swatch.boxShadow+", 0 0 5px "+t}}))};Wfe.defaultProps={circleSize:28,circleSpacing:14};const Hfe=(0,cse.tz)(Wfe);var Vfe=function(e){var t=e.width,n=e.onChange,r=e.onSwatchHover,i=e.colors,a=e.hex,s=e.circleSize,l=e.styles,u=void 0===l?{}:l,c=e.circleSpacing,d=e.className,p=void 0===d?"":d,f=(0,cse.ZP)(Due({default:{card:{width:t,display:"flex",flexWrap:"wrap",marginRight:-c,marginBottom:-c}}},u)),h=function(e,t){return n({hex:e,source:"hex"},t)};return o.createElement("div",{style:f.card,className:"circle-picker "+p},ape(i,(function(e){return o.createElement(Hfe,{key:e,color:e,onClick:h,onSwatchHover:r,active:a===e.toLowerCase(),circleSize:s,circleSpacing:c})})))};Vfe.propTypes={width:ie().oneOfType([ie().string,ie().number]),circleSize:ie().number,circleSpacing:ie().number,styles:ie().object},Vfe.defaultProps={width:252,circleSize:28,circleSpacing:14,colors:[dpe,gpe,_pe,Spe,Dpe,Npe,Upe,Vpe,Xpe,nfe,afe,dfe,gfe,_fe,Sfe,Dfe,Nfe,Ufe],styles:{}},Wce(Vfe);const Gfe=function(e){return void 0===e};var Kfe=__webpack_require__(16331),Yfe=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),Zfe=function(e){function t(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var n=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this));return n.toggleViews=function(){"hex"===n.state.view?n.setState({view:"rgb"}):"rgb"===n.state.view?n.setState({view:"hsl"}):"hsl"===n.state.view&&(1===n.props.hsl.a?n.setState({view:"hex"}):n.setState({view:"rgb"}))},n.handleChange=function(e,t){e.hex?Bce(e.hex)&&n.props.onChange({hex:e.hex,source:"hex"},t):e.r||e.g||e.b?n.props.onChange({r:e.r||n.props.rgb.r,g:e.g||n.props.rgb.g,b:e.b||n.props.rgb.b,source:"rgb"},t):e.a?(e.a<0?e.a=0:e.a>1&&(e.a=1),n.props.onChange({h:n.props.hsl.h,s:n.props.hsl.s,l:n.props.hsl.l,a:Math.round(100*e.a)/100,source:"rgb"},t)):(e.h||e.s||e.l)&&("string"==typeof e.s&&e.s.includes("%")&&(e.s=e.s.replace("%","")),"string"==typeof e.l&&e.l.includes("%")&&(e.l=e.l.replace("%","")),1==e.s?e.s=.01:1==e.l&&(e.l=.01),n.props.onChange({h:e.h||n.props.hsl.h,s:Number(Gfe(e.s)?n.props.hsl.s:e.s),l:Number(Gfe(e.l)?n.props.hsl.l:e.l),source:"hsl"},t))},n.showHighlight=function(e){e.currentTarget.style.background="#eee"},n.hideHighlight=function(e){e.currentTarget.style.background="transparent"},1!==e.hsl.a&&"hex"===e.view?n.state={view:"rgb"}:n.state={view:e.view},n}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),Yfe(t,[{key:"render",value:function(){var e=this,t=(0,cse.ZP)({default:{wrap:{paddingTop:"16px",display:"flex"},fields:{flex:"1",display:"flex",marginLeft:"-6px"},field:{paddingLeft:"6px",width:"100%"},alpha:{paddingLeft:"6px",width:"100%"},toggle:{width:"32px",textAlign:"right",position:"relative"},icon:{marginRight:"-4px",marginTop:"12px",cursor:"pointer",position:"relative"},iconHighlight:{position:"absolute",width:"24px",height:"28px",background:"#eee",borderRadius:"4px",top:"10px",left:"12px",display:"none"},input:{fontSize:"11px",color:"#333",width:"100%",borderRadius:"2px",border:"none",boxShadow:"inset 0 0 0 1px #dadada",height:"21px",textAlign:"center"},label:{textTransform:"uppercase",fontSize:"11px",lineHeight:"11px",color:"#969696",textAlign:"center",display:"block",marginTop:"12px"},svg:{fill:"#333",width:"24px",height:"24px",border:"1px transparent solid",borderRadius:"5px"}},disableAlpha:{alpha:{display:"none"}}},this.props,this.state),n=void 0;return"hex"===this.state.view?n=o.createElement("div",{style:t.fields,className:"flexbox-fix"},o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"hex",value:this.props.hex,onChange:this.handleChange}))):"rgb"===this.state.view?n=o.createElement("div",{style:t.fields,className:"flexbox-fix"},o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"r",value:this.props.rgb.r,onChange:this.handleChange})),o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"g",value:this.props.rgb.g,onChange:this.handleChange})),o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"b",value:this.props.rgb.b,onChange:this.handleChange})),o.createElement("div",{style:t.alpha},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"a",value:this.props.rgb.a,arrowOffset:.01,onChange:this.handleChange}))):"hsl"===this.state.view&&(n=o.createElement("div",{style:t.fields,className:"flexbox-fix"},o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"h",value:Math.round(this.props.hsl.h),onChange:this.handleChange})),o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"s",value:Math.round(100*this.props.hsl.s)+"%",onChange:this.handleChange})),o.createElement("div",{style:t.field},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"l",value:Math.round(100*this.props.hsl.l)+"%",onChange:this.handleChange})),o.createElement("div",{style:t.alpha},o.createElement(Cse,{style:{input:t.input,label:t.label},label:"a",value:this.props.hsl.a,arrowOffset:.01,onChange:this.handleChange})))),o.createElement("div",{style:t.wrap,className:"flexbox-fix"},n,o.createElement("div",{style:t.toggle},o.createElement("div",{style:t.icon,onClick:this.toggleViews,ref:function(t){return e.icon=t}},o.createElement(Kfe.Z,{style:t.svg,onMouseOver:this.showHighlight,onMouseEnter:this.showHighlight,onMouseOut:this.hideHighlight}))))}}],[{key:"getDerivedStateFromProps",value:function(e,t){return 1!==e.hsl.a&&"hex"===t.view?{view:"rgb"}:null}}]),t}(o.Component);Zfe.defaultProps={view:"hex"};const Xfe=Zfe,Qfe=function(){var e=(0,cse.ZP)({default:{picker:{width:"12px",height:"12px",borderRadius:"6px",transform:"translate(-6px, -1px)",backgroundColor:"rgb(248, 248, 248)",boxShadow:"0 1px 4px 0 rgba(0, 0, 0, 0.37)"}}});return o.createElement("div",{style:e.picker})},Jfe=function(){var e=(0,cse.ZP)({default:{picker:{width:"12px",height:"12px",borderRadius:"6px",boxShadow:"inset 0 0 0 1px #fff",transform:"translate(-6px, -6px)"}}});return o.createElement("div",{style:e.picker})};var ehe=function(e){var t=e.width,n=e.onChange,r=e.disableAlpha,i=e.rgb,a=e.hsl,s=e.hsv,l=e.hex,u=e.renderers,c=e.styles,d=void 0===c?{}:c,p=e.className,f=void 0===p?"":p,h=e.defaultView,m=(0,cse.ZP)(Due({default:{picker:{width:t,background:"#fff",borderRadius:"2px",boxShadow:"0 0 2px rgba(0,0,0,.3), 0 4px 8px rgba(0,0,0,.3)",boxSizing:"initial",fontFamily:"Menlo"},saturation:{width:"100%",paddingBottom:"55%",position:"relative",borderRadius:"2px 2px 0 0",overflow:"hidden"},Saturation:{radius:"2px 2px 0 0"},body:{padding:"16px 16px 12px"},controls:{display:"flex"},color:{width:"32px"},swatch:{marginTop:"6px",width:"16px",height:"16px",borderRadius:"8px",position:"relative",overflow:"hidden"},active:{absolute:"0px 0px 0px 0px",borderRadius:"8px",boxShadow:"inset 0 0 0 1px rgba(0,0,0,.1)",background:"rgba("+i.r+", "+i.g+", "+i.b+", "+i.a+")",zIndex:"2"},toggles:{flex:"1"},hue:{height:"10px",position:"relative",marginBottom:"8px"},Hue:{radius:"2px"},alpha:{height:"10px",position:"relative"},Alpha:{radius:"2px"}},disableAlpha:{color:{width:"22px"},alpha:{display:"none"},hue:{marginBottom:"0px"},swatch:{width:"10px",height:"10px",marginTop:"0px"}}},d),{disableAlpha:r});return o.createElement("div",{style:m.picker,className:"chrome-picker "+f},o.createElement("div",{style:m.saturation},o.createElement(Yue,{style:m.Saturation,hsl:a,hsv:s,pointer:Jfe,onChange:n})),o.createElement("div",{style:m.body},o.createElement("div",{style:m.controls,className:"flexbox-fix"},o.createElement("div",{style:m.color},o.createElement("div",{style:m.swatch},o.createElement("div",{style:m.active}),o.createElement(mse,{renderers:u}))),o.createElement("div",{style:m.toggles},o.createElement("div",{style:m.hue},o.createElement(Ose,{style:m.Hue,hsl:a,pointer:Qfe,onChange:n})),o.createElement("div",{style:m.alpha},o.createElement(wse,{style:m.Alpha,rgb:i,hsl:a,pointer:Qfe,renderers:u,onChange:n})))),o.createElement(Xfe,{rgb:i,hsl:a,hex:l,view:h,onChange:n,disableAlpha:r})))};ehe.propTypes={width:ie().oneOfType([ie().string,ie().number]),disableAlpha:ie().bool,styles:ie().object,defaultView:ie().oneOf(["hex","rgb","hsl"])},ehe.defaultProps={width:225,disableAlpha:!1,styles:{}};const the=Wce(ehe),nhe=function(e){var t=e.color,n=e.onClick,r=void 0===n?function(){}:n,i=e.onSwatchHover,a=e.active,s=(0,cse.ZP)({default:{color:{background:t,width:"15px",height:"15px",float:"left",marginRight:"5px",marginBottom:"5px",position:"relative",cursor:"pointer"},dot:{absolute:"5px 5px 5px 5px",background:zce(t),borderRadius:"50%",opacity:"0"}},active:{dot:{opacity:"1"}},"color-#FFFFFF":{color:{boxShadow:"inset 0 0 0 1px #ddd"},dot:{background:"#000"}},transparent:{dot:{background:"#000"}}},{active:a,"color-#FFFFFF":"#FFFFFF"===t,transparent:"transparent"===t});return o.createElement(Yce,{style:s.color,color:t,onClick:r,onHover:i,focusStyle:{boxShadow:"0 0 4px "+t}},o.createElement("div",{style:s.dot}))},rhe=function(e){var t=e.hex,n=e.rgb,r=e.onChange,i=(0,cse.ZP)({default:{fields:{display:"flex",paddingBottom:"6px",paddingRight:"5px",position:"relative"},active:{position:"absolute",top:"6px",left:"5px",height:"9px",width:"9px",background:t},HEXwrap:{flex:"6",position:"relative"},HEXinput:{width:"80%",padding:"0px",paddingLeft:"20%",border:"none",outline:"none",background:"none",fontSize:"12px",color:"#333",height:"16px"},HEXlabel:{display:"none"},RGBwrap:{flex:"3",position:"relative"},RGBinput:{width:"70%",padding:"0px",paddingLeft:"30%",border:"none",outline:"none",background:"none",fontSize:"12px",color:"#333",height:"16px"},RGBlabel:{position:"absolute",top:"3px",left:"0px",lineHeight:"16px",textTransform:"uppercase",fontSize:"12px",color:"#999"}}}),a=function(e,t){e.r||e.g||e.b?r({r:e.r||n.r,g:e.g||n.g,b:e.b||n.b,source:"rgb"},t):r({hex:e.hex,source:"hex"},t)};return o.createElement("div",{style:i.fields,className:"flexbox-fix"},o.createElement("div",{style:i.active}),o.createElement(Cse,{style:{wrap:i.HEXwrap,input:i.HEXinput,label:i.HEXlabel},label:"hex",value:t,onChange:a}),o.createElement(Cse,{style:{wrap:i.RGBwrap,input:i.RGBinput,label:i.RGBlabel},label:"r",value:n.r,onChange:a}),o.createElement(Cse,{style:{wrap:i.RGBwrap,input:i.RGBinput,label:i.RGBlabel},label:"g",value:n.g,onChange:a}),o.createElement(Cse,{style:{wrap:i.RGBwrap,input:i.RGBinput,label:i.RGBlabel},label:"b",value:n.b,onChange:a}))};var ihe=function(e){var t=e.onChange,n=e.onSwatchHover,r=e.colors,i=e.hex,a=e.rgb,s=e.styles,l=void 0===s?{}:s,u=e.className,c=void 0===u?"":u,d=(0,cse.ZP)(Due({default:{Compact:{background:"#f6f6f6",radius:"4px"},compact:{paddingTop:"5px",paddingLeft:"5px",boxSizing:"initial",width:"240px"},clear:{clear:"both"}}},l)),p=function(e,n){e.hex?Bce(e.hex)&&t({hex:e.hex,source:"hex"},n):t(e,n)};return o.createElement(Iue,{style:d.Compact,styles:l},o.createElement("div",{style:d.compact,className:"compact-picker "+c},o.createElement("div",null,ape(r,(function(e){return o.createElement(nhe,{key:e,color:e,active:e.toLowerCase()===i,onClick:p,onSwatchHover:n})})),o.createElement("div",{style:d.clear})),o.createElement(rhe,{hex:i,rgb:a,onChange:p})))};ihe.propTypes={colors:ie().arrayOf(ie().string),styles:ie().object},ihe.defaultProps={colors:["#4D4D4D","#999999","#FFFFFF","#F44E3B","#FE9200","#FCDC00","#DBDF00","#A4DD00","#68CCCA","#73D8FF","#AEA1FF","#FDA1FF","#333333","#808080","#cccccc","#D33115","#E27300","#FCC400","#B0BC00","#68BC00","#16A5A5","#009CE0","#7B64FF","#FA28FF","#000000","#666666","#B3B3B3","#9F0500","#C45100","#FB9E00","#808900","#194D33","#0C797D","#0062B1","#653294","#AB149E"],styles:{}},Wce(ihe);const ohe=(0,cse.tz)((function(e){var t=e.hover,n=e.color,r=e.onClick,i=e.onSwatchHover,a={position:"relative",zIndex:"2",outline:"2px solid #fff",boxShadow:"0 0 5px 2px rgba(0,0,0,0.25)"},s=(0,cse.ZP)({default:{swatch:{width:"25px",height:"25px",fontSize:"0"}},hover:{swatch:a}},{hover:t});return o.createElement("div",{style:s.swatch},o.createElement(Yce,{color:n,onClick:r,onHover:i,focusStyle:a}))}));var ahe=function(e){var t=e.width,n=e.colors,r=e.onChange,i=e.onSwatchHover,a=e.triangle,s=e.styles,l=void 0===s?{}:s,u=e.className,c=void 0===u?"":u,d=(0,cse.ZP)(Due({default:{card:{width:t,background:"#fff",border:"1px solid rgba(0,0,0,0.2)",boxShadow:"0 3px 12px rgba(0,0,0,0.15)",borderRadius:"4px",position:"relative",padding:"5px",display:"flex",flexWrap:"wrap"},triangle:{position:"absolute",border:"7px solid transparent",borderBottomColor:"#fff"},triangleShadow:{position:"absolute",border:"8px solid transparent",borderBottomColor:"rgba(0,0,0,0.15)"}},"hide-triangle":{triangle:{display:"none"},triangleShadow:{display:"none"}},"top-left-triangle":{triangle:{top:"-14px",left:"10px"},triangleShadow:{top:"-16px",left:"9px"}},"top-right-triangle":{triangle:{top:"-14px",right:"10px"},triangleShadow:{top:"-16px",right:"9px"}},"bottom-left-triangle":{triangle:{top:"35px",left:"10px",transform:"rotate(180deg)"},triangleShadow:{top:"37px",left:"9px",transform:"rotate(180deg)"}},"bottom-right-triangle":{triangle:{top:"35px",right:"10px",transform:"rotate(180deg)"},triangleShadow:{top:"37px",right:"9px",transform:"rotate(180deg)"}}},l),{"hide-triangle":"hide"===a,"top-left-triangle":"top-left"===a,"top-right-triangle":"top-right"===a,"bottom-left-triangle":"bottom-left"===a,"bottom-right-triangle":"bottom-right"===a}),p=function(e,t){return r({hex:e,source:"hex"},t)};return o.createElement("div",{style:d.card,className:"github-picker "+c},o.createElement("div",{style:d.triangleShadow}),o.createElement("div",{style:d.triangle}),ape(n,(function(e){return o.createElement(ohe,{color:e,key:e,onClick:p,onSwatchHover:i})})))};ahe.propTypes={width:ie().oneOfType([ie().string,ie().number]),colors:ie().arrayOf(ie().string),triangle:ie().oneOf(["hide","top-left","top-right","bottom-left","bottom-right"]),styles:ie().object},ahe.defaultProps={width:200,colors:["#B80000","#DB3E00","#FCCB00","#008B02","#006B76","#1273DE","#004DCF","#5300EB","#EB9694","#FAD0C3","#FEF3BD","#C1E1C5","#BEDADC","#C4DEF6","#BED3F3","#D4C4FB"],triangle:"top-left",styles:{}},Wce(ahe);var she=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},lhe=function(e){var t=e.width,n=e.height,r=e.onChange,i=e.hsl,a=e.direction,s=e.pointer,l=e.styles,u=void 0===l?{}:l,c=e.className,d=void 0===c?"":c,p=(0,cse.ZP)(Due({default:{picker:{position:"relative",width:t,height:n},hue:{radius:"2px"}}},u));return o.createElement("div",{style:p.picker,className:"hue-picker "+d},o.createElement(Ose,she({},p.hue,{hsl:i,pointer:s,onChange:function(e){return r({a:1,h:e.h,l:.5,s:1})},direction:a})))};lhe.propTypes={styles:ie().object},lhe.defaultProps={width:"316px",height:"16px",direction:"horizontal",pointer:function(e){var t=e.direction,n=(0,cse.ZP)({default:{picker:{width:"18px",height:"18px",borderRadius:"50%",transform:"translate(-9px, -1px)",backgroundColor:"rgb(248, 248, 248)",boxShadow:"0 1px 4px 0 rgba(0, 0, 0, 0.37)"}},vertical:{picker:{transform:"translate(-3px, -9px)"}}},{vertical:"vertical"===t});return o.createElement("div",{style:n.picker})},styles:{}},Wce(lhe),Wce((function(e){var t=e.onChange,n=e.hex,r=e.rgb,i=e.styles,a=void 0===i?{}:i,s=e.className,l=void 0===s?"":s,u=(0,cse.ZP)(Due({default:{material:{width:"98px",height:"98px",padding:"16px",fontFamily:"Roboto"},HEXwrap:{position:"relative"},HEXinput:{width:"100%",marginTop:"12px",fontSize:"15px",color:"#333",padding:"0px",border:"0px",borderBottom:"2px solid "+n,outline:"none",height:"30px"},HEXlabel:{position:"absolute",top:"0px",left:"0px",fontSize:"11px",color:"#999999",textTransform:"capitalize"},Hex:{style:{}},RGBwrap:{position:"relative"},RGBinput:{width:"100%",marginTop:"12px",fontSize:"15px",color:"#333",padding:"0px",border:"0px",borderBottom:"1px solid #eee",outline:"none",height:"30px"},RGBlabel:{position:"absolute",top:"0px",left:"0px",fontSize:"11px",color:"#999999",textTransform:"capitalize"},split:{display:"flex",marginRight:"-10px",paddingTop:"11px"},third:{flex:"1",paddingRight:"10px"}}},a)),c=function(e,n){e.hex?Bce(e.hex)&&t({hex:e.hex,source:"hex"},n):(e.r||e.g||e.b)&&t({r:e.r||r.r,g:e.g||r.g,b:e.b||r.b,source:"rgb"},n)};return o.createElement(Iue,{styles:a},o.createElement("div",{style:u.material,className:"material-picker "+l},o.createElement(Cse,{style:{wrap:u.HEXwrap,input:u.HEXinput,label:u.HEXlabel},label:"hex",value:n,onChange:c}),o.createElement("div",{style:u.split,className:"flexbox-fix"},o.createElement("div",{style:u.third},o.createElement(Cse,{style:{wrap:u.RGBwrap,input:u.RGBinput,label:u.RGBlabel},label:"r",value:r.r,onChange:c})),o.createElement("div",{style:u.third},o.createElement(Cse,{style:{wrap:u.RGBwrap,input:u.RGBinput,label:u.RGBlabel},label:"g",value:r.g,onChange:c})),o.createElement("div",{style:u.third},o.createElement(Cse,{style:{wrap:u.RGBwrap,input:u.RGBinput,label:u.RGBlabel},label:"b",value:r.b,onChange:c})))))}));const uhe=function(e){var t=e.onChange,n=e.rgb,r=e.hsv,i=e.hex,a=(0,cse.ZP)({default:{fields:{paddingTop:"5px",paddingBottom:"9px",width:"80px",position:"relative"},divider:{height:"5px"},RGBwrap:{position:"relative"},RGBinput:{marginLeft:"40%",width:"40%",height:"18px",border:"1px solid #888888",boxShadow:"inset 0 1px 1px rgba(0,0,0,.1), 0 1px 0 0 #ECECEC",marginBottom:"5px",fontSize:"13px",paddingLeft:"3px",marginRight:"10px"},RGBlabel:{left:"0px",top:"0px",width:"34px",textTransform:"uppercase",fontSize:"13px",height:"18px",lineHeight:"22px",position:"absolute"},HEXwrap:{position:"relative"},HEXinput:{marginLeft:"20%",width:"80%",height:"18px",border:"1px solid #888888",boxShadow:"inset 0 1px 1px rgba(0,0,0,.1), 0 1px 0 0 #ECECEC",marginBottom:"6px",fontSize:"13px",paddingLeft:"3px"},HEXlabel:{position:"absolute",top:"0px",left:"0px",width:"14px",textTransform:"uppercase",fontSize:"13px",height:"18px",lineHeight:"22px"},fieldSymbols:{position:"absolute",top:"5px",right:"-7px",fontSize:"13px"},symbol:{height:"20px",lineHeight:"22px",paddingBottom:"7px"}}}),s=function(e,i){e["#"]?Bce(e["#"])&&t({hex:e["#"],source:"hex"},i):e.r||e.g||e.b?t({r:e.r||n.r,g:e.g||n.g,b:e.b||n.b,source:"rgb"},i):(e.h||e.s||e.v)&&t({h:e.h||r.h,s:e.s||r.s,v:e.v||r.v,source:"hsv"},i)};return o.createElement("div",{style:a.fields},o.createElement(Cse,{style:{wrap:a.RGBwrap,input:a.RGBinput,label:a.RGBlabel},label:"h",value:Math.round(r.h),onChange:s}),o.createElement(Cse,{style:{wrap:a.RGBwrap,input:a.RGBinput,label:a.RGBlabel},label:"s",value:Math.round(100*r.s),onChange:s}),o.createElement(Cse,{style:{wrap:a.RGBwrap,input:a.RGBinput,label:a.RGBlabel},label:"v",value:Math.round(100*r.v),onChange:s}),o.createElement("div",{style:a.divider}),o.createElement(Cse,{style:{wrap:a.RGBwrap,input:a.RGBinput,label:a.RGBlabel},label:"r",value:n.r,onChange:s}),o.createElement(Cse,{style:{wrap:a.RGBwrap,input:a.RGBinput,label:a.RGBlabel},label:"g",value:n.g,onChange:s}),o.createElement(Cse,{style:{wrap:a.RGBwrap,input:a.RGBinput,label:a.RGBlabel},label:"b",value:n.b,onChange:s}),o.createElement("div",{style:a.divider}),o.createElement(Cse,{style:{wrap:a.HEXwrap,input:a.HEXinput,label:a.HEXlabel},label:"#",value:i.replace("#",""),onChange:s}),o.createElement("div",{style:a.fieldSymbols},o.createElement("div",{style:a.symbol},"°"),o.createElement("div",{style:a.symbol},"%"),o.createElement("div",{style:a.symbol},"%")))},che=function(e){var t=e.hsl,n=(0,cse.ZP)({default:{picker:{width:"12px",height:"12px",borderRadius:"6px",boxShadow:"inset 0 0 0 1px #fff",transform:"translate(-6px, -6px)"}},"black-outline":{picker:{boxShadow:"inset 0 0 0 1px #000"}}},{"black-outline":t.l>.5});return o.createElement("div",{style:n.picker})},dhe=function(){var e=(0,cse.ZP)({default:{triangle:{width:0,height:0,borderStyle:"solid",borderWidth:"4px 0 4px 6px",borderColor:"transparent transparent transparent #fff",position:"absolute",top:"1px",left:"1px"},triangleBorder:{width:0,height:0,borderStyle:"solid",borderWidth:"5px 0 5px 8px",borderColor:"transparent transparent transparent #555"},left:{Extend:"triangleBorder",transform:"translate(-13px, -4px)"},leftInside:{Extend:"triangle",transform:"translate(-8px, -5px)"},right:{Extend:"triangleBorder",transform:"translate(20px, -14px) rotate(180deg)"},rightInside:{Extend:"triangle",transform:"translate(-8px, -5px)"}}});return o.createElement("div",{style:e.pointer},o.createElement("div",{style:e.left},o.createElement("div",{style:e.leftInside})),o.createElement("div",{style:e.right},o.createElement("div",{style:e.rightInside})))},phe=function(e){var t=e.onClick,n=e.label,r=e.children,i=e.active,a=(0,cse.ZP)({default:{button:{backgroundImage:"linear-gradient(-180deg, #FFFFFF 0%, #E6E6E6 100%)",border:"1px solid #878787",borderRadius:"2px",height:"20px",boxShadow:"0 1px 0 0 #EAEAEA",fontSize:"14px",color:"#000",lineHeight:"20px",textAlign:"center",marginBottom:"10px",cursor:"pointer"}},active:{button:{boxShadow:"0 0 0 1px #878787"}}},{active:i});return o.createElement("div",{style:a.button,onClick:t},n||r)},fhe=function(e){var t=e.rgb,n=e.currentColor,r=(0,cse.ZP)({default:{swatches:{border:"1px solid #B3B3B3",borderBottom:"1px solid #F0F0F0",marginBottom:"2px",marginTop:"1px"},new:{height:"34px",background:"rgb("+t.r+","+t.g+", "+t.b+")",boxShadow:"inset 1px 0 0 #000, inset -1px 0 0 #000, inset 0 1px 0 #000"},current:{height:"34px",background:n,boxShadow:"inset 1px 0 0 #000, inset -1px 0 0 #000, inset 0 -1px 0 #000"},label:{fontSize:"14px",color:"#000",textAlign:"center"}}});return o.createElement("div",null,o.createElement("div",{style:r.label},"new"),o.createElement("div",{style:r.swatches},o.createElement("div",{style:r.new}),o.createElement("div",{style:r.current})),o.createElement("div",{style:r.label},"current"))};var hhe=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),mhe=function(e){function t(e){!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,t);var n=function(e,t){if(!e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return!t||"object"!=typeof t&&"function"!=typeof t?e:t}(this,(t.__proto__||Object.getPrototypeOf(t)).call(this));return n.state={currentColor:e.hex},n}return function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function, not "+typeof t);e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,enumerable:!1,writable:!0,configurable:!0}}),t&&(Object.setPrototypeOf?Object.setPrototypeOf(e,t):e.__proto__=t)}(t,e),hhe(t,[{key:"render",value:function(){var e=this.props,t=e.styles,n=void 0===t?{}:t,r=e.className,i=void 0===r?"":r,a=(0,cse.ZP)(Due({default:{picker:{background:"#DCDCDC",borderRadius:"4px",boxShadow:"0 0 0 1px rgba(0,0,0,.25), 0 8px 16px rgba(0,0,0,.15)",boxSizing:"initial",width:"513px"},head:{backgroundImage:"linear-gradient(-180deg, #F0F0F0 0%, #D4D4D4 100%)",borderBottom:"1px solid #B1B1B1",boxShadow:"inset 0 1px 0 0 rgba(255,255,255,.2), inset 0 -1px 0 0 rgba(0,0,0,.02)",height:"23px",lineHeight:"24px",borderRadius:"4px 4px 0 0",fontSize:"13px",color:"#4D4D4D",textAlign:"center"},body:{padding:"15px 15px 0",display:"flex"},saturation:{width:"256px",height:"256px",position:"relative",border:"2px solid #B3B3B3",borderBottom:"2px solid #F0F0F0",overflow:"hidden"},hue:{position:"relative",height:"256px",width:"19px",marginLeft:"10px",border:"2px solid #B3B3B3",borderBottom:"2px solid #F0F0F0"},controls:{width:"180px",marginLeft:"10px"},top:{display:"flex"},previews:{width:"60px"},actions:{flex:"1",marginLeft:"20px"}}},n));return o.createElement("div",{style:a.picker,className:"photoshop-picker "+i},o.createElement("div",{style:a.head},this.props.header),o.createElement("div",{style:a.body,className:"flexbox-fix"},o.createElement("div",{style:a.saturation},o.createElement(Yue,{hsl:this.props.hsl,hsv:this.props.hsv,pointer:che,onChange:this.props.onChange})),o.createElement("div",{style:a.hue},o.createElement(Ose,{direction:"vertical",hsl:this.props.hsl,pointer:dhe,onChange:this.props.onChange})),o.createElement("div",{style:a.controls},o.createElement("div",{style:a.top,className:"flexbox-fix"},o.createElement("div",{style:a.previews},o.createElement(fhe,{rgb:this.props.rgb,currentColor:this.state.currentColor})),o.createElement("div",{style:a.actions},o.createElement(phe,{label:"OK",onClick:this.props.onAccept,active:!0}),o.createElement(phe,{label:"Cancel",onClick:this.props.onCancel}),o.createElement(uhe,{onChange:this.props.onChange,rgb:this.props.rgb,hsv:this.props.hsv,hex:this.props.hex}))))))}}]),t}(o.Component);mhe.propTypes={header:ie().string,styles:ie().object},mhe.defaultProps={header:"Color Picker",styles:{}},Wce(mhe);const ghe=function(e){var t=e.onChange,n=e.rgb,r=e.hsl,i=e.hex,a=e.disableAlpha,s=(0,cse.ZP)({default:{fields:{display:"flex",paddingTop:"4px"},single:{flex:"1",paddingLeft:"6px"},alpha:{flex:"1",paddingLeft:"6px"},double:{flex:"2"},input:{width:"80%",padding:"4px 10% 3px",border:"none",boxShadow:"inset 0 0 0 1px #ccc",fontSize:"11px"},label:{display:"block",textAlign:"center",fontSize:"11px",color:"#222",paddingTop:"3px",paddingBottom:"4px",textTransform:"capitalize"}},disableAlpha:{alpha:{display:"none"}}},{disableAlpha:a}),l=function(e,i){e.hex?Bce(e.hex)&&t({hex:e.hex,source:"hex"},i):e.r||e.g||e.b?t({r:e.r||n.r,g:e.g||n.g,b:e.b||n.b,a:n.a,source:"rgb"},i):e.a&&(e.a<0?e.a=0:e.a>100&&(e.a=100),e.a/=100,t({h:r.h,s:r.s,l:r.l,a:e.a,source:"rgb"},i))};return o.createElement("div",{style:s.fields,className:"flexbox-fix"},o.createElement("div",{style:s.double},o.createElement(Cse,{style:{input:s.input,label:s.label},label:"hex",value:i.replace("#",""),onChange:l})),o.createElement("div",{style:s.single},o.createElement(Cse,{style:{input:s.input,label:s.label},label:"r",value:n.r,onChange:l,dragLabel:"true",dragMax:"255"})),o.createElement("div",{style:s.single},o.createElement(Cse,{style:{input:s.input,label:s.label},label:"g",value:n.g,onChange:l,dragLabel:"true",dragMax:"255"})),o.createElement("div",{style:s.single},o.createElement(Cse,{style:{input:s.input,label:s.label},label:"b",value:n.b,onChange:l,dragLabel:"true",dragMax:"255"})),o.createElement("div",{style:s.alpha},o.createElement(Cse,{style:{input:s.input,label:s.label},label:"a",value:Math.round(100*n.a),onChange:l,dragLabel:"true",dragMax:"100"})))};var vhe=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},yhe=function(e){var t=e.colors,n=e.onClick,r=void 0===n?function(){}:n,i=e.onSwatchHover,a=(0,cse.ZP)({default:{colors:{margin:"0 -10px",padding:"10px 0 0 10px",borderTop:"1px solid #eee",display:"flex",flexWrap:"wrap",position:"relative"},swatchWrap:{width:"16px",height:"16px",margin:"0 10px 10px 0"},swatch:{borderRadius:"3px",boxShadow:"inset 0 0 0 1px rgba(0,0,0,.15)"}},"no-presets":{colors:{display:"none"}}},{"no-presets":!t||!t.length}),s=function(e,t){r({hex:e,source:"hex"},t)};return o.createElement("div",{style:a.colors,className:"flexbox-fix"},t.map((function(e){var t="string"==typeof e?{color:e}:e,n=""+t.color+(t.title||"");return o.createElement("div",{key:n,style:a.swatchWrap},o.createElement(Yce,vhe({},t,{style:a.swatch,onClick:s,onHover:i,focusStyle:{boxShadow:"inset 0 0 0 1px rgba(0,0,0,.15), 0 0 4px "+t.color}})))})))};yhe.propTypes={colors:ie().arrayOf(ie().oneOfType([ie().string,ie().shape({color:ie().string,title:ie().string})])).isRequired};const bhe=yhe;var whe=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},_he=function(e){var t=e.width,n=e.rgb,r=e.hex,i=e.hsv,a=e.hsl,s=e.onChange,l=e.onSwatchHover,u=e.disableAlpha,c=e.presetColors,d=e.renderers,p=e.styles,f=void 0===p?{}:p,h=e.className,m=void 0===h?"":h,g=(0,cse.ZP)(Due({default:whe({picker:{width:t,padding:"10px 10px 0",boxSizing:"initial",background:"#fff",borderRadius:"4px",boxShadow:"0 0 0 1px rgba(0,0,0,.15), 0 8px 16px rgba(0,0,0,.15)"},saturation:{width:"100%",paddingBottom:"75%",position:"relative",overflow:"hidden"},Saturation:{radius:"3px",shadow:"inset 0 0 0 1px rgba(0,0,0,.15), inset 0 0 4px rgba(0,0,0,.25)"},controls:{display:"flex"},sliders:{padding:"4px 0",flex:"1"},color:{width:"24px",height:"24px",position:"relative",marginTop:"4px",marginLeft:"4px",borderRadius:"3px"},activeColor:{absolute:"0px 0px 0px 0px",borderRadius:"2px",background:"rgba("+n.r+","+n.g+","+n.b+","+n.a+")",boxShadow:"inset 0 0 0 1px rgba(0,0,0,.15), inset 0 0 4px rgba(0,0,0,.25)"},hue:{position:"relative",height:"10px",overflow:"hidden"},Hue:{radius:"2px",shadow:"inset 0 0 0 1px rgba(0,0,0,.15), inset 0 0 4px rgba(0,0,0,.25)"},alpha:{position:"relative",height:"10px",marginTop:"4px",overflow:"hidden"},Alpha:{radius:"2px",shadow:"inset 0 0 0 1px rgba(0,0,0,.15), inset 0 0 4px rgba(0,0,0,.25)"}},f),disableAlpha:{color:{height:"10px"},hue:{height:"10px"},alpha:{display:"none"}}},f),{disableAlpha:u});return o.createElement("div",{style:g.picker,className:"sketch-picker "+m},o.createElement("div",{style:g.saturation},o.createElement(Yue,{style:g.Saturation,hsl:a,hsv:i,onChange:s})),o.createElement("div",{style:g.controls,className:"flexbox-fix"},o.createElement("div",{style:g.sliders},o.createElement("div",{style:g.hue},o.createElement(Ose,{style:g.Hue,hsl:a,onChange:s})),o.createElement("div",{style:g.alpha},o.createElement(wse,{style:g.Alpha,rgb:n,hsl:a,renderers:d,onChange:s}))),o.createElement("div",{style:g.color},o.createElement(mse,null),o.createElement("div",{style:g.activeColor}))),o.createElement(ghe,{rgb:n,hsl:a,hex:r,onChange:s,disableAlpha:u}),o.createElement(bhe,{colors:c,onClick:s,onSwatchHover:l}))};_he.propTypes={disableAlpha:ie().bool,width:ie().oneOfType([ie().string,ie().number]),styles:ie().object},_he.defaultProps={disableAlpha:!1,width:200,styles:{},presetColors:["#D0021B","#F5A623","#F8E71C","#8B572A","#7ED321","#417505","#BD10E0","#9013FE","#4A90E2","#50E3C2","#B8E986","#000000","#4A4A4A","#9B9B9B","#FFFFFF"]},Wce(_he);const xhe=function(e){var t=e.hsl,n=e.offset,r=e.onClick,i=void 0===r?function(){}:r,a=e.active,s=e.first,l=e.last,u=(0,cse.ZP)({default:{swatch:{height:"12px",background:"hsl("+t.h+", 50%, "+100*n+"%)",cursor:"pointer"}},first:{swatch:{borderRadius:"2px 0 0 2px"}},last:{swatch:{borderRadius:"0 2px 2px 0"}},active:{swatch:{transform:"scaleY(1.8)",borderRadius:"3.6px/2px"}}},{active:a,first:s,last:l});return o.createElement("div",{style:u.swatch,onClick:function(e){return i({h:t.h,s:.5,l:n,source:"hsl"},e)}})},khe=function(e){var t=e.onClick,n=e.hsl,r=(0,cse.ZP)({default:{swatches:{marginTop:"20px"},swatch:{boxSizing:"border-box",width:"20%",paddingRight:"1px",float:"left"},clear:{clear:"both"}}}),i=.1;return o.createElement("div",{style:r.swatches},o.createElement("div",{style:r.swatch},o.createElement(xhe,{hsl:n,offset:".80",active:Math.abs(n.l-.8)<i&&Math.abs(n.s-.5)<i,onClick:t,first:!0})),o.createElement("div",{style:r.swatch},o.createElement(xhe,{hsl:n,offset:".65",active:Math.abs(n.l-.65)<i&&Math.abs(n.s-.5)<i,onClick:t})),o.createElement("div",{style:r.swatch},o.createElement(xhe,{hsl:n,offset:".50",active:Math.abs(n.l-.5)<i&&Math.abs(n.s-.5)<i,onClick:t})),o.createElement("div",{style:r.swatch},o.createElement(xhe,{hsl:n,offset:".35",active:Math.abs(n.l-.35)<i&&Math.abs(n.s-.5)<i,onClick:t})),o.createElement("div",{style:r.swatch},o.createElement(xhe,{hsl:n,offset:".20",active:Math.abs(n.l-.2)<i&&Math.abs(n.s-.5)<i,onClick:t,last:!0})),o.createElement("div",{style:r.clear}))};var Ehe=function(e){var t=e.hsl,n=e.onChange,r=e.pointer,i=e.styles,a=void 0===i?{}:i,s=e.className,l=void 0===s?"":s,u=(0,cse.ZP)(Due({default:{hue:{height:"12px",position:"relative"},Hue:{radius:"2px"}}},a));return o.createElement("div",{style:u.wrap||{},className:"slider-picker "+l},o.createElement("div",{style:u.hue},o.createElement(Ose,{style:u.Hue,hsl:t,pointer:r,onChange:n})),o.createElement("div",{style:u.swatches},o.createElement(khe,{hsl:t,onClick:n})))};Ehe.propTypes={styles:ie().object},Ehe.defaultProps={pointer:function(){var e=(0,cse.ZP)({default:{picker:{width:"14px",height:"14px",borderRadius:"6px",transform:"translate(-7px, -1px)",backgroundColor:"rgb(248, 248, 248)",boxShadow:"0 1px 4px 0 rgba(0, 0, 0, 0.37)"}}});return o.createElement("div",{style:e.picker})},styles:{}},Wce(Ehe);var Che=__webpack_require__(47988);const She=function(e){var t=e.color,n=e.onClick,r=void 0===n?function(){}:n,i=e.onSwatchHover,a=e.first,s=e.last,l=e.active,u=(0,cse.ZP)({default:{color:{width:"40px",height:"24px",cursor:"pointer",background:t,marginBottom:"1px"},check:{color:zce(t),marginLeft:"8px",display:"none"}},first:{color:{overflow:"hidden",borderRadius:"2px 2px 0 0"}},last:{color:{overflow:"hidden",borderRadius:"0 0 2px 2px"}},active:{check:{display:"block"}},"color-#FFFFFF":{color:{boxShadow:"inset 0 0 0 1px #ddd"},check:{color:"#333"}},transparent:{check:{color:"#333"}}},{first:a,last:s,active:l,"color-#FFFFFF":"#FFFFFF"===t,transparent:"transparent"===t});return o.createElement(Yce,{color:t,style:u.color,onClick:r,onHover:i,focusStyle:{boxShadow:"0 0 4px "+t}},o.createElement("div",{style:u.check},o.createElement(Che.Z,null)))},Ahe=function(e){var t=e.onClick,n=e.onSwatchHover,r=e.group,i=e.active,a=(0,cse.ZP)({default:{group:{paddingBottom:"10px",width:"40px",float:"left",marginRight:"10px"}}});return o.createElement("div",{style:a.group},ape(r,(function(e,a){return o.createElement(She,{key:e,color:e,active:e.toLowerCase()===i,first:0===a,last:a===r.length-1,onClick:t,onSwatchHover:n})})))};var The=function(e){var t=e.width,n=e.height,r=e.onChange,i=e.onSwatchHover,a=e.colors,s=e.hex,l=e.styles,u=void 0===l?{}:l,c=e.className,d=void 0===c?"":c,p=(0,cse.ZP)(Due({default:{picker:{width:t,height:n},overflow:{height:n,overflowY:"scroll"},body:{padding:"16px 0 6px 16px"},clear:{clear:"both"}}},u)),f=function(e,t){return r({hex:e,source:"hex"},t)};return o.createElement("div",{style:p.picker,className:"swatches-picker "+d},o.createElement(Iue,null,o.createElement("div",{style:p.overflow},o.createElement("div",{style:p.body},ape(a,(function(e){return o.createElement(Ahe,{key:e.toString(),group:e,active:s,onClick:f,onSwatchHover:i})})),o.createElement("div",{style:p.clear})))))};The.propTypes={width:ie().oneOfType([ie().string,ie().number]),height:ie().oneOfType([ie().string,ie().number]),colors:ie().arrayOf(ie().arrayOf(ie().string)),styles:ie().object},The.defaultProps={width:320,height:240,colors:[[fpe,ppe,dpe,cpe,upe],[ype,vpe,gpe,mpe,hpe],[kpe,xpe,_pe,wpe,bpe],[Tpe,Ape,Spe,Cpe,Epe],[Ipe,Rpe,Dpe,Ppe,Ope],[Fpe,jpe,Npe,Lpe,Mpe],[$pe,qpe,Upe,zpe,Bpe],[Kpe,Gpe,Vpe,Hpe,Wpe],[Jpe,Qpe,Xpe,Zpe,Ype],["#194D33",rfe,nfe,tfe,efe],[lfe,sfe,afe,ofe,ife],[ffe,pfe,dfe,cfe,ufe],[yfe,vfe,gfe,mfe,hfe],[kfe,xfe,_fe,wfe,bfe],[Tfe,Afe,Sfe,Cfe,Efe],[Ife,Rfe,Dfe,Pfe,Ofe],[Ffe,jfe,Nfe,Lfe,Mfe],[$fe,qfe,Ufe,zfe,Bfe],["#000000","#525252","#969696","#D9D9D9","#FFFFFF"]],styles:{}},Wce(The);var Ohe=function(e){var t=e.onChange,n=e.onSwatchHover,r=e.hex,i=e.colors,a=e.width,s=e.triangle,l=e.styles,u=void 0===l?{}:l,c=e.className,d=void 0===c?"":c,p=(0,cse.ZP)(Due({default:{card:{width:a,background:"#fff",border:"0 solid rgba(0,0,0,0.25)",boxShadow:"0 1px 4px rgba(0,0,0,0.25)",borderRadius:"4px",position:"relative"},body:{padding:"15px 9px 9px 15px"},label:{fontSize:"18px",color:"#fff"},triangle:{width:"0px",height:"0px",borderStyle:"solid",borderWidth:"0 9px 10px 9px",borderColor:"transparent transparent #fff transparent",position:"absolute"},triangleShadow:{width:"0px",height:"0px",borderStyle:"solid",borderWidth:"0 9px 10px 9px",borderColor:"transparent transparent rgba(0,0,0,.1) transparent",position:"absolute"},hash:{background:"#F0F0F0",height:"30px",width:"30px",borderRadius:"4px 0 0 4px",float:"left",color:"#98A1A4",display:"flex",alignItems:"center",justifyContent:"center"},input:{width:"100px",fontSize:"14px",color:"#666",border:"0px",outline:"none",height:"28px",boxShadow:"inset 0 0 0 1px #F0F0F0",boxSizing:"content-box",borderRadius:"0 4px 4px 0",float:"left",paddingLeft:"8px"},swatch:{width:"30px",height:"30px",float:"left",borderRadius:"4px",margin:"0 6px 6px 0"},clear:{clear:"both"}},"hide-triangle":{triangle:{display:"none"},triangleShadow:{display:"none"}},"top-left-triangle":{triangle:{top:"-10px",left:"12px"},triangleShadow:{top:"-11px",left:"12px"}},"top-right-triangle":{triangle:{top:"-10px",right:"12px"},triangleShadow:{top:"-11px",right:"12px"}}},u),{"hide-triangle":"hide"===s,"top-left-triangle":"top-left"===s,"top-right-triangle":"top-right"===s}),f=function(e,n){Bce(e)&&t({hex:e,source:"hex"},n)};return o.createElement("div",{style:p.card,className:"twitter-picker "+d},o.createElement("div",{style:p.triangleShadow}),o.createElement("div",{style:p.triangle}),o.createElement("div",{style:p.body},ape(i,(function(e,t){return o.createElement(Yce,{key:t,color:e,hex:e,style:p.swatch,onClick:f,onHover:n,focusStyle:{boxShadow:"0 0 4px "+e}})})),o.createElement("div",{style:p.hash},"#"),o.createElement(Cse,{label:null,style:{input:p.input},value:r.replace("#",""),onChange:f}),o.createElement("div",{style:p.clear})))};Ohe.propTypes={width:ie().oneOfType([ie().string,ie().number]),triangle:ie().oneOf(["hide","top-left","top-right"]),colors:ie().arrayOf(ie().string),styles:ie().object},Ohe.defaultProps={width:276,colors:["#FF6900","#FCB900","#7BDCB5","#00D084","#8ED1FC","#0693E3","#ABB8C3","#EB144C","#F78DA7","#9900EF"],triangle:"top-left",styles:{}},Wce(Ohe);var Phe=function(e){var t=(0,cse.ZP)({default:{picker:{width:"20px",height:"20px",borderRadius:"22px",border:"2px #fff solid",transform:"translate(-12px, -13px)",background:"hsl("+Math.round(e.hsl.h)+", "+Math.round(100*e.hsl.s)+"%, "+Math.round(100*e.hsl.l)+"%)"}}});return o.createElement("div",{style:t.picker})};Phe.propTypes={hsl:ie().shape({h:ie().number,s:ie().number,l:ie().number,a:ie().number})},Phe.defaultProps={hsl:{a:1,h:249.94,l:.2,s:.5}};const Dhe=Phe;var Rhe=function(e){var t=(0,cse.ZP)({default:{picker:{width:"20px",height:"20px",borderRadius:"22px",transform:"translate(-10px, -7px)",background:"hsl("+Math.round(e.hsl.h)+", 100%, 50%)",border:"2px white solid"}}});return o.createElement("div",{style:t.picker})};Rhe.propTypes={hsl:ie().shape({h:ie().number,s:ie().number,l:ie().number,a:ie().number})},Rhe.defaultProps={hsl:{a:1,h:249.94,l:.2,s:.5}};const Ihe=Rhe,Mhe=function(e){var t=e.onChange,n=e.rgb,r=e.hsl,i=e.hex,a=e.hsv,s=function(e,n){if(e.hex)Bce(e.hex)&&t({hex:e.hex,source:"hex"},n);else if(e.rgb){var r=e.rgb.split(",");Uce(e.rgb,"rgb")&&t({r:r[0],g:r[1],b:r[2],a:1,source:"rgb"},n)}else if(e.hsv){var i=e.hsv.split(",");Uce(e.hsv,"hsv")&&(i[2]=i[2].replace("%",""),i[1]=i[1].replace("%",""),i[0]=i[0].replace("°",""),1==i[1]?i[1]=.01:1==i[2]&&(i[2]=.01),t({h:Number(i[0]),s:Number(i[1]),v:Number(i[2]),source:"hsv"},n))}else if(e.hsl){var o=e.hsl.split(",");Uce(e.hsl,"hsl")&&(o[2]=o[2].replace("%",""),o[1]=o[1].replace("%",""),o[0]=o[0].replace("°",""),1==d[1]?d[1]=.01:1==d[2]&&(d[2]=.01),t({h:Number(o[0]),s:Number(o[1]),v:Number(o[2]),source:"hsl"},n))}},l=(0,cse.ZP)({default:{wrap:{display:"flex",height:"100px",marginTop:"4px"},fields:{width:"100%"},column:{paddingTop:"10px",display:"flex",justifyContent:"space-between"},double:{padding:"0px 4.4px",boxSizing:"border-box"},input:{width:"100%",height:"38px",boxSizing:"border-box",padding:"4px 10% 3px",textAlign:"center",border:"1px solid #dadce0",fontSize:"11px",textTransform:"lowercase",borderRadius:"5px",outline:"none",fontFamily:"Roboto,Arial,sans-serif"},input2:{height:"38px",width:"100%",border:"1px solid #dadce0",boxSizing:"border-box",fontSize:"11px",textTransform:"lowercase",borderRadius:"5px",outline:"none",paddingLeft:"10px",fontFamily:"Roboto,Arial,sans-serif"},label:{textAlign:"center",fontSize:"12px",background:"#fff",position:"absolute",textTransform:"uppercase",color:"#3c4043",width:"35px",top:"-6px",left:"0",right:"0",marginLeft:"auto",marginRight:"auto",fontFamily:"Roboto,Arial,sans-serif"},label2:{left:"10px",textAlign:"center",fontSize:"12px",background:"#fff",position:"absolute",textTransform:"uppercase",color:"#3c4043",width:"32px",top:"-6px",fontFamily:"Roboto,Arial,sans-serif"},single:{flexGrow:"1",margin:"0px 4.4px"}}}),u=n.r+", "+n.g+", "+n.b,c=Math.round(r.h)+"°, "+Math.round(100*r.s)+"%, "+Math.round(100*r.l)+"%",d=Math.round(a.h)+"°, "+Math.round(100*a.s)+"%, "+Math.round(100*a.v)+"%";return o.createElement("div",{style:l.wrap,className:"flexbox-fix"},o.createElement("div",{style:l.fields},o.createElement("div",{style:l.double},o.createElement(Cse,{style:{input:l.input,label:l.label},label:"hex",value:i,onChange:s})),o.createElement("div",{style:l.column},o.createElement("div",{style:l.single},o.createElement(Cse,{style:{input:l.input2,label:l.label2},label:"rgb",value:u,onChange:s})),o.createElement("div",{style:l.single},o.createElement(Cse,{style:{input:l.input2,label:l.label2},label:"hsv",value:d,onChange:s})),o.createElement("div",{style:l.single},o.createElement(Cse,{style:{input:l.input2,label:l.label2},label:"hsl",value:c,onChange:s})))))};var Lhe=function(e){var t=e.width,n=e.onChange,r=e.rgb,i=e.hsl,a=e.hsv,s=e.hex,l=e.header,u=e.styles,c=void 0===u?{}:u,d=e.className,p=void 0===d?"":d,f=(0,cse.ZP)(Due({default:{picker:{width:t,background:"#fff",border:"1px solid #dfe1e5",boxSizing:"initial",display:"flex",flexWrap:"wrap",borderRadius:"8px 8px 0px 0px"},head:{height:"57px",width:"100%",paddingTop:"16px",paddingBottom:"16px",paddingLeft:"16px",fontSize:"20px",boxSizing:"border-box",fontFamily:"Roboto-Regular,HelveticaNeue,Arial,sans-serif"},saturation:{width:"70%",padding:"0px",position:"relative",overflow:"hidden"},swatch:{width:"30%",height:"228px",padding:"0px",background:"rgba("+r.r+", "+r.g+", "+r.b+", 1)",position:"relative",overflow:"hidden"},body:{margin:"auto",width:"95%"},controls:{display:"flex",boxSizing:"border-box",height:"52px",paddingTop:"22px"},color:{width:"32px"},hue:{height:"8px",position:"relative",margin:"0px 16px 0px 16px",width:"100%"},Hue:{radius:"2px"}}},c));return o.createElement("div",{style:f.picker,className:"google-picker "+p},o.createElement("div",{style:f.head},l),o.createElement("div",{style:f.swatch}),o.createElement("div",{style:f.saturation},o.createElement(Yue,{hsl:i,hsv:a,pointer:Dhe,onChange:n})),o.createElement("div",{style:f.body},o.createElement("div",{style:f.controls,className:"flexbox-fix"},o.createElement("div",{style:f.hue},o.createElement(Ose,{style:f.Hue,hsl:i,radius:"4px",pointer:Ihe,onChange:n}))),o.createElement(Mhe,{rgb:r,hsl:i,hex:s,hsv:a,onChange:n})))};function Nhe(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function jhe(){return xn("svg",{height:"20",width:"20",viewBox:"0 0 20 20","aria-hidden":"true",focusable:"false"},xn("path",{fill:"rgb(122, 130, 145)",d:"M14.348 14.849c-0.469 0.469-1.229 0.469-1.697 0l-2.651-3.030-2.651 3.029c-0.469 0.469-1.229 0.469-1.697 0-0.469-0.469-0.469-1.229 0-1.697l2.758-3.15-2.759-3.152c-0.469-0.469-0.469-1.228 0-1.697s1.228-0.469 1.697 0l2.652 3.031 2.651-3.031c0.469-0.469 1.228-0.469 1.697 0s0.469 1.229 0 1.697l-2.758 3.152 2.758 3.15c0.469 0.469 0.469 1.229 0 1.698z"}))}Lhe.propTypes={width:ie().oneOfType([ie().string,ie().number]),styles:ie().object,header:ie().string},Lhe.defaultProps={width:652,styles:{},header:"Color picker"},Wce(Lhe);const Fhe=gb("div",{target:"e1bv0wj45",label:"ClearButton"})("position:absolute;right:6px;z-index:",hr.zIndex1000,";padding:8px;margin-top:11px;"),Bhe=gb("div",{target:"e1bv0wj44",label:"ClearButtonWrapper"})({name:"pw7jst",styles:"position:relative;width:100%"}),zhe=gb("div",{target:"e1bv0wj43",label:"ColorSwatchBackground"})("position:absolute;z-index:",hr.zIndex1,";background:url('data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAYAAAAf8/9hAAAAMUlEQVQ4T2NkYGAQYcAP3uCTZhw1gGGYhAGBZIA/nYDCgBDAm9BGDWAAJyRCgLaBCAAgXwixzAS0pgAAAABJRU5ErkJggg==');height:38px;width:48px;margin-top:10px;margin-left:10px;border-radius:5px;"),Uhe=gb("div",{target:"e1bv0wj42",label:"ColorSwatch"})("position:absolute;z-index:",hr.zIndex2,";background:",(e=>e.background),";cursor:pointer;height:38px;width:48px;margin-top:10px;margin-left:10px;border-radius:5px;border:2px solid rgb(223, 223, 227);text-align:center;font-size:27px;line-height:1;padding-top:4px;user-select:none;color:",(e=>e.color),";"),qhe=gb("div",{target:"e1bv0wj41",label:"ColorPickerContainer"})("position:absolute;z-index:",hr.zIndex1000,";margin-top:48px;margin-left:12px;"),$he=gb("div",{target:"e1bv0wj40",label:"ClickOutsideDiv"})({name:"yfl0u7",styles:"position:fixed;top:0;right:0;bottom:0;left:0"});class Whe extends o.Component{constructor(...e){super(...e),Nhe(this,"state",{showColorPicker:!1}),Nhe(this,"handleClick",(()=>{this.setState({showColorPicker:!this.state.showColorPicker})})),Nhe(this,"handleClear",(()=>{this.props.onChange("")})),Nhe(this,"handleClose",(()=>{this.setState({showColorPicker:!1})})),Nhe(this,"handleChange",(e=>{const t=e.rgb.a<1?`rgba(${e.rgb.r}, ${e.rgb.g}, ${e.rgb.b}, ${e.rgb.a})`:e.hex;this.props.onChange(t)}))}render(){const{forID:e,value:t,field:n,onChange:r,classNameWrapper:i,setActiveStyle:a,setInactiveStyle:s}=this.props,l=n.get("allowInput",!1),u=!l&&t;return xn(o.Fragment,null," ",u&&xn(Bhe,null,xn(Fhe,{onClick:this.handleClear},xn(jhe,null))),xn(zhe,null),xn(Uhe,{background:oce(this.props.value).isValid()?this.props.value:"#fff",color:oce(this.props.value).isValid()?"rgba(255, 255, 255, 0)":"rgb(223, 223, 227)",onClick:this.handleClick},"?"),this.state.showColorPicker&&xn(qhe,null,xn($he,{onClick:this.handleClose}),xn(the,{color:t||"",onChange:this.handleChange,disableAlpha:!n.get("enableAlpha",!1)})),xn("input",{type:"text",id:e,className:i,value:t||"",onChange:e=>r(e.target.value),onFocus:a,onBlur:s,style:{paddingLeft:"75px",paddingRight:"70px",color:!l&&"#bbb"},onClick:l?void 0:this.handleClick,readOnly:!l}))}}function Hhe({value:e}){return xn(oU,null,e)}Nhe(Whe,"propTypes",{onChange:ie().func.isRequired,forID:ie().string,value:ie().node,classNameWrapper:ie().string.isRequired,setActiveStyle:ie().func.isRequired,setInactiveStyle:ie().func.isRequired}),Nhe(Whe,"defaultProps",{value:""}),Hhe.propTypes={value:ie().node};const Vhe=Hhe;function Ghe(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Khe(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Yhe={Widget:function(e={}){return function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Ghe(Object(n),!0).forEach((function(t){Khe(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Ghe(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({name:"color",controlComponent:Whe,previewComponent:Vhe},e)},controlComponent:Whe,previewComponent:Vhe},Zhe=Yhe,Xhe={label:"Image",id:"image",fromBlock:e=>e&&{image:e[2],alt:e[1],title:e[4]},toBlock:({alt:e,image:t,title:n})=>`![${e||""}](${t||""}${n?` "${n.replace(/"/g,'\\"')}"`:""})`,toPreview:({alt:e,image:t,title:n},r,i)=>{const o=null==i?void 0:i.find((e=>"image"===e.get("widget"))),a=r(t,o);return xn("img",{src:a||"",alt:e||"",title:n||""})},pattern:/^!\[(.*)\]\((.*?)(\s"(.*)")?\)$/,fields:[{label:"Image",name:"image",widget:"image",media_library:{allow_multiple:!1}},{label:"Alt Text",name:"alt"},{label:"Title",name:"title"}]},Qhe=Xhe,Jhe={auth:{login:"Přihlásit",loggingIn:"Přihlašování…",loginWithNetlifyIdentity:"Přihlásit pomocí Netlify Identity",loginWithAzure:"Přihlásit pomocí Azure",loginWithBitbucket:"Přihlásit pomocí Bitbucket",loginWithGitHub:"Přihlásit pomocí GitHub",loginWithGitLab:"Přihlásit pomocí GitLab",loginWithGitea:"Přihlásit pomocí Gitea",errors:{email:"Vyplňte e-mailovou adresu.",password:"Vyplňte heslo.",identitySettings:"Nastavení identity nenalezeno. Používáte-li git-gateway server nezapomeňte aktivovat službu Identity a Git Gateway."}},app:{header:{content:"Obsah",workflow:"Schvalování",media:"Média",quickAdd:"Přidat"},app:{errorHeader:"Chyba při načítání CMS konfigurace",configErrors:"Chyba konfigurace",checkConfigYml:"Zkontrolujte soubor config.yml.",loadingConfig:"Načítání konfigurace…",waitingBackend:"Čekání na server…"},notFoundPage:{header:"Nenalezeno"}},collection:{sidebar:{collections:"Kolekce",allCollections:"Všechny kolekce",searchAll:"Hledat",searchIn:"Hledat v"},collectionTop:{sortBy:"Seřadit podle",viewAs:"Zobrazit jako",newButton:"Přidat",ascending:"Vzestupné",descending:"Sestupné",searchResults:'Výsledky vyhledávání pro "%{searchTerm}"',searchResultsInCollection:'Výsledky vyhledávání pro "%{searchTerm}" v kolekci %{collection}',filterBy:"Filtrovat podle",groupBy:"Seskupit podle"},entries:{loadingEntries:"Načítání položek",cachingEntries:"Úkládání položek do mezipaměti",longerLoading:"Načítání může trvat několik minut",noEntries:"Žádné položky"},groups:{other:"Ostatní",negateLabel:"Není %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Poslední aktualizace"}}},editor:{editorControl:{field:{optional:"volitelný"}},editorControlPane:{widget:{required:"%{fieldLabel} je povinný.",regexPattern:"%{fieldLabel} nesouhlasí s předepsaným vzorem: %{pattern}.",processing:"%{fieldLabel} se zpracovává.",range:"%{fieldLabel} musí být mezi %{minValue} a %{maxValue}.",min:"%{fieldLabel} musí být alespoň %{minValue}.",max:"%{fieldLabel} musí být %{maxValue} nebo méně.",rangeCount:"%{fieldLabel} musí mít %{minCount} až %{maxCount} položek.",rangeCountExact:"%{fieldLabel} musí mít přesně %{count} položek.",rangeMin:"%{fieldLabel} musí mít nejméně %{minCount} položky.",rangeMax:"%{fieldLabel} musí mít %{maxCount} nebo méně položek.",invalidPath:"'%{path}' není platnou cestou.",pathExists:"Cesta '%{path}' už existuje."},i18n:{writingInLocale:"Psát v %{locale}",copyFromLocale:"Vyplnit z jiného jazyka",copyFromLocaleConfirm:"Chcete vyplnit data z jazyka %{locale}?\nVeškerý současný obsah bude přepsán."}},editor:{onLeavePage:"Opravdu chcete opustit tuto stránku?",onUpdatingWithUnsavedChanges:"Máte neuložené změny. Před změnou stavu je prosím uložte.",onPublishingNotReady:"Změňte stav na „Připraveno“ před publikováním.",onPublishingWithUnsavedChanges:"Máte neuložené změny, před publikováním je prosím uložte.",onPublishing:"Opravdu chcete publikovat tuto položku?",onUnpublishing:"Opravdu chcete zrušit publikování této položky?",onDeleteWithUnsavedChanges:"Opravdu chcete vymazat tuto publikovanou položku a všechny neuložené změny z této relace?",onDeletePublishedEntry:"Opravdu chcete smazat tuto publikovanou položku?",onDeleteUnpublishedChangesWithUnsavedChanges:"Tato akce vymaže všechny nepublikované změny v této položce a také všechny neuložené změny z této relace. Chcete položku skutečně vymazat?",onDeleteUnpublishedChanges:"Všechny nepublikované změny v této položce budou vymazány. Chcete ji skuteně vymazat?",loadingEntry:"Načítání položky…",confirmLoadBackup:"Lokální kopie této položky byla nalezena, chcete ji použít?"},editorInterface:{toggleI18n:"Přepnout lokalizaci",togglePreview:"Přepnout náhled",toggleScrollSync:"Sladit skrolování"},editorToolbar:{publishing:"Publikování…",publish:"Publikovat",published:"Publikovaný",unpublish:"Zrušit publikování",duplicate:"Duplikovat",unpublishing:"Rušení publikování…",publishAndCreateNew:"Publikovat a vytvořit nový",publishAndDuplicate:"Publikovat a duplikovat",deleteUnpublishedChanges:"Vymazat nepublikované změny",deleteUnpublishedEntry:"Vymazat nepublikovanou položku",deletePublishedEntry:"Vymazat publikovanou položku",deleteEntry:"Vymazat položku",saving:"Ukládání…",save:"Uložit",statusInfoTooltipDraft:"Položka je ve stavu konceptu. Po dokončení úprav ji označte stavem V revizi.",statusInfoTooltipInReview:"Položka je označena jako připravená k revizi, žádná další akce není třeba. Můžete ovšem provádět další změny, zatímco probíhá revize.",deleting:"Vymazávání…",updating:"Aktualizace…",status:"Stav: %{status}",backCollection:" Píšete v kolekci %{collectionLabel}",unsavedChanges:"Neuložené změny",changesSaved:"Změny uloženy",draft:"Koncept",inReview:"V revizi",ready:"Připraveno",publishNow:"Publikovat teď",deployPreviewPendingButtonLabel:"Zkontrolovat náhled",deployPreviewButtonLabel:"Zobrazit náhled",deployButtonLabel:"Zobrazit na webu"},editorWidgets:{markdown:{bold:"Tučně",italic:"Kurzíva",code:"Kód",link:"Odkaz",linkPrompt:"Zadejte URL odkazu",headings:"Nadpisy",quote:"Citovat",bulletedList:"Odrážkový seznam",numberedList:"Číslovaný seznam",addComponent:"Přidat součástku",richText:"Rich Text",markdown:"Markdown"},image:{choose:"Vyberte obrázek",chooseMultiple:"Vyberte obrázky",chooseUrl:"Přidat z URL",replaceUrl:"Nahradit z URL",promptUrl:"Zadejte URL obrázku",chooseDifferent:"Vyberte jiný obrázek",addMore:"Přidat další obrázky",remove:"Odstranit obrázek",removeAll:"Odstranit všechny obrázky"},file:{choose:"Vyberte soubor",chooseUrl:"Přidat z URL",chooseMultiple:"Vyberte soubory",replaceUrl:"Nahradit z URL",promptUrl:"Zadejte URL souboru",chooseDifferent:"Vyberte jiný soubor",addMore:"Přidat další soubory",remove:"Odebrat soubor",removeAll:"Odstranit všechny soubory"},unknownControl:{noControl:"Žádné ovládání pro widget '%{widget}'."},unknownPreview:{noPreview:"Žádný náhled pro widget '%{widget}'."},headingOptions:{headingOne:"Nadpis 1",headingTwo:"Nadpis 2",headingThree:"Nadpis 3",headingFour:"Nadpis 4",headingFive:"Nadpis 5",headingSix:"Nadpis 6"},datetime:{now:"Teď",clear:"Vymazat"},list:{add:"Přidat",addType:"Přidat"}}},mediaLibrary:{mediaLibraryCard:{draft:"Koncept",copy:"Kopírovat",copyUrl:"Kopírovat URL",copyPath:"Kopírovat cestu",copyName:"Kopírovat název",copied:"Zkopírováno"},mediaLibrary:{onDelete:"Chcete skutečně vymazat označená média?",fileTooLarge:"Soubor je příliš velký.\nSoubor musí být menší než %{size} kB."},mediaLibraryModal:{loading:"Načítání…",noResults:"Nic nenalezeno.",noAssetsFound:"Média nenalezena.",noImagesFound:"Obrázky nenalezeny.",private:"Soukromé ",images:"Obrázky",mediaAssets:"Média",search:"Hledat…",uploading:"Nahrávání…",upload:"Nahrát nový",download:"Stáhnout",deleting:"Vymazávání…",deleteSelected:"Smazat označené",chooseSelected:"Vybrat označené"}},ui:{default:{goBackToSite:"Vrátit se na stránku"},errorBoundary:{title:"Chyba",details:"Nastala chyba prosím ",reportIt:"nahlašte ji.",detailsHeading:"Detaily",privacyWarning:"Při otevření problému budou předvyplněny ladící data a chybová zpráva.\nProsím zkontrolujte, jestli jsou informace správné, a případně odstraňte citlivé údaje.",recoveredEntry:{heading:"Nalezený dokument",warning:"Prosím zkopírujte dokument do schránky před tím než odejte z této stránky!",copyButtonLabel:"Zkopírovat do schránky"}},settingsDropdown:{logOut:"Odhlásit"},toast:{onFailToLoadEntries:"Chyba při načítání položky: %{details}",onFailToLoadDeployPreview:"Chyba při načítání náhledu: %{details}",onFailToPersist:"Chyba při ukládání položky: %{details}",onFailToDelete:"Chyba při vymazávání položky: %{details}",onFailToUpdateStatus:"Chyba při změně stavu položky: %{details}",missingRequiredField:"Vynechali jste povinné pole. Prosím vyplňte ho.",entrySaved:"Položka uložena",entryPublished:"Položka publikována",entryUnpublished:"Publikování položky zrušeno",onFailToPublishEntry:"Chyba při publikování položky: %{details}",onFailToUnpublishEntry:"Chyba při rušení publikování položky: %{details}",entryUpdated:"Stav položky byl změněn",onDeleteUnpublishedChanges:"Nepublikované změny byly smazány",onFailToAuth:"%{details}",onLoggedOut:"Byli jste odhlášeni, prosím zálohujte všechna data a znova se přihlašte",onBackendDown:"Backend zaznamenal výpadek. Podívejte se do %{details} pro více informací."}},workflow:{workflow:{loading:"Načítání položek",workflowHeading:"Schvalovací proces",newPost:"Nová položka",description:"%{smart_count} čeká na schválení, %{readyCount} připraven k publikaci. |||| %{smart_count} čeká na schválení, %{readyCount} připraveno k publikaci. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} (%{author})",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"%{author}",deleteChanges:"Vymazat změny",deleteNewEntry:"Vymazat novou položku",publishChanges:"Publikovat změny",publishNewEntry:"Publikovat novou položku"},workflowList:{onDeleteEntry:"Opravdu chcete smazat tuto položku?",onPublishingNotReadyEntry:'Pouze položky se stavem "Připraveno" mohou být publikovány. Pro umožnění publikace musíte přetáhnout kartu do sloupce "Připraveno"',onPublishEntry:"Opravdu chcete publikovat tuto položku?",draftHeader:"Koncepty",inReviewHeader:"V revizi",readyHeader:"Připraveno",currentEntries:"%{smart_count} položka |||| %{smart_count} položek"}}},eme={auth:{login:"Log ind",loggingIn:"Logger ind...",loginWithNetlifyIdentity:"Log ind med Netlify Identity",loginWithAzure:"Log ind med Azure",loginWithBitbucket:"Log ind med Bitbucket",loginWithGitHub:"Log ind med GitHub",loginWithGitLab:"Log ind med GitLab",loginWithGitea:"Log ind med Gitea",errors:{email:"Vær sikker på du har indtastet din e-mail.",password:"Indtast dit kodeord.",identitySettings:"Kunne ikke tilgå identity opsætning. Ved brug af git-gateway som bagvedliggende service, sørg for at aktivere Identity service og Git Gateway."}},app:{header:{content:"Indhold",workflow:"Arbejdsgang",media:"Medier",quickAdd:"Hurtig opret"},app:{errorHeader:"Fejl ved indlæsning af CMS opsætningen",configErrors:"Opsætningsfejl",checkConfigYml:"Kontroller din config.yml fil.",loadingConfig:"Indlæser opsætning...",waitingBackend:"Venter på bagvedliggende service..."},notFoundPage:{header:"Ikke fundet"}},collection:{sidebar:{collections:"Samlinger",allCollections:"Alle samlinger",searchAll:"Søg i alt",searchIn:"Søg i"},collectionTop:{sortBy:"Sorter efter",viewAs:"Vis som",newButton:"Ny %{collectionLabel}",ascending:"Stigende",descending:"Faldende",searchResults:'Søgeresultater for "%{searchTerm}"',searchResultsInCollection:'Søgeresultater for "%{searchTerm}" i %{collection}',filterBy:"Filtrer efter",groupBy:"Grupper efter"},entries:{loadingEntries:"Indlæser dokumenter...",cachingEntries:"Caching af dokumenter...",longerLoading:"Dette kan tage adskillige minutter",noEntries:"Ingen dokumenter"},groups:{other:"Anden",negateLabel:"Ikke %{label}"},defaultFields:{author:{label:"Forfatter"},updatedOn:{label:"Opdateret "}}},editor:{editorControl:{field:{optional:"kan udelades"}},editorControlPane:{widget:{required:"%{fieldLabel} er påkrævet.",regexPattern:"%{fieldLabel} matchede ikke: %{pattern}.",processing:"%{fieldLabel} behandles.",range:"%{fieldLabel} skal være mellem %{minValue} og %{maxValue}.",min:"%{fieldLabel} skal være mindst %{minValue}.",max:"%{fieldLabel} være være %{maxValue} eller mindre.",rangeCount:"%{fieldLabel} skal have mellem %{minCount} og %{maxCount} element(er).",rangeCountExact:"%{fieldLabel} skal have præcis %{count} element(er).",rangeMin:"%{fieldLabel} skal have mindst %{minCount} element(er).",rangeMax:"%{fieldLabel} skal have %{maxCount} eller færre element(er).",invalidPath:"'%{path}' er ikke en gyldig sti",pathExists:"Stien '%{path}' findes allerede"},i18n:{writingInLocale:"Skriver på %{locale}",copyFromLocale:"Kopier fra et andet sprog",copyFromLocaleConfirm:"Vil du indsætte data fra sproget %{locale}?\nAlt eksisterende indhold vil blive overskrevet."}},editor:{onLeavePage:"Er du sikker på at du vil forlade siden?",onUpdatingWithUnsavedChanges:"Du har ændringer der ikke er gemt, gem disse før status ændres.",onPublishingNotReady:'Skift status til "Klar" inden publicering.',onPublishingWithUnsavedChanges:"Du har ændringer der ikke er gemt, gem inden publicing.",onPublishing:"Er du sikker på at du vil publicere dette dokument?",onUnpublishing:"Er du sikker på at du vil afpublicere dette dokument?",onDeleteWithUnsavedChanges:"Er du sikker på at du vil slette dette tidliere publiceret dokument, samt dine nuværende ugemte ændringer fra denne session?",onDeletePublishedEntry:"Er du sikker på at du vil slette dette tidliere publiceret dokument?",onDeleteUnpublishedChangesWithUnsavedChanges:"Alle ikke publicerede ændringer til dette dokument vil blive slettet ligesom dine nuværende ugemte ændringer fra denne session. Er du sikker på at du vil slette?",onDeleteUnpublishedChanges:"Alle ikke publicerede ændringer til dette dokument vil blive slettet. Er du sikker på at du vil slette?",loadingEntry:"Indlæser dokument...",confirmLoadBackup:"En lokal sikkerhedskopi blev gendannet for dette dokument, vil du anvende denne?"},editorToolbar:{publishing:"Publicerer...",publish:"Publicer",published:"Publiceret",unpublish:"Afpublicer",duplicate:"Kopier",unpublishing:"Afpublicerer...",publishAndCreateNew:"Publicer og opret ny",publishAndDuplicate:"Publicer og kopier",deleteUnpublishedChanges:"Slet upublicerede ændringer",deleteUnpublishedEntry:"Slet upubliceret dokument",deletePublishedEntry:"Slet publiceret dokument",deleteEntry:"Slet dokument",saving:"Gemmer...",save:"Gem",statusInfoTooltipDraft:"Status for elementet er kladde. For at afslutte og sende til gennemsyn, skift status til Til gennemsyn",statusInfoTooltipInReview:"Elementet er til gennemsyn, det er ikke nødvendigt med yderligere handlinger. Du kan dog stadig lave yderligere ændringer mens det er til gennemsyn.",deleting:"Sletter...",updating:"Opdaterer...",status:"Status: %{status}",backCollection:" Skriver til %{collectionLabel} samlingen",unsavedChanges:"Ugemte ændringer",changesSaved:"Ændringer gemt",draft:"Kladder",inReview:"Til gennemsyn",ready:"Klar",publishNow:"Publicer nu",deployPreviewPendingButtonLabel:"Lav preview",deployPreviewButtonLabel:"Vis preview",deployButtonLabel:"Vis live"},editorWidgets:{markdown:{bold:"Fed",italic:"Kursiv",code:"Kode",link:"Link",linkPrompt:"Indtast URL for link",headings:"Overskrifter",quote:"Citat",bulletedList:"Punktopstilling",numberedList:"Nummeret liste",addComponent:"Tilføj komponent",richText:"Formatteret tekst",markdown:"Markdown"},image:{choose:"Vælg et billede",chooseMultiple:"Vælg billeder",chooseUrl:"Indsæt fra URL",replaceUrl:"Erstat med URL",promptUrl:"Indtast URL for billeder",chooseDifferent:"Vælg et andet billede",addMore:"Tilføj flere billeder",remove:"Fjern billede",removeAll:"Fjern alle billeder"},file:{choose:"Vælg fil",chooseUrl:"Indsæt fra URL",chooseMultiple:"Vælg filer",replaceUrl:"Erstat med URL",promptUrl:"Indtast URL for filen",chooseDifferent:"Vælg en anden fil",addMore:"Tilføj flere filer",remove:"Fjern fil",removeAll:"Fjern alle filer"},unknownControl:{noControl:"Ingen kontrol for '%{widget}'."},unknownPreview:{noPreview:"Ingen preview for '%{widget}'."},headingOptions:{headingOne:"Overskrift 1",headingTwo:"Overskrift 2",headingThree:"Overskrift 3",headingFour:"Overskrift 4",headingFive:"Overskrift 5",headingSix:"Overskrift 6"},datetime:{now:"Nu",clear:"Ryd"},list:{add:"Tilføj %{item}",addType:"Tilføj %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Kladde",copy:"Kopier",copyUrl:"Kopier URL",copyPath:"Kopier sti",copyName:"Kopier navn",copied:"Kopieret"},mediaLibrary:{onDelete:"Er du sikker på at du vil slette det valgte medie?",fileTooLarge:"Filen er for stor.\nOpsætningen tillader ikke filer større end %{size} kB."},mediaLibraryModal:{loading:"Indlæser...",noResults:"Ingen resultater.",noAssetsFound:"Ingen elementer fundet.",noImagesFound:"Ingen billeder fundet.",private:"Privat ",images:"Billeder",mediaAssets:"Medie elementer",search:"Søg...",uploading:"Uploader...",upload:"Upload",download:"Download",deleting:"Slet...",deleteSelected:"Slet valgte",chooseSelected:"Anvend valgte"}},ui:{default:{goBackToSite:"Tilbage til hjemmesiden"},errorBoundary:{title:"Fejl",details:"Der opstod en fejl - venligst ",reportIt:"opret et issue på GitHub.",detailsHeading:"Detalger",privacyWarning:"Ved at oprette et issue forudfyldes det med fejlbeskeden og data til debugging.\nKontroller venligst at informationerne er korrekte og fjern eventuelle følsomme data.",recoveredEntry:{heading:"Gendannet dokument",warning:"Kopier dette et sted hen inden du navigerer væk!",copyButtonLabel:"Kopier til udklipsholder"}},settingsDropdown:{logOut:"Log af"},toast:{onFailToLoadEntries:"Fejl ved indlæsning af dokumenter: %{details}",onFailToLoadDeployPreview:"Preview kunne ikke indlæses: %{details}",onFailToPersist:"Dokumentet kunne ikke gemmes: %{details}",onFailToDelete:"Dokumentet kunne ikke slettes: %{details}",onFailToUpdateStatus:"Status kunne ikke opdateres: %{details}",missingRequiredField:"Ups, du mangler et påkrævet felt. Udfyld de påkrævede felter før dokumentet gemmes.",entrySaved:"Dokumentet er gemt",entryPublished:"Dokumentet er publiceret ",entryUnpublished:"Dokumentet er afpubliceret",onFailToPublishEntry:"Kunne ikke publicere på grund af en fejl: %{details}",onFailToUnpublishEntry:"Kunne ikke afpublicere på grund af en fejl: %{details}",entryUpdated:"Dokumentstatus er opdateret",onDeleteUnpublishedChanges:"Upublicerede ændringer blev slettet",onFailToAuth:"%{details}",onLoggedOut:"Du er blevet logget ind, gem venligst evt. ændringer og log på igen",onBackendDown:"Den bagvedliggende service er ikke tilgængelig i øjeblikket. Se %{details} for mere information"}},workflow:{workflow:{loading:"Indlæser dokumenter i redaktionel arbejdsgang",workflowHeading:"Redaktionel arbejdsgang",newPost:"Nyt indlæg",description:"%{smart_count} dokumenter afventer gennemsyn, %{readyCount} er klar til live. |||| %{smart_count} dokumenter afventer gennemsyn, %{readyCount} klar til go live. ",dateFormat:"D. MMMM"},workflowCard:{lastChange:"%{date} af %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"af %{author}",deleteChanges:"Slet ændringer",deleteNewEntry:"Slet nye dokumenter",publishChanges:"Publicer ændringer",publishNewEntry:"Publicer nye dokumenter"},workflowList:{onDeleteEntry:"Er du sikker på at du vil slette dette dokument?",onPublishingNotReadyEntry:'Kun dokumenter med "Klar" status kan publiceres. Træk kortet til "Klar" kolonnen for at tillade publicering.',onPublishEntry:"Er du sikker på at du vil publicere dokumentet?",draftHeader:"Kladder",inReviewHeader:"Til gennemsyn",readyHeader:"Klar",currentEntries:"%{smart_count} dokument |||| %{smart_count} dokumenter"}}},tme={auth:{login:"Login",loggingIn:"Sie werden eingeloggt...",loginWithNetlifyIdentity:"Mit Netlify Identity einloggen",loginWithAzure:"Mit Azure einloggen",loginWithBitbucket:"Mit Bitbucket einloggen",loginWithGitHub:"Mit GitHub einloggen",loginWithGitLab:"Mit GitLab einloggen",loginWithGitea:"Mit Gitea einloggen",errors:{email:"Stellen Sie sicher, Ihre E-Mail-Adresse einzugeben.",password:"Bitte geben Sie Ihr Passwort ein.",identitySettings:"Identity Einstellungen konnten nicht abgerufen werden. Stellen Sie bei der Verwendung des Git-Gateway Backends sicher, den Identity Service und das Git Gateway zu aktivieren."}},app:{header:{content:"Inhalt",workflow:"Arbeitsablauf",media:"Medien",quickAdd:"Schnell-Erstellung"},app:{errorHeader:"Fehler beim Laden der CMS-Konfiguration.",configErrors:"Konfigurationsfehler",checkConfigYml:"Überprüfen Sie die config.yml Konfigurationsdatei.",loadingConfig:"Konfiguration laden...",waitingBackend:"Auf Server warten..."},notFoundPage:{header:"Nicht gefunden"}},collection:{sidebar:{collections:"Inhaltstypen",allCollections:"Allen Inhaltstypen",searchAll:"Alles durchsuchen",searchIn:"Suchen in"},collectionTop:{sortBy:"Sortieren nach",viewAs:"Anzeigen als",newButton:"Neue(r/s) %{collectionLabel}",ascending:"Aufsteigend",descending:"Absteigend",searchResults:'Suchergebnisse für "%{searchTerm}"',searchResultsInCollection:'Suchergebnisse für "%{searchTerm}" in %{collection}',filterBy:"Filtern nach",groupBy:"Gruppieren nach"},entries:{loadingEntries:"Beiträge laden",cachingEntries:"Beiträge zwischenspeichern",longerLoading:"Diese Aktion kann einige Minuten in Anspruch nehmen",noEntries:"Keine Beiträge"},groups:{other:"Andere",negateLabel:"Nicht %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Änderungsdatum"}}},editor:{editorControl:{field:{optional:"optional"}},editorControlPane:{widget:{required:"%{fieldLabel} ist erforderlich.",regexPattern:"%{fieldLabel} entspricht nicht dem Muster: %{pattern}.",processing:"%{fieldLabel} wird verarbeitet.",range:"%{fieldLabel} muss zwischen %{minValue} und %{maxValue} liegen.",min:"%{fieldLabel} muss größer als %{minValue} sein.",max:"%{fieldLabel} darf nicht größer als %{maxValue} sein.",rangeCount:"%{fieldLabel} muss %{minCount} bis %{maxCount} Element(e) enthalten.",rangeCountExact:"%{fieldLabel} muss exakt %{count} Element(e) enthalten.",rangeMin:"%{fieldLabel} muss mindestens %{minCount} Element(e) enthalten.",rangeMax:"%{fieldLabel} darf maximal %{maxCount} Element(e) enthalten.",invalidPath:"'%{path}' ist kein gültiger Pfad",pathExists:"Pfad '%{path}' existiert bereits"},i18n:{writingInLocale:"Aktuelle Sprache: %{locale}",copyFromLocale:"Aus anderer Sprache übernehmen",copyFromLocaleConfirm:"Wollen Sie wirklich die Daten aus der Sprache %{locale} übernehmen?\nAlle bishergen Inhalte werden überschrieben."}},editor:{onLeavePage:"Möchten Sie diese Seite wirklich verlassen?",onUpdatingWithUnsavedChanges:"Es sind noch ungespeicherte Änderungen vorhanden. Bitte speichern Sie diese, bevor Sie den Status aktualisieren.",onPublishingNotReady:'Bitte setzten die den Status vor dem Veröffentlichen auf "Abgeschlossen".',onPublishingWithUnsavedChanges:"Es sind noch ungespeicherte Änderungen vorhanden. Bitte speicheren Sie vor dem Veröffentlichen.",onPublishing:"Soll dieser Beitrag wirklich veröffentlicht werden?",onUnpublishing:"Soll die Veröffentlichung dieses Beitrags wirklich zurückgezogen werden?",onDeleteWithUnsavedChanges:"Möchten Sie diesen veröffentlichten Beitrag, sowie Ihre nicht gespeicherten Änderungen löschen?",onDeletePublishedEntry:"Soll dieser veröffentlichte Beitrag wirklich gelöscht werden?",onDeleteUnpublishedChangesWithUnsavedChanges:"Möchten Sie diesen unveröffentlichten Beitrag, sowie Ihre nicht gespeicherten Änderungen löschen?",onDeleteUnpublishedChanges:"Alle unveröffentlichten Änderungen werden gelöscht. Möchten Sie wirklich löschen?",loadingEntry:"Beitrag laden...",confirmLoadBackup:"Für diesen Beitrag ist ein lokales Backup vorhanden. Möchten Sie dieses benutzen?"},editorInterface:{toggleI18n:"Übersetzungen",togglePreview:"Vorschau",toggleScrollSync:"Synchron scrollen"},editorToolbar:{publishing:"Veröffentlichen...",publish:"Veröffentlichen",published:"Veröffentlicht",unpublish:"Veröffentlichung zurückziehen",duplicate:"Duplizieren",unpublishing:"Veröffentlichung wird zurückgezogen...",publishAndCreateNew:"Veröffentlichen und neuen Beitrag erstellen",publishAndDuplicate:"Veröffentlichen und Beitrag duplizieren",deleteUnpublishedChanges:"Unveröffentlichte Änderungen verwerfen",deleteUnpublishedEntry:"Lösche unveröffentlichten Beitrag",deletePublishedEntry:"Lösche veröffentlichten Beitrag",deleteEntry:"Lösche Beitrag",saving:"Speichern...",save:"Speichern",statusInfoTooltipDraft:"Beitrag ist im Entwurfsstatus. Um ihn fertigzustellen und zur Überprüfung freizugeben, setzen Sie den Status auf Zur Überprüfung.",statusInfoTooltipInReview:"Beitrag wird überprüft, keine weitere Aktion erforderlich. Sie können weitere Änderungen vornehmen, während die Überprüfung läuft.",deleting:"Löschen...",updating:"Aktualisieren...",status:"Status: %{status}",backCollection:"Zurück zu allen %{collectionLabel}",unsavedChanges:"Ungespeicherte Änderungen",changesSaved:"Änderungen gespeichert",draft:"Entwurf",inReview:"Zur Überprüfung",ready:"Abgeschlossen",publishNow:"Jetzt veröffentlichen",deployPreviewPendingButtonLabel:"Überprüfen ob eine Vorschau vorhanden ist",deployPreviewButtonLabel:"Vorschau anzeigen",deployButtonLabel:"Live ansehen"},editorWidgets:{markdown:{bold:"Fett",italic:"Kursiv",code:"Code",link:"Link",linkPrompt:"Link-URL eingeben",headings:"Überschriften",quote:"Zitat",bulletedList:"Aufzählungsliste",numberedList:"Nummerierte Liste",addComponent:"Komponente hinzufügen",richText:"Rich Text",markdown:"Markdown"},image:{choose:"Wähle ein Bild",chooseUrl:"Von URL hinzufügen",replaceUrl:"Von URL ersetzen",promptUrl:"Bild-URL eingeben",chooseDifferent:"Wähle ein anderes Bild",remove:"Entferne Bild"},file:{choose:"Wählen Sie eine Datei",chooseUrl:"Von URL hinzufügen",replaceUrl:"Von URL ersetzen",promptUrl:"Datei-URL eingeben",chooseDifferent:"Wählen Sie eine andere Datei",remove:"Datei löschen"},unknownControl:{noControl:"Kein Bedienelement für Widget '%{widget}'."},unknownPreview:{noPreview:"Keine Vorschau für Widget '%{widget}'."},headingOptions:{headingOne:"Überschrift 1",headingTwo:"Überschrift 2",headingThree:"Überschrift 3",headingFour:"Überschrift 4",headingFive:"Überschrift 5",headingSix:"Überschrift 6"},datetime:{now:"Jetzt",clear:"Löschen"},list:{add:"%{item} hinzufügen",addType:"%{item} hinzufügen"}}},mediaLibrary:{mediaLibraryCard:{draft:"Entwurf",copy:"Kopieren",copyUrl:"URL kopieren",copyPath:"Pfad kopieren",copyName:"Name kopieren",copied:"Kopiert"},mediaLibrary:{onDelete:"Soll das ausgewählte Medium wirklich gelöscht werden?",fileTooLarge:"Datei zu groß.\nErlaubt sind nur Dateien bis %{size} kB."},mediaLibraryModal:{loading:"Laden...",noResults:"Keine Egebnisse.",noAssetsFound:"Keine Medien gefunden.",noImagesFound:"Keine Bilder gefunden.",private:"Privat ",images:"Bilder",mediaAssets:"Medien",search:"Suchen...",uploading:"Hochladen...",upload:"Hochladen",download:"Download",deleting:"Löschen...",deleteSelected:"Ausgewähltes Element löschen",chooseSelected:"Ausgewähltes Element verwenden"}},ui:{default:{goBackToSite:"Zurück zur Seite"},errorBoundary:{title:"Fehler",details:"Ein Fehler ist aufgetreten - bitte ",reportIt:"berichte ihn.",detailsHeading:"Details",privacyWarning:"Beim Eröffnen eines Fehlerberichts werden automatisch die Fehlermeldung und Debugdaten eingefügt.\nBitte überprüfen Sie, ob die Informationen korrrekt sind und entfernen Sie ggfs. sensible Daten.",recoveredEntry:{heading:"Wiederhergestellter Beitrag",warning:"Bitte sichern Sie sich diese Informationen, bevor Sie die Seite verlassen!",copyButtonLabel:"In Zwischenablage speichern"}},settingsDropdown:{logOut:"Abmelden"},toast:{onFailToLoadEntries:"Beitrag konnte nicht geladen werden: %{details}",onFailToLoadDeployPreview:"Vorschau konnte nicht geladen werden: %{details}",onFailToPersist:"Beitrag speichern fehlgeschlagen: %{details}",onFailToDelete:"Beitrag löschen fehlgeschlagen: %{details}",onFailToUpdateStatus:"Status aktualisieren fehlgeschlagen: %{details}",missingRequiredField:"Oops, einige zwingend erforderliche Felder sind nicht ausgefüllt.",entrySaved:"Beitrag gespeichert",entryPublished:"Beitrag veröffentlicht",entryUnpublished:"Beitrag nicht mehr öffentlich",onFailToPublishEntry:"Veröffentlichen fehlgeschlagen: %{details}",onFailToUnpublishEntry:"Veröffentlichung des Beitrags konnte nicht rückgängig gemacht werden: %{details}",entryUpdated:"Beitragsstatus aktualisiert",onDeleteUnpublishedChanges:"Unveröffentlichte Änderungen verworfen",onFailToAuth:"%{details}",onLoggedOut:"Sie wurden ausgeloggt. Bitte sichern Sie Ihre Daten und melden Sie sich erneut an.",onBackendDown:"Der Server ist aktuell nicht erreichbar. Für weitere Informationen, siehe: %{details}"}},workflow:{workflow:{loading:"Arbeitsablauf Beiträge laden",workflowHeading:"Redaktioneller Arbeitsablauf",newPost:"Neuer Beitrag",description:"%{smart_count} Beitrag zur Überprüfung bereit, %{readyCount} bereit zur Veröffentlichung. |||| %{smart_count} Beiträge zur Überprüfung bereit, %{readyCount} bereit zur Veröffentlichung. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} von %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"von %{author}",deleteChanges:"Änderungen verwerfen",deleteNewEntry:"Lösche neuen Beitrag",publishChanges:"Veröffentliche Änderungen",publishNewEntry:"Veröffentliche neuen Beitrag"},workflowList:{onDeleteEntry:"Soll dieser Beitrag wirklich gelöscht werden?",onPublishingNotReadyEntry:'Nur Beiträge im Status "Abgeschlossen" können veröffentlicht werden. Bitte ziehen Sie den Beitrag in die "Abgeschlossen" Spalte um die Veröffentlichung zu aktivieren.',onPublishEntry:"Soll dieser Beitrag wirklich veröffentlicht werden soll?",draftHeader:"Entwurf",inReviewHeader:"In Prüfung",readyHeader:"Abgeschlossen",currentEntries:"%{smart_count} Beitrag |||| %{smart_count} Beiträge"}}},nme={auth:{login:"Login",loggingIn:"Logging in...",loginWithNetlifyIdentity:"Login with Netlify Identity",loginWithAzure:"Login with Azure",loginWithBitbucket:"Login with Bitbucket",loginWithGitHub:"Login with GitHub",loginWithGitLab:"Login with GitLab",loginWithGitea:"Login with Gitea",errors:{email:"Make sure to enter your email.",password:"Please enter your password.",identitySettings:"Unable to access identity settings. When using git-gateway backend make sure to enable Identity service and Git Gateway."}},app:{header:{content:"Contents",workflow:"Workflow",media:"Media",quickAdd:"Quick add"},app:{errorHeader:"Error loading the CMS configuration",configErrors:"Config Errors",checkConfigYml:"Check your config.yml file.",loadingConfig:"Loading configuration...",waitingBackend:"Waiting for backend..."},notFoundPage:{header:"Not Found"}},collection:{sidebar:{collections:"Collections",allCollections:"All Collections",searchAll:"Search all",searchIn:"Search in"},collectionTop:{sortBy:"Sort by",viewAs:"View as",newButton:"New %{collectionLabel}",ascending:"Ascending",descending:"Descending",searchResults:'Search Results for "%{searchTerm}"',searchResultsInCollection:'Search Results for "%{searchTerm}" in %{collection}',filterBy:"Filter by",groupBy:"Group by"},entries:{loadingEntries:"Loading Entries...",cachingEntries:"Caching Entries...",longerLoading:"This might take several minutes",noEntries:"No Entries"},groups:{other:"Other",negateLabel:"Not %{label}"},defaultFields:{author:{label:"Author"},updatedOn:{label:"Updated On"}}},editor:{editorControl:{field:{optional:"optional"}},editorControlPane:{widget:{required:"%{fieldLabel} is required.",regexPattern:"%{fieldLabel} didn't match the pattern: %{pattern}.",processing:"%{fieldLabel} is processing.",range:"%{fieldLabel} must be between %{minValue} and %{maxValue}.",min:"%{fieldLabel} must be at least %{minValue}.",max:"%{fieldLabel} must be %{maxValue} or less.",rangeCount:"%{fieldLabel} must have between %{minCount} and %{maxCount} item(s).",rangeCountExact:"%{fieldLabel} must have exactly %{count} item(s).",rangeMin:"%{fieldLabel} must be at least %{minCount} item(s).",rangeMax:"%{fieldLabel} must be %{maxCount} or less item(s).",invalidPath:"'%{path}' is not a valid path",pathExists:"Path '%{path}' already exists"},i18n:{writingInLocale:"Writing in %{locale}",copyFromLocale:"Fill in from another locale",copyFromLocaleConfirm:"Do you want to fill in data from %{locale} locale?\nAll existing content will be overwritten."}},editor:{onLeavePage:"Are you sure you want to leave this page?",onUpdatingWithUnsavedChanges:"You have unsaved changes, please save before updating status.",onPublishingNotReady:'Please update status to "Ready" before publishing.',onPublishingWithUnsavedChanges:"You have unsaved changes, please save before publishing.",onPublishing:"Are you sure you want to publish this entry?",onUnpublishing:"Are you sure you want to unpublish this entry?",onDeleteWithUnsavedChanges:"Are you sure you want to delete this published entry, as well as your unsaved changes from the current session?",onDeletePublishedEntry:"Are you sure you want to delete this published entry?",onDeleteUnpublishedChangesWithUnsavedChanges:"This will delete all unpublished changes to this entry, as well as your unsaved changes from the current session. Do you still want to delete?",onDeleteUnpublishedChanges:"All unpublished changes to this entry will be deleted. Do you still want to delete?",loadingEntry:"Loading entry...",confirmLoadBackup:"A local backup was recovered for this entry, would you like to use it?"},editorInterface:{toggleI18n:"Toggle i18n",togglePreview:"Toggle preview",toggleScrollSync:"Sync scrolling"},editorToolbar:{publishing:"Publishing...",publish:"Publish",published:"Published",unpublish:"Unpublish",duplicate:"Duplicate",unpublishing:"Unpublishing...",publishAndCreateNew:"Publish and create new",publishAndDuplicate:"Publish and duplicate",deleteUnpublishedChanges:"Delete unpublished changes",deleteUnpublishedEntry:"Delete unpublished entry",deletePublishedEntry:"Delete published entry",deleteEntry:"Delete entry",saving:"Saving...",save:"Save",statusInfoTooltipDraft:"Entry status is set to draft. To finalize and submit it for review, set the status to In review",statusInfoTooltipInReview:"Entry is being reviewed, no further actions are required. However, you can still make additional changes while it is being reviewed.",deleting:"Deleting...",updating:"Updating...",status:"Status: %{status}",backCollection:" Writing in %{collectionLabel} collection",unsavedChanges:"Unsaved Changes",changesSaved:"Changes saved",draft:"Draft",inReview:"In review",ready:"Ready",publishNow:"Publish now",deployPreviewPendingButtonLabel:"Check for Preview",deployPreviewButtonLabel:"View Preview",deployButtonLabel:"View Live"},editorWidgets:{markdown:{bold:"Bold",italic:"Italic",code:"Code",link:"Link",linkPrompt:"Enter the URL of the link",headings:"Headings",quote:"Quote",bulletedList:"Bulleted List",numberedList:"Numbered List",addComponent:"Add Component",richText:"Rich Text",markdown:"Markdown"},image:{choose:"Choose an image",chooseMultiple:"Choose images",chooseUrl:"Insert from URL",replaceUrl:"Replace with URL",promptUrl:"Enter the URL of the image",chooseDifferent:"Choose different image",addMore:"Add more images",remove:"Remove image",removeAll:"Remove all images"},file:{choose:"Choose a file",chooseUrl:"Insert from URL",chooseMultiple:"Choose files",replaceUrl:"Replace with URL",promptUrl:"Enter the URL of the file",chooseDifferent:"Choose different file",addMore:"Add more files",remove:"Remove file",removeAll:"Remove all files"},unknownControl:{noControl:"No control for widget '%{widget}'."},unknownPreview:{noPreview:"No preview for widget '%{widget}'."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"},datetime:{now:"Now",clear:"Clear"},list:{add:"Add %{item}",addType:"Add %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Draft",copy:"Copy",copyUrl:"Copy URL",copyPath:"Copy Path",copyName:"Copy Name",copied:"Copied"},mediaLibrary:{onDelete:"Are you sure you want to delete selected media?",fileTooLarge:"File too large.\nConfigured to not allow files greater than %{size} kB."},mediaLibraryModal:{loading:"Loading...",noResults:"No results.",noAssetsFound:"No assets found.",noImagesFound:"No images found.",private:"Private ",images:"Images",mediaAssets:"Media assets",search:"Search...",uploading:"Uploading...",upload:"Upload",download:"Download",deleting:"Deleting...",deleteSelected:"Delete selected",chooseSelected:"Choose selected"}},ui:{default:{goBackToSite:"Go back to site"},errorBoundary:{title:"Error",details:"There's been an error - please ",reportIt:"open an issue on GitHub.",detailsHeading:"Details",privacyWarning:"Opening an issue pre-populates it with the error message and debugging data.\nPlease verify the information is correct and remove sensitive data if exists.",recoveredEntry:{heading:"Recovered document",warning:"Please copy/paste this somewhere before navigating away!",copyButtonLabel:"Copy to clipboard"}},settingsDropdown:{logOut:"Log Out"},toast:{onFailToLoadEntries:"Failed to load entry: %{details}",onFailToLoadDeployPreview:"Failed to load preview: %{details}",onFailToPersist:"Failed to persist entry: %{details}",onFailToDelete:"Failed to delete entry: %{details}",onFailToUpdateStatus:"Failed to update status: %{details}",missingRequiredField:"Oops, you've missed a required field. Please complete before saving.",entrySaved:"Entry saved",entryPublished:"Entry published",entryUnpublished:"Entry unpublished",onFailToPublishEntry:"Failed to publish: %{details}",onFailToUnpublishEntry:"Failed to unpublish entry: %{details}",entryUpdated:"Entry status updated",onDeleteUnpublishedChanges:"Unpublished changes deleted",onFailToAuth:"%{details}",onLoggedOut:"You have been logged out, please back up any data and login again",onBackendDown:"The backend service is experiencing an outage. See %{details} for more information"}},workflow:{workflow:{loading:"Loading Editorial Workflow Entries",workflowHeading:"Editorial Workflow",newPost:"New Post",description:"%{smart_count} entry waiting for review, %{readyCount} ready to go live. |||| %{smart_count} entries waiting for review, %{readyCount} ready to go live. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} by %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"by %{author}",deleteChanges:"Delete changes",deleteNewEntry:"Delete new entry",publishChanges:"Publish changes",publishNewEntry:"Publish new entry"},workflowList:{onDeleteEntry:"Are you sure you want to delete this entry?",onPublishingNotReadyEntry:'Only items with a "Ready" status can be published. Please drag the card to the "Ready" column to enable publishing.',onPublishEntry:"Are you sure you want to publish this entry?",draftHeader:"Drafts",inReviewHeader:"In Review",readyHeader:"Ready",currentEntries:"%{smart_count} entry |||| %{smart_count} entries"}}},rme={auth:{login:"Iniciar sesión",loggingIn:"Iniciando sesión...",loginWithNetlifyIdentity:"Iniciar sesión con Netlify Identity",loginWithBitbucket:"Iniciar sesión con Bitbucket",loginWithGitHub:"Iniciar sesión con GitHub",loginWithGitLab:"Iniciar sesión con GitLab",loginWithGitea:"Iniciar sesión con Gitea",errors:{email:"Asegúrate de introducir tu correo electrónico.",password:"Por favor introduce tu contraseña.",identitySettings:"No se pudo acceder a la configuración de Identity. Cuando uses el backend git-gateway asegurate de habilitar el servicio Identity y Git Gateway."}},app:{header:{content:"Contenido",workflow:"Flujo Editorial",media:"Medios",quickAdd:"Añadir rápido"},app:{errorHeader:"Error al cargar la configuración del CMS",configErrors:"Errores de configuración",checkConfigYml:"Compruebe el archivo config.yml.",loadingConfig:"Cargando configuración....",waitingBackend:"Esperando al servidor..."},notFoundPage:{header:"No encontrado"}},collection:{sidebar:{collections:"Colecciones",searchAll:"Buscar todas"},collectionTop:{sortBy:"Ordenar por",viewAs:"Ver como",newButton:"Nuevo %{collectionLabel}",ascending:"Ascendente",descending:"Descendente"},entries:{loadingEntries:"Cargando entradas",cachingEntries:"Almacenando entradas en caché",longerLoading:"Esto puede tardar varios minutos",noEntries:"Ninguna entrada"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Actualizado en"}}},editor:{editorControl:{field:{optional:"opcional"}},editorControlPane:{widget:{required:"%{fieldLabel} es obligatorio.",regexPattern:"%{fieldLabel} no coincide con el patrón: %{pattern}.",processing:"%{fieldLabel} está procesando.",range:"%{fieldLabel} debe estar entre %{minValue} y %{maxValue}.",min:"%{fieldLabel} debe ser por lo menos %{minValue}.",max:"%{fieldLabel} debe ser %{maxValue} o menos.",rangeCount:"%{fieldLabel} debe tener entre %{minCount} y %{maxCount} elemento(s).",rangeCountExact:"%{fieldLabel} debe tener exactamente %{count} elemento(s).",rangeMin:"%{fieldLabel} debe ser por lo menos %{minCount} elemento(s).",rangeMax:"%{fieldLabel} debe ser %{maxCount} o menos elemento(s)."}},editor:{onLeavePage:"¿Estás seguro de que quieres dejar esta página?",onUpdatingWithUnsavedChanges:"Tiene cambios no guardados, por favor, guárdelos antes de actualizar el estado.",onPublishingNotReady:'Por favor, actualice el estado a "Ready" antes de publicar.',onPublishingWithUnsavedChanges:"Tiene cambios no guardados, por favor guárdelos antes de publicarlos.",onPublishing:"¿Estás seguro de que quieres publicar esta entrada?",onUnpublishing:"¿Estás seguro de que quieres retirar esta entrada?",onDeleteWithUnsavedChanges:"¿Está seguro de que desea eliminar esta entrada publicada, así como los cambios no guardados de la sesión actual?",onDeletePublishedEntry:"¿Estás seguro de que quieres borrar esta entrada publicada?",onDeleteUnpublishedChangesWithUnsavedChanges:"Esto eliminará todos los cambios no publicados de esta entrada, así como los cambios no guardados de la sesión actual. ¿Todavía quieres borrar?",onDeleteUnpublishedChanges:"Todos los cambios no publicados en esta entrada serán eliminados. ¿Todavía quieres borrar?",loadingEntry:"Cargando entrada...",confirmLoadBackup:"Se recuperó una copia de seguridad local para esta entrada, ¿le gustaría utilizarla?"},editorToolbar:{publishing:"Publicando...",publish:"Publicar",published:"Publicado",unpublish:"Retirar",duplicate:"Duplicar",unpublishing:"Retirando...",publishAndCreateNew:"Publicar y crear nuevo",publishAndDuplicate:"Publicar y duplicar",deleteUnpublishedChanges:"Eliminar cambios no publicados",deleteUnpublishedEntry:"Eliminar entrada no publicada",deletePublishedEntry:"Eliminar entrada publicada",deleteEntry:"Eliminar entrada",saving:"Guardando...",save:"Guardar",deleting:"Eliminando...",updating:"Actualizando...",status:"Estado: %{status}",backCollection:" Escribiendo en la colección %{collectionLabel}",unsavedChanges:"Cambios no guardados",changesSaved:"Cambios guardados",draft:"Borrador",inReview:"En revisión",ready:"Listo",publishNow:"Publicar ahora",deployPreviewPendingButtonLabel:"Comprobar Vista Previa",deployPreviewButtonLabel:"Ver Vista Previa",deployButtonLabel:"Ver publicación"},editorWidgets:{markdown:{richText:"Texto enriquecido",markdown:"Markdown"},image:{choose:"Elige una imagen",chooseDifferent:"Elige una imagen diferente",remove:"Quita la imagen"},file:{choose:"Escoge un archivo",chooseDifferent:"Elige un archivo diferente",remove:"Remover archivo"},unknownControl:{noControl:"No existe un control para el widget '%{widget}'."},unknownPreview:{noPreview:"No existe una vista previa para el widget '%{widget}'."},headingOptions:{headingOne:"Encabezado 1",headingTwo:"Encabezado 2",headingThree:"Encabezado 3",headingFour:"Encabezado 4",headingFive:"Encabezado 5",headingSix:"Encabezado 6"},datetime:{now:"Ahora",clear:"Limpiar"}}},mediaLibrary:{mediaLibraryCard:{draft:"Borrador"},mediaLibrary:{onDelete:"¿Está seguro de que desea eliminar el archivo seleccionado?",fileTooLarge:"Archivo muy pesado.\nConfigurado para no permitir archivos más pesados que %{size} kB."},mediaLibraryModal:{loading:"Cargando...",noResults:"Sin resultados.",noAssetsFound:"Archivos no encontrados.",noImagesFound:"Imágenes no encontradas.",private:"Privado ",images:"Imágenes",mediaAssets:"Archivos multimedia",search:"Buscar...",uploading:"Subiendo...",upload:"Subir nuevo",download:"Descargar",deleting:"Eliminando...",deleteSelected:"Eliminar selección",chooseSelected:"Confirmar selección"}},ui:{default:{goBackToSite:"Regresar al sitio"},errorBoundary:{title:"Error",details:"Se ha producido un error - por favor ",reportIt:"infórmenos de ello.",detailsHeading:"Detalles",privacyWarning:"Abrir un reporte lo rellena previamente con el mensaje de error y los datos de depuración.\nPor favor verifica que la información es correcta y elimina cualquier dato sensible.",recoveredEntry:{heading:"Documento recuperado",warning:"¡Por favor, copie/pegue esto en algún lugar antes de ir a otra página!",copyButtonLabel:"Copiar al portapapeles"}},settingsDropdown:{logOut:"Cerrar sesión"},toast:{onFailToLoadEntries:"No se ha podido cargar la entrada: %{details}",onFailToLoadDeployPreview:"No se ha podido cargar la vista previa: %{details}",onFailToPersist:"No se ha podido guardar la entrada: %{details}",onFailToDelete:"No se ha podido borrar la entrada: %{details}",onFailToUpdateStatus:"No se ha podido actualizar el estado: %{details}",missingRequiredField:"Oops, no ha rellenado un campo obligatorio. Por favor, rellénelo antes de guardar.",entrySaved:"Entrada guardada",entryPublished:"Entrada publicada",entryUnpublished:"Entrada retirada",onFailToPublishEntry:"No se ha podido publicar: %{details}",onFailToUnpublishEntry:"No se ha podido retirar la entrada: %{details}",entryUpdated:"Estado de entrada actualizado",onDeleteUnpublishedChanges:"Cambios no publicados eliminados",onFailToAuth:"%{details}"}},workflow:{workflow:{loading:"Cargando Entradas del Flujo Editorial",workflowHeading:"Flujo Editorial",newPost:"Nuevo artículo",description:"%{smart_count} entrada esperando revisión, %{readyCount} lista para publicar |||| %{smart_count} entradas esperando revisión, %{readyCount} listas para publicar. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} por %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"por %{author}",deleteChanges:"Eliminar cambios",deleteNewEntry:"Eliminar nueva entrada",publishChanges:"Publicar cambios",publishNewEntry:"Publicar nueva entrada"},workflowList:{onDeleteEntry:"¿Está seguro de que quiere borrar esta entrada?",onPublishingNotReadyEntry:'Sólo se pueden publicar los elementos con el estado "Listo". Por favor, arrastre la tarjeta hasta la columna "Listo" para permitir la publicación.',onPublishEntry:"¿Estás seguro de que quieres publicar esta entrada?",draftHeader:"Borradores",inReviewHeader:"En revisión",readyHeader:"Listo",currentEntries:"%{smart_count} entrada |||| %{smart_count} entradas"}}},ime={auth:{login:"Iniciar sessió",loggingIn:"Iniciant sessió...",loginWithNetlifyIdentity:"Iniciar sessió amb l'identitat de Netlify",loginWithBitbucket:"Iniciar sessió amb Bitbucket",loginWithGitHub:"Iniciar sessió amb GitHub",loginWithGitLab:"Iniciar sessió amb GitLab",loginWithGitea:"Iniciar sessió amb Gitea",errors:{email:"Comprova que has escrit el teu email.",password:"Si us plau escriu la teva contrasenya.",identitySettings:"No s'ha pogut obtenir accés a les configuracions d'identitat. Quan feu servir backend de git-gateway, assegureu-vos que activeu el servei didentitat i la passarel·la de Git."}},app:{header:{content:"Contingut",workflow:"Flux Editorial",media:"Multimèdia",quickAdd:"Afegir"},app:{errorHeader:"Error al carregar la configuració del CMS",configErrors:"Errors de configuració",checkConfigYml:"Comprovi l'arxiu config.yml.",loadingConfig:"Carregant configuració....",waitingBackend:"Esperant al servidor..."},notFoundPage:{header:"No trobat"}},collection:{sidebar:{collections:"Col·leccions",allCollections:"Totes les col·leccions",searchAll:"Buscar tots",searchIn:"Buscar a"},collectionTop:{sortBy:"Ordenar per",viewAs:"Veure com",newButton:"Nou %{collectionLabel}",ascending:"Ascendent",descending:"Descendent",searchResults:'Buscar resultats per "%{searchTerm}"',searchResultsInCollection:'Buscar resultats per "%{searchTerm}" a %{collection}',filterBy:"Filtrar per",groupBy:"Agrupar per"},entries:{loadingEntries:"Carregant entrades",cachingEntries:"Emmagatzemant entrades a la caché",longerLoading:"Això podria tardar uns minuts",noEntries:"Cap entrada"},groups:{other:"Altre",negateLabel:"No %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Actualitzat el"}}},editor:{editorControl:{field:{optional:"opcional"}},editorControlPane:{widget:{required:"%{fieldLabel} és obligatori.",regexPattern:"%{fieldLabel} no coincideix amb el patró: %{pattern}.",processing:"%{fieldLabel} està processant.",range:"%{fieldLabel} ha d'estar entre %{minValue} i %{maxValue}.",min:"%{fieldLabel} ha ser com a mínim %{minValue}.",max:"%{fieldLabel} ha de ser %{maxValue} o més.",rangeCount:"%{fieldLabel} ha de tenir entre %{minCount} i %{maxCount} element(s).",rangeCountExact:"%{fieldLabel} ha de tenir exactament %{count} element(s).",rangeMin:"%{fieldLabel} ha de tenir com a mínim %{minCount} d'element(s).",rangeMax:"%{fieldLabel} ha de ser %{maxCount} o inferior.",invalidPath:"'%{path}' no és una ruta valida",pathExists:"'%{path}' ja existeix"},i18n:{writingInLocale:"Escriure en %{locale}"}},editor:{onLeavePage:"Estàs segur que vols deixar aquesta pàgina?",onUpdatingWithUnsavedChanges:"Tens canvis no guardats, si us plau, guarda'ls abans d'actualitzar l'estat.",onPublishingNotReady:'Si us plau, actualitza l\'estat a "Llest" abans de publicar.',onPublishingWithUnsavedChanges:"Tens canvis no guardats, si us plau, guarda'ls abans de publicar-los.",onPublishing:"Estàs segur que vols publicar aquesta entrada?",onUnpublishing:"Estàs segur que vols esborrar aquesta entrada?",onDeleteWithUnsavedChanges:"Està segur que vol eliminar aquesta entrada publicada, així com els canvis no guardats de la sessió actual?",onDeletePublishedEntry:"Està segur que vol eliminar aquesta entrada publicada?",onDeleteUnpublishedChangesWithUnsavedChanges:"Això eliminarà tots els canvis no publicats d'aquesta entrada així com els canvis no guardats de la sessió actual. Encara vol procedir?",onDeleteUnpublishedChanges:"Tots els canvis no publicats en aquesta entrada seràn esborrats. Encara els vol eliminar?",loadingEntry:"Carregant entrada...",confirmLoadBackup:"S'ha recuperat una copia de seguretat local per aquesta entrada. La vol utilitzar?"},editorInterface:{toggleI18n:"Mostrar/Amagar traduccions",togglePreview:"Mostrar/Amagar previsualització"},editorToolbar:{publishing:"Publicant...",publish:"Publicar",published:"Publicat",unpublish:"Despublicar",duplicate:"Duplicar",unpublishing:"Despublicant...",publishAndCreateNew:"Publicar i crear de nou",publishAndDuplicate:"Publica i duplica",deleteUnpublishedChanges:"Eliminar canvis no publicats",deleteUnpublishedEntry:"Eliminar entrada no publicada",deletePublishedEntry:"Eliminar entrada publicada",deleteEntry:"Eliminar entrada",saving:"Guardant...",save:"Guardar",deleting:"Eliminant...",updating:"Actualizant...",status:"Estat: %{status}",backCollection:"Escrivint a la colecció %{collectionLabel}",unsavedChanges:"Canvis no guardats",changesSaved:"Canvis guardats",draft:"Esborrany",inReview:"En revisió",ready:"Llest",publishNow:"Publicar ara",deployPreviewPendingButtonLabel:"Comprovar Vista Prèvia",deployPreviewButtonLabel:"Veure Vista Prèvia",deployButtonLabel:"Veure publicació"},editorWidgets:{markdown:{bold:"Negreta",italic:"Cursiva",code:"Codi",link:"Enllaç",linkPrompt:"Introdueix l'URL de l'enllaç",headings:"Encapçalaments",bulletedList:"Llista",numberedList:"Llista numèrica",addComponent:"Afegir component",richText:"Text enriquit",markdown:"Markdown"},image:{choose:"Escull una imatge",chooseUrl:"Introdueix una URL",replaceUrl:"Substitueix per una URL",promptUrl:"Introdueix l'URL de la imatge",chooseDifferent:"Escull una imatge diferent",remove:"Treu la imatge"},file:{choose:"Escull un arxiu",chooseUrl:"Introdueix una URL",replaceUrl:"Substitueix per una URL",promptUrl:"Introdueix l'URL de l'arxiu",chooseDifferent:"Escull un arxiu diferent",remove:"Esborrar arxiu"},unknownControl:{noControl:"No existeix un control per al widget '%{widget}'."},unknownPreview:{noPreview:"No existeix una vista prèvia per al widget '%{widget}'."},headingOptions:{headingOne:"Encapçalament 1",headingTwo:"Encapçalament 2",headingThree:"Encapçalament 3",headingFour:"Encapçalament 4",headingFive:"Encapçalament 5",headingSix:"Encapçalament 6"},datetime:{now:"Ara",clear:"Netejar"}}},mediaLibrary:{mediaLibraryCard:{draft:"Esborrany",copy:"Copiar",copyUrl:"Copiar URL",copyPath:"Copiar path",copyName:"Copiar nom",copied:"Copiat"},mediaLibrary:{onDelete:"Està segur de que vol eliminar el mitjà seleccionat?",fileTooLarge:"El fitxer és massa gran.\nLa configuració no permet fitxers més grans de %{size} kB."},mediaLibraryModal:{loading:"Carregant...",noResults:"Sense resultats.",noAssetsFound:"Arxius no trobats.",noImagesFound:"Imatges no trobades.",private:"Privat",images:"Imatges",mediaAssets:"Arxius multimèdia",search:"Buscar...",uploading:"Penjant...",upload:"Penjar nou",download:"Descarregar",deleting:"Eliminant...",deleteSelected:"Eliminar selecció",chooseSelected:"Confirmar selecció"}},ui:{default:{goBackToSite:"Torna enrere al lloc"},errorBoundary:{title:"Error",details:"S'ha produït un error - si us plau ",reportIt:"Informa'ns d'això a GitHub.",detailsHeading:"Detalls",recoveredEntry:{heading:"Document recuperat",warning:"Si us plau, copiï/enganxi això en algun lloc abans de navegar a una altre pàgina!",copyButtonLabel:"Copiar al porta-retalls"}},settingsDropdown:{logOut:"Tancar sessió"},toast:{onFailToLoadEntries:"No s'ha ha pogut carregar l'entrada: %{details}",onFailToLoadDeployPreview:"No s'ha pogut carregar la vista prèvia: %{details}",onFailToPersist:"No s'ha pogut guardar l'entrada: %{details}",onFailToDelete:"No s'ha pogut eliminar l'entrada: %{details}",onFailToUpdateStatus:"No s'ha pogut actualitzar l'estat: %{details}",missingRequiredField:"Ups, no ha omplert un camp obligatori. Si us plau, ompli'l abans de guardar.",entrySaved:"Entrada guardada",entryPublished:"Entrada publicada",entryUnpublished:"Entrada despublicada",onFailToPublishEntry:"No s'ha pogut publicar: %{details}",onFailToUnpublishEntry:"No s'ha pogut despublicar l'entrada: %{details}",entryUpdated:"Estat de l'entrada actualitzat",onDeleteUnpublishedChanges:"Canvis no publicats eliminats",onFailToAuth:"%{details}",onLoggedOut:"La teva sessió ha estat tancada. Si us plau, torna a iniciar-la",onBackendDown:"El servidor està patint problemes. Consulta %{details} per a més informació"}},workflow:{workflow:{loading:"Carregant Entradas del Flux Editorial",workflowHeading:"Flux Editorial",newPost:"Nou article",description:"%{smart_count} entrada esperant revisió, %{readyCount} llesta per a publicar |||| %{smart_count} entrades esperant revisió, %{readyCount} llestes per a publicar. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} per %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"per %{author}",deleteChanges:"Eliminar canvis",deleteNewEntry:"Eliminar nova entrada",publishChanges:"Publicar canvis",publishNewEntry:"Publicar nova entrada"},workflowList:{onDeleteEntry:"Està segur que vol borrar aquesta entrada?",onPublishingNotReadyEntry:'Només es poden publicar elements amb estat "Llest". Si us plau, arrossegui la targeta fins la columna "Llest" per a permetre\'n la publicació',onPublishEntry:"Està segur que vol publicar aquesta entrada?",draftHeader:"Esborranys",inReviewHeader:"En revisió",readyHeader:"Llest",currentEntries:"%{smart_count} entrada |||| %{smart_count} entrades"}}},ome={auth:{login:"Se connecter",loggingIn:"Connexion en cours...",loginWithNetlifyIdentity:"Se connecter avec Netlify Identity",loginWithAzure:"Se connecter avec Azure",loginWithBitbucket:"Se connecter avec Bitbucket",loginWithGitHub:"Se connecter avec GitHub",loginWithGitLab:"Se connecter avec GitLab",loginWithGitea:"Se connecter avec Gitea",errors:{email:"Assurez-vous d'avoir entré votre email.",password:"Merci de saisir votre mot de passe.",identitySettings:"Impsosible d'accéder aux paramètres d'identité. Si vous utilisez le backend git-gateway, merci de vous assurer que vous avez bien activé le service Identity et la passerelle Git."}},app:{header:{content:"Contenus",workflow:"Flux",media:"Media",quickAdd:"Ajout rapide"},app:{errorHeader:"Erreur au chargement de la configuration du CMS",configErrors:"Erreurs de configuration",checkConfigYml:"Vérifiez votre fichier config.yml.",loadingConfig:"Chargement de la configuration...",waitingBackend:"En attente du serveur..."},notFoundPage:{header:"Introuvable"}},collection:{sidebar:{collections:"Collections",allCollections:"Toutes les collections",searchAll:"Tout rechercher",searchIn:"Rechercher dans"},collectionTop:{sortBy:"Trier par",viewAs:"Voir comme",newButton:"Créer une entrée de type %{collectionLabel}",ascending:"Croissant",descending:"Décroissant",searchResults:'Résultats de la recherche pour "%{searchTerm}"',searchResultsInCollection:'Résultats de la recherche pour "%{searchTerm}" dans %{collection}',filterBy:"Filtrer par",groupBy:"Grouper par"},entries:{loadingEntries:"Chargement des entrées",cachingEntries:"Mise en cache des entrées",longerLoading:"Cela peut prendre quelques minutes",noEntries:"Aucune entrée"},groups:{other:"Autre",negateLabel:"Non %{label}"},defaultFields:{author:{label:"Auteur"},updatedOn:{label:"Mis à jour le"}}},editor:{editorControl:{field:{optional:"optionnel"}},editorControlPane:{widget:{required:"Le champ %{fieldLabel} est requis.",regexPattern:"Le champ %{fieldLabel} ne correspond pas au schéma: %{pattern}.",processing:"Le champ %{fieldLabel} est en cours de traitement.",range:"Le champ %{fieldLabel} doit être compris entre %{minValue} et %{maxValue}.",min:"Le champ %{fieldLabel} doit avoir une valeur de %{minValue} ou plus.",max:"Le champ %{fieldLabel} doit avoir une valeur de %{maxValue} ou moins.",rangeCount:"%{fieldLabel} doit avoir entre %{minCount} et %{maxCount} élément(s).",rangeCountExact:"%{fieldLabel} doit avoir exactement %{count} éléments(s).",rangeMin:"%{fieldLabel} doit avoir au moins %{minCount} éléments(s).",rangeMax:"%{fieldLabel} doit avoir %{maxCount} éléments(s) ou moins.",invalidPath:"'%{path}' n'est pas un chemin valide",pathExists:"Le chemin '%{path}' existe déjà"},i18n:{writingInLocale:"Écrire en %{locale}"}},editor:{onLeavePage:"Voulez-vous vraiment quitter cette page ?",onUpdatingWithUnsavedChanges:"Veuillez enregistrer vos modifications avant de mettre à jour le statut.",onPublishingNotReady:'Veuillez mettre à jour le statut à "Prêt" avant de publier.',onPublishingWithUnsavedChanges:"Veuillez enregistrer vos modifications avant de publier.",onPublishing:"Voulez-vous vraiment publier cette entrée ?",onUnpublishing:"Voulez-vous vraiment dépublier cette entrée ?",onDeleteWithUnsavedChanges:"Voulez-vous vraiment supprimer cette entrée publiée ainsi que vos modifications non enregistrées de cette session ?",onDeletePublishedEntry:"Voulez-vous vraiment supprimer cette entrée publiée ?",onDeleteUnpublishedChangesWithUnsavedChanges:"Ceci supprimera toutes les modifications non publiées de cette entrée ainsi que vos modifications non enregistrées de cette session. Voulez-vous toujours supprimer ?",onDeleteUnpublishedChanges:"Toutes les modifications non publiées de cette entrée seront supprimées. Voulez-vous toujours supprimer ?",loadingEntry:"Chargement de l'entrée...",confirmLoadBackup:"Une sauvegarde locale a été trouvée pour cette entrée. Voulez-vous l'utiliser ?"},editorInterface:{toggleI18n:"Édition multilingue",togglePreview:"Aperçu",toggleScrollSync:"Défilement synchronisé"},editorToolbar:{publishing:"Publication...",publish:"Publier",published:"Publiée",unpublish:"Dépublier",duplicate:"Dupliquer",unpublishing:"Dépublication...",publishAndCreateNew:"Publier et créer une nouvelle entrée",publishAndDuplicate:"Publier et dupliquer",deleteUnpublishedChanges:"Supprimer les modications non publiées",deleteUnpublishedEntry:"Supprimer l'entrée non publiée",deletePublishedEntry:"Supprimer l'entrée publiée",deleteEntry:"Supprimer l'entrée",saving:"Enregistrement...",save:"Enregistrer",deleting:"Suppression...",updating:"Mise à jour...",status:"Statut: %{status}",backCollection:" Écriture dans la collection %{collectionLabel}",unsavedChanges:"Modifications non enregistrées",changesSaved:"Modifications enregistrées",draft:"Brouillon",inReview:"En cours de révision",ready:"Prêt",publishNow:"Publier maintenant",deployPreviewPendingButtonLabel:"Vérifier l'aperçu",deployPreviewButtonLabel:"Voir l'aperçu",deployButtonLabel:"Voir en direct"},editorWidgets:{markdown:{bold:"Gras",italic:"Italique",code:"Code",link:"Lien",linkPrompt:"Entrer l'adresse web du lien",headings:"Titres",quote:"Citation",bulletedList:"Liste à puces",numberedList:"Liste numérotée",addComponent:"Ajouter un composant",richText:"Texte enrichi",markdown:"Markdown"},image:{choose:"Choisir une image",chooseUrl:"Insérer depuis une adresse web",replaceUrl:"Remplacer depuis une adresse web",promptUrl:"Entrer l'adresse web de l'image",chooseDifferent:"Choisir une image différente",remove:"Supprimer l'image"},file:{choose:"Choisir un fichier",chooseUrl:"Insérer depuis une adresse web",replaceUrl:"Remplacer depuis une adresse web",promptUrl:"Entrer l'adresse web du fichier",chooseDifferent:"Choisir un fichier différent",remove:"Effacer le fichier"},unknownControl:{noControl:"Pas de contrôle pour le gadget '%{widget}'."},unknownPreview:{noPreview:"Pas d'aperçu pour le gadget '%{widget}'."},headingOptions:{headingOne:"Titre 1",headingTwo:"Titre 2",headingThree:"Titre 3",headingFour:"Titre 4",headingFive:"Titre 5",headingSix:"Titre 6"},datetime:{now:"Maintenant",clear:"Effacer"},list:{add:"Ajouter %{item}",addType:"Ajouter une entrée de type %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Brouillon",copy:"Copier",copyUrl:"Copier l'adresse web",copyPath:"Copier le chemin d'accès",copyName:"Copier le nom",copied:"Copié"},mediaLibrary:{onDelete:"Voulez-vous vraiment supprimer la ressource sélectionné ?",fileTooLarge:"Le fichier est trop volumineux.\nL'instance est configurée pour bloquer les envois de plus de %{size} kB."},mediaLibraryModal:{loading:"Chargement...",noResults:"Aucun résultat.",noAssetsFound:"Aucune ressource trouvée.",noImagesFound:"Aucune image trouvée.",private:"Privé ",images:"Images",mediaAssets:"Ressources",search:"Recherche...",uploading:"Téléversement...",upload:"Téléverser une nouvelle ressource",download:"Télécharger",deleting:"Suppression...",deleteSelected:"Supprimer les éléments sélectionnés",chooseSelected:"Choisir les éléments sélectionnés"}},ui:{default:{goBackToSite:"Retourner sur le site"},errorBoundary:{title:"Erreur",details:"Une erreur est survenue, veuillez ",reportIt:"la signaler sur GitHub.",detailsHeading:"Détails",privacyWarning:"Ouvrir une issue la préremplie avec le message d'erreur et des données de déboggage.\nMerci de vérifier l'exactitude des informations et de supprimer toute donnée sensible si nécessaire.",recoveredEntry:{heading:"Document récupéré",warning:"Veuillez copier/coller ceci quelque part avant de naviguer ailleurs!",copyButtonLabel:"Copier dans le presse-papier"}},settingsDropdown:{logOut:"Déconnexion"},toast:{onFailToLoadEntries:"Échec du chargement de l'entrée : %{details}",onFailToLoadDeployPreview:"Échec du chargement de l'aperçu : %{details}",onFailToPersist:"Échec de l'enregistrement de l'entrée : %{details}",onFailToDelete:"Échec de la suppression de l'entrée : %{details}",onFailToUpdateStatus:"Échec de la mise à jour du statut : %{details}",missingRequiredField:"Oops, il manque un champ requis. Veuillez le renseigner avant de soumettre.",entrySaved:"Entrée enregistrée",entryPublished:"Entrée publiée",entryUnpublished:"Entrée dépubliée",onFailToPublishEntry:"Échec de la publication : %{details}",onFailToUnpublishEntry:"Impossible de dépublier l'entrée : %{details}",entryUpdated:"Statut de l'entrée mis à jour",onDeleteUnpublishedChanges:"Modifications non publiées supprimées",onFailToAuth:"%{details}",onLoggedOut:"Vous avez été déconnecté, merci de sauvegarder les données et vous reconnecter",onBackendDown:"Le serveur est actuellement hors-service. Pour plus d'informations : %{details}"}},workflow:{workflow:{loading:"Chargement des entrées du flux éditorial",workflowHeading:"Flux éditorial",newPost:"Nouvel article",description:"%{smart_count} entrée(s) en attente de revue, %{readyCount} prête(s) à être publiée(s). |||| %{smart_count} entrée(s) en attente de revue, %{readyCount} prête(s) à être publiée(s). ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} par %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"par %{author}",deleteChanges:"Supprimer les mofications",deleteNewEntry:"Supprimer la nouvelle entrée",publishChanges:"Publier les modifications",publishNewEntry:"Publier la nouvelle entrée"},workflowList:{onDeleteEntry:"Voulez-vous vraiment supprimer cette entrée ?",onPublishingNotReadyEntry:'Seuls les éléments ayant le statut "Prêt" peuvent être publiés. Veuillez glisser/déposer la carte dans la colonne "Prêt" pour activer la publication',onPublishEntry:"Voulez-vous vraiment publier cette entrée ?",draftHeader:"Brouillons",inReviewHeader:"En cours de révision",readyHeader:"Prêt",currentEntries:"%{smart_count} entrée |||| %{smart_count} entrées"}}},ame={auth:{login:"وارد شدن",loggingIn:"ورود به سیستم در...",loginWithNetlifyIdentity:"با Netlify Identity وارد شوید",loginWithAzure:"با Azure وارد شوید",loginWithBitbucket:"با Bitbucket وارد شوید",loginWithGitHub:"با GitHub وارد شوید",loginWithGitLab:"با GitLab وارد شوید",loginWithGitea:"با Gitea وارد شوید",errors:{email:"ایمیل خود را حتما وارد کنید.",password:"لطفا رمز عبور خود را وارد کنید.",identitySettings:"دسترسی به تنظیمات هویت امکان پذیر نیست. هنگام استفاده از باطن git-gateway، حتماً سرویس Identity و Git Gateway را فعال کنید."}},app:{header:{content:"فهرست",workflow:"جریان کار",media:"رسانه ها",quickAdd:"اضافه کردن سریع"},app:{errorHeader:"خطا در بارگیری پیکربندی CMS",configErrors:"خطاهای پیکربندی",checkConfigYml:"فایل config.yml خود را بررسی کنید.",loadingConfig:"در حال بارگیری پیکربندی...",waitingBackend:"در انتظار باطن..."},notFoundPage:{header:"پیدا نشد"}},collection:{sidebar:{collections:"مجموعه ها",allCollections:"همه مجموعه ها",searchAll:"جستجو در همه",searchIn:"جستجو در"},collectionTop:{sortBy:"مرتب سازی بر اساس",viewAs:"نمایش به صورت",newButton:"%{collectionLabel} جدید",ascending:"صعودی",descending:"نزولی",searchResults:'نتایج جستجو برای "%{searchTerm}"',searchResultsInCollection:'نتایج جستجو برای "%{searchTerm}" در %{collection}',filterBy:"محدود شده توسط",groupBy:"دسته بندی بر اساس"},entries:{loadingEntries:"در حال بارگیری ورودی ها...",cachingEntries:"ذخیره ورودی ها...",longerLoading:"این ممکن است چند دقیقه طول بکشد",noEntries:"بدون ورودی"},groups:{other:"دیگر",negateLabel:"نه %{label}"},defaultFields:{author:{label:"نویسنده"},updatedOn:{label:"به روز شد"}}},editor:{editorControl:{field:{optional:"اختیاری"}},editorControlPane:{widget:{required:"%{fieldLabel} مورد نیاز است.",regexPattern:"%{fieldLabel} با الگوی %{pattern} مطابقت نداشت.",processing:"%{fieldLabel} در حال پردازش است.",range:"%{fieldLabel} باید بین %{minValue} و %{maxValue} باشد.",min:"%{fieldLabel} باید حداقل %{minValue} باشد.",max:"%{fieldLabel} باید %{maxValue} یا کمتر باشد.",rangeCount:"%{fieldLabel} باید بین %{minCount} و %{maxCount} مورد (ها) داشته باشد.",rangeCountExact:"%{fieldLabel} باید دقیقا %{count} مورد(ها) داشته باشد.",rangeMin:"%{fieldLabel} باید حداقل %{minCount} مورد(ها) باشد.",rangeMax:"%{fieldLabel} باید %{maxCount} یا کمتر از موارد باشد.",invalidPath:"'%{path}' یک مسیر معتبر نیست",pathExists:"مسیر '%{path}' از قبل وجود دارد"},i18n:{writingInLocale:"نوشتن به %{locale}",copyFromLocale:"از محلی دیگر پر کنید",copyFromLocaleConfirm:"آیا می خواهید داده ها را از %{locale} محلی پر کنید؟\nتمام محتوای موجود رونویسی خواهد شد."}},editor:{onLeavePage:"آیا مطمئن هستید که می خواهید این صفحه را ترک کنید؟",onUpdatingWithUnsavedChanges:"شما تغییرات ذخیره نشده ای دارید، لطفا قبل از به روز رسانی وضعیت را ذخیره کنید.",onPublishingNotReady:'لطفاً قبل از انتشار وضعیت را به "آماده" به روز کنید.',onPublishingWithUnsavedChanges:"شما تغییرات ذخیره نشده ای دارید، لطفاً قبل از انتشار ذخیره کنید.",onPublishing:"آیا شما مطمئن هستید که می خواهید این مطلب را منتشر کنید؟",onUnpublishing:"آیا مطمئن هستید که می خواهید این ورودی را لغو انتشار کنید؟",onDeleteWithUnsavedChanges:"آیا مطمئن هستید که می خواهید این ورودی منتشر شده و همچنین تغییرات ذخیره نشده خود را از جلسه فعلی حذف کنید؟",onDeletePublishedEntry:"آیا مطمئنید که می خواهید این ورودی منتشر شده را حذف کنید؟",onDeleteUnpublishedChangesWithUnsavedChanges:"با این کار همه تغییرات منتشر نشده در این ورودی و همچنین تغییرات ذخیره نشده شما از جلسه فعلی حذف می شود. آیا هنوز می خواهید حذف کنید؟",onDeleteUnpublishedChanges:"همه تغییرات منتشر نشده در این ورودی حذف خواهند شد. آیا هنوز می خواهید حذف کنید؟",loadingEntry:"در حال بارگیری ورودی...",confirmLoadBackup:"یک نسخه پشتیبان محلی برای این ورودی بازیابی شد، آیا می خواهید از آن استفاده کنید؟"},editorInterface:{toggleI18n:"i18n را تغییر دهید",togglePreview:"پیش نمایش را تغییر دهید",toggleScrollSync:"همگام سازی پیمایش"},editorToolbar:{publishing:"در حال انتشار...",publish:"انتشار",published:"منتشر شده",unpublish:"لغو انتشار",duplicate:"تکراری",unpublishing:"در حال لغو انتشار...",publishAndCreateNew:"انتشار و ایجاد جدید",publishAndDuplicate:"انتشار و تکثیر",deleteUnpublishedChanges:"حذف تغییرات منتشر نشده",deleteUnpublishedEntry:"حذف ورودی منتشر نشده",deletePublishedEntry:"حذف ورودی منتشر شده",deleteEntry:"حذف ورودی",saving:"صرفه جویی در...",save:"صرفه جویی",statusInfoTooltipDraft:"وضعیت ورودی روی پیش نویس تنظیم شده است. برای نهایی کردن و ارسال آن برای بررسی، وضعیت را روی «در حال بررسی» تنظیم کنید",statusInfoTooltipInReview:"ورودی در حال بررسی است، هیچ اقدام دیگری لازم نیست. با این حال، همچنان می‌توانید در حین بررسی، تغییرات بیشتری ایجاد کنید.",deleting:"در حال حذف...",updating:"در حال بروز رسانی...",status:"وضعیت: %{status}",backCollection:"نوشتن در مجموعه %{collectionLabel}",unsavedChanges:"تغییرات ذخیره نشده",changesSaved:"تغییرات ذخیره شد",draft:"پیش نویس",inReview:"در بررسی",ready:"آماده",publishNow:"اکنون منتشر کنید",deployPreviewPendingButtonLabel:"پیش نمایش را بررسی کنید",deployPreviewButtonLabel:"مشاهده پیش نمایش",deployButtonLabel:"مشاهده زنده"},editorWidgets:{markdown:{bold:"پررنگ",italic:"کج",code:"کد",link:"ارتباط دادن",linkPrompt:"آدرس لینک را وارد کنید",headings:"سرفصل ها",quote:"نقل قول",bulletedList:"لیست گلوله شده",numberedList:"لیست شماره گذاری شده",addComponent:"افزودن کامپوننت",richText:"متن غنی",markdown:"مارک داون"},image:{choose:"یک تصویر را انتخاب کنید",chooseMultiple:"تصاویر را انتخاب کنید",chooseUrl:"درج از URL",replaceUrl:"با URL جایگزین کنید",promptUrl:"آدرس تصویر را وارد کنید",chooseDifferent:"تصویر متفاوت را انتخاب کنید",addMore:"تصاویر بیشتری اضافه کنید",remove:"حذف تصویر",removeAll:"حذف همه تصاویر"},file:{choose:"یک فایل را انتخاب کنید",chooseUrl:"درج از URL",chooseMultiple:"فایل ها را انتخاب کنید",replaceUrl:"با URL جایگزین کنید",promptUrl:"آدرس فایل را وارد کنید",chooseDifferent:"فایل های مختلف را انتخاب کنید",addMore:"فایل های بیشتری اضافه کنید",remove:"حذف فایل",removeAll:"تمام فایل ها را حذف کنید"},unknownControl:{noControl:'هیچ کنترلی برای ویجت "%{widget}" وجود ندارد.'},unknownPreview:{noPreview:'هیچ پیش نمایشی برای ویجت "%{widget}" وجود ندارد.'},headingOptions:{headingOne:"سرفصل 1",headingTwo:"سرفصل 2",headingThree:"سرفصل 3",headingFour:"سرفصل 4",headingFive:"سرفصل 5",headingSix:"سرفصل 6"},datetime:{now:"اکنون"},list:{add:"این مورد را اضافه کنید}",addType:"این مورد را اضافه کنید}"}}},mediaLibrary:{mediaLibraryCard:{draft:"پیش نویس",copy:"کپی",copyUrl:"URL را کپی کنید",copyPath:"مسیر را کپی کنید",copyName:"کپی نام",copied:"کپی شده است"},mediaLibrary:{onDelete:"آیا مطمئن هستید که می خواهید رسانه انتخابی را حذف کنید؟",fileTooLarge:"فایل خیلی بزرگ است.\nپیکربندی شده است تا فایل های بزرگتر از %{size} کیلوبایت مجاز نباشد."},mediaLibraryModal:{loading:"بارگذاری...",noResults:"هیچ نتیجه ای.",noAssetsFound:"هیچ دارایی یافت نشد.",noImagesFound:"هیچ تصویری یافت نشد",private:"خصوصی",images:"تصاویر",mediaAssets:"دارایی های رسانه ای",search:"جستجو کردن...",uploading:"در حال آپلود...",upload:"بارگذاری",download:"دانلود",deleting:"در حال حذف...",deleteSelected:"انتخاب شده را پاک کن",chooseSelected:"انتخاب شده را انتخاب کنید"}},ui:{default:{goBackToSite:"به سایت برگردید"},errorBoundary:{title:"خطا",details:"خطایی رخ داده است - لطفا",reportIt:"یک مسئله را در GitHub باز کنید.",detailsHeading:"جزئیات",privacyWarning:"باز کردن یک مشکل، آن را با پیام خطا و داده‌های اشکال‌زدایی از قبل پر می‌کند.\nلطفاً صحت اطلاعات را تأیید کنید و در صورت وجود داده های حساس را حذف کنید.",recoveredEntry:{heading:"سند بازیابی شده",warning:"لطفاً قبل از حرکت، این را در جایی کپی/پیست کنید!",copyButtonLabel:"کپی به کلیپ بورد"}},settingsDropdown:{logOut:"خروج"},toast:{onFailToLoadEntries:"ورودی بارگیری نشد: %{details}",onFailToLoadDeployPreview:"پیش نمایش بارگیری نشد: %{details}",onFailToPersist:"ادامه ورود ناموفق بود: %{details}",onFailToDelete:"ورودی حذف نشد: %{details}",onFailToUpdateStatus:"وضعیت به‌روزرسانی نشد: %{details}",missingRequiredField:"اوه، شما یک قسمت الزامی را از دست داده اید. لطفاً قبل از ذخیره تکمیل کنید.",entrySaved:"ورودی ذخیره شد",entryPublished:"مدخل منتشر شد",entryUnpublished:"ورودی منتشر نشده است",onFailToPublishEntry:"منتشر نشد: %{details}",onFailToUnpublishEntry:"لغو انتشار ورودی انجام نشد: %{details}",entryUpdated:"وضعیت ورودی به روز شد",onDeleteUnpublishedChanges:"تغییرات منتشر نشده حذف شد",onFailToAuth:"%{details}",onLoggedOut:"شما از سیستم خارج شده اید، لطفاً از داده ها نسخه پشتیبان تهیه کنید و دوباره وارد شوید",onBackendDown:"سرویس باطن در حال تجربه قطعی است. جهت اطلاعات بیشتر جزئیات را ببینید"}},workflow:{workflow:{loading:"در حال بارگیری ورودی های گردش کار ویرایشی",workflowHeading:"گردش کار تحریریه",newPost:"مطلب جدید",description:"%{smart_count} ورودی در انتظار بررسی، %{readyCount} آماده انتشار است. |||| %{smart_count} ورودی در انتظار بازبینی، %{readyCount} آماده انتشار است.",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} توسط %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"توسط %{author}",deleteChanges:"حذف تغییرات",deleteNewEntry:"حذف ورودی جدید",publishChanges:"انتشار تغییرات",publishNewEntry:"مطلب جدید را منتشر کنید"},workflowList:{onDeleteEntry:"آیا مطمئن هستید که می خواهید این ورودی را حذف کنید؟",onPublishingNotReadyEntry:'فقط مواردی با وضعیت "آماده" قابل انتشار هستند. لطفاً کارت را به ستون "آماده" بکشید تا انتشار فعال شود.',onPublishEntry:"آیا شما مطمئن هستید که می خواهید این مطلب را منتشر کنید؟",draftHeader:"پیش نویس",inReviewHeader:"در بررسی",readyHeader:"آماده",currentEntries:"%{smart_count} ورودی |||| %{smart_count} ورودی"}}},sme={auth:{login:"Σύνδεση",loggingIn:"Σύνδεση στο...",loginWithNetlifyIdentity:"Σύνδεση μέσω Netlify",loginWithBitbucket:"Σύνδεση μέσω Bitbucket",loginWithGitHub:"Σύνδεση μέσω GitHub",loginWithGitLab:"Σύνδεση μέσω GitLab",loginWithGitea:"Σύνδεση μέσω Gitea",errors:{email:"Βεβαιωθείτε ότι έχετε εισαγάγει το email σας.",password:"Παρακαλώ εισάγετε τον κωδικό πρόσβασής σας.",identitySettings:"Δεν είναι δυνατή η πρόσβαση στις ρυθμίσεις ταυτότητας. Όταν χρησιμοποιείτε το παρασκήνιο του git Gateway, φροντίστε να ενεργοποιήσετε την υπηρεσία Identity και το git Gateway."}},app:{header:{content:"Περιεχόμενα",workflow:"Ροής εργασίας",media:"Πολυμέσα",quickAdd:"Γρήγορη προσθήκη"},app:{errorHeader:"Σφάλμα κατά τη φόρτωση της ρύθμισης παραμέτρων CMS",configErrors:"Σφάλματα ρύθμισης παραμέτρων",checkConfigYml:"Ελέγξτε το αρχείο config.yml.",loadingConfig:"Φόρτωση ρύθμισης παραμέτρων...",waitingBackend:"Αναμονή για παρασκηνιακό..."},notFoundPage:{header:"Δεν βρέθηκε"}},collection:{sidebar:{collections:"Συλλογές",searchAll:"Αναζήτηση όλων"},collectionTop:{viewAs:"Προβολή ως",newButton:"Νέο %{collectionLabel}"},entries:{loadingEntries:"Εγγραφές φόρτωσης",cachingEntries:"Εγγραφές προσωρινής αποθήκευσης",longerLoading:"Αυτό μπορεί να διαρκέσει αρκετά λεπτά"}},editor:{editorControl:{field:{optional:"προαιρετικός"}},editorControlPane:{widget:{required:"Το %{fieldLabel} είναι απαραίτητο.",regexPattern:"Το %{fieldLabel} δεν ταιριάζει με το μοτίβο: %{pattern}.",processing:"Το %{fieldLabel} επεξεργάζεται.",range:"Το %{fieldLabel} πρέπει να είναι μεταξύ %{minValue} και %{maxValue}.",min:"Το %{fieldLabel} πρέπει να είναι τουλάχιστον %{minValue}.",max:"Το %{fieldLabel} πρέπει να είναι %{maxValue} ή μικρότερο."}},editor:{onLeavePage:"Είστε βέβαιοι ότι θέλετε να αφήσετε αυτήν τη σελίδα;",onUpdatingWithUnsavedChanges:"Έχετε μη αποθηκευμένες αλλαγές, αποθηκεύστε πριν να ενημερώσετε την κατάσταση.",onPublishingNotReady:'Ενημερώστε την κατάσταση σε "έτοιμο" πριν από τη δημοσίευση.',onPublishingWithUnsavedChanges:"Έχετε μη αποθηκευμένες αλλαγές, αποθηκεύστε πριν από τη δημοσίευση.",onPublishing:"Είστε βέβαιοι ότι θέλετε να δημοσιεύσετε αυτήν την καταχώρηση;",onUnpublishing:"Είστε βέβαιοι ότι θέλετε να καταργήσετε τη δημοσίευση αυτής της καταχώρησης;",onDeleteWithUnsavedChanges:"Είστε βέβαιοι ότι θέλετε να διαγράψετε αυτήν τη δημοσιευμένη καταχώρηση, καθώς και τις αλλαγές που δεν αποθηκεύσατε από την τρέχουσα περίοδο λειτουργίας;",onDeletePublishedEntry:"Είστε βέβαιοι ότι θέλετε να διαγράψετε αυτήν τη δημοσιευμένη καταχώρηση;",onDeleteUnpublishedChangesWithUnsavedChanges:"Αυτό θα διαγράψει όλες τις μη δημοσιευμένες αλλαγές σε αυτήν την καταχώρηση, καθώς και τις αλλαγές που δεν έχετε αποθηκεύσει από την τρέχουσα περίοδο λειτουργίας. Θέλετε ακόμα να διαγράψετε;",onDeleteUnpublishedChanges:"Όλες οι μη δημοσιευμένες αλλαγές σε αυτήν την καταχώρηση θα διαγραφούν. Θέλετε ακόμα να διαγράψετε;",loadingEntry:"Φόρτωση εισόδου...",confirmLoadBackup:"Ανακτήθηκε ένα τοπικό αντίγραφο ασφαλείας για αυτήν την καταχώρηση, θέλετε να το χρησιμοποιήσετε;"},editorToolbar:{publishing:"Δημοσίευση...",publish:"Δημοσίευση",published:"Δημοσιεύθηκε",unpublish:"Κατάργηση δημοσίευσης",duplicate:"Διπλότυπο",unpublishing:"Κατάργηση δημοσίευσης...",publishAndCreateNew:"Δημοσίευση και δημιουργία νέων",publishAndDuplicate:"Δημοσίευση και αντίγραφο",deleteUnpublishedChanges:"Διαγραφή μη δημοσιευμένων αλλαγών",deleteUnpublishedEntry:"Διαγραφή μη δημοσιευμένης καταχώρησης",deletePublishedEntry:"Διαγραφή δημοσιευμένης καταχώρησης",deleteEntry:"Διαγραφή καταχώρησης",saving:"Εξοικονόμηση...",save:"Αποθήκευση",deleting:"Διαγραφή...",updating:"Ενημέρωση...",status:"Κατάστασης: %{status}",backCollection:" Εγγραφή στη συλλογή %{collectionLabel}",unsavedChanges:"Μη αποθηκευμένες αλλαγές",changesSaved:"Αλλαγές που αποθηκεύτηκαν",draft:"Σχέδιο",inReview:"Σε επανεξέταση",ready:"Έτοιμα",publishNow:"Δημοσίευση τώρα",deployPreviewPendingButtonLabel:"Έλεγχος για προεπισκόπηση",deployPreviewButtonLabel:"Προβολή προεπισκόπησης",deployButtonLabel:"Προβολή Live"},editorWidgets:{image:{choose:"Επιλέξτε μια εικόνα",chooseDifferent:"Επιλέξτε διαφορετική εικόνα",remove:"Αφαιρέστε την εικόνα"},file:{choose:"Επιλέξτε ένα αρχείο",chooseDifferent:"Επιλέξτε διαφορετικό αρχείο",remove:"Αφαιρέστε το αρχείο"},unknownControl:{noControl:"Δεν υπάρχει έλεγχος για το widget '%{widget}'."},unknownPreview:{noPreview:"Δεν υπάρχει προεπισκόπηση για το widget '%{widget}'."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"}}},mediaLibrary:{mediaLibraryCard:{draft:"Προσχέδιο"},mediaLibrary:{onDelete:"Είστε βέβαιοι ότι θέλετε να διαγράψετε τα επιλεγμένα πολυμέσα;",fileTooLarge:"Το αρχείο είναι πολύ μεγάλο.\nΔεν επιτρέπονται αρχεία μεγαλύτερα από %{size} kB."},mediaLibraryModal:{loading:"Φόρτωση...",noResults:"Χωρίς αποτελέσματα.",noAssetsFound:"Δεν βρέθηκαν αρχεία.",noImagesFound:"Δεν βρέθηκαν εικόνες.",private:"Ιδιωτικό",images:"Εικόνες",mediaAssets:"Αρχεία πολυμέσων",search:"Αναζήτηση...",uploading:"Φόρτωμα...",upload:"Ανεβάστε νέα",deleting:"Διαγραφή...",deleteSelected:"Διαγραφή επιλεγμένου",chooseSelected:"Επιλέξτε επιλεγμένο"}},ui:{errorBoundary:{title:"Σφάλμα",details:"Υπάρχει ένα λάθος ",reportIt:"παρακαλώ να το αναφέρετε.",detailsHeading:"Λεπτομέρειες",recoveredEntry:{heading:"Ανακτημένο έγγραφο",warning:"Παρακαλώ αντιγράψτε/επικολλήστε αυτό κάπου πριν πλοηγηθείτε μακριά!",copyButtonLabel:"Αντιγραφή στο Πρόχειρο"}},settingsDropdown:{logOut:"Αποσύνδεση"},toast:{onFailToLoadEntries:"Απέτυχε η φόρτωση της καταχώρησης: %{details}",onFailToLoadDeployPreview:"Απέτυχε η φόρτωση της προεπισκόπησης: %{details}",onFailToPersist:"Απέτυχε η διατήρηση της καταχώρησης:% {Details}",onFailToDelete:"Απέτυχε η διαγραφή της καταχώρησης: %{details}",onFailToUpdateStatus:"Απέτυχε η ενημέρωση της κατάστασης: %{details}",missingRequiredField:"Ουπς, ξεχάσατε ένα απαιτούμενο πεδίο. Συμπληρώστε το πριν από την αποθήκευση.",entrySaved:"Η καταχώρηση Αποθηκεύτηκε",entryPublished:"Η καταχώρηση δημοσιεύτηκε",entryUnpublished:"Μη δημοσιευμένη καταχώρηση",onFailToPublishEntry:"Η δημοσίευση απέτυχε: %{details}",onFailToUnpublishEntry:"Απέτυχε η κατάργηση δημοσίευσης καταχώρησης: %{details}",entryUpdated:"Η κατάσταση εισόδου ενημερώθηκε",onDeleteUnpublishedChanges:"Οι μη δημοσιευμένες αλλαγές διαγράφηκαν",onFailToAuth:"%{details}"}},workflow:{workflow:{loading:"Φόρτωση καταχωρήσεων ροής εργασίας σύνταξης",workflowHeading:"Ροή εργασιών",newPost:"Νέα δημοσίευση",description:"%{smart_count} καταχώρησεις σε αναμονή για αναθεώρηση, %{readyCount} έτοιμες για Live μετάβαση. |||| %{smart_count} καταχωρήσεις σε αναμονή για αναθεώρηση, %{readyCount} έτοιμες για Live μετάβαση. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} από %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"από %{author}",deleteChanges:"Διαγραφή αλλαγών",deleteNewEntry:"Διαγραφή νέας καταχώρησης",publishChanges:"Δημοσίευση αλλαγών",publishNewEntry:"Δημοσίευση νέας καταχώρησης"},workflowList:{onDeleteEntry:"Είστε βέβαιοι ότι θέλετε να διαγράψετε αυτήν την καταχώρηση;",onPublishingNotReadyEntry:'Μόνο τα στοιχεία με κατάσταση "Ready" μπορούν να δημοσιευτούν. Σύρετε την κάρτα στη στήλη "έτοιμο" για να ενεργοποιήσετε τη δημοσίευση.',onPublishEntry:"Είστε βέβαιοι ότι θέλετε να δημοσιεύσετε αυτήν την καταχώρηση;",draftHeader:"Προσχέδια",inReviewHeader:"Σε ανασκόπηση",readyHeader:"Έτοιμα",currentEntries:"%{smart_count} καταχωρηση |||| %{smart_count} καταχωρησεις"}}},lme={app:{header:{content:"Tartalom",workflow:"Munkafolyamat",media:"Média",quickAdd:"Gyors hozzáadás"},app:{errorHeader:"Hiba történt a CMS konfiguráció betöltése közben",configErrors:"Configurációs hibák",checkConfigYml:"Ellenőrizd a config.yml filet.",loadingConfig:"Konfiguráció betöltése...",waitingBackend:"Várakozás hattérrendszerekre..."},notFoundPage:{header:"Nincs találat"}},collection:{sidebar:{collections:"Gyűjtemények",searchAll:"Keresés mindenre"},collectionTop:{viewAs:"Nézet mint",newButton:"Új %{collectionLabel}"},entries:{loadingEntries:"Bejegyzések betöltése",cachingEntries:"Bejegyzések cacheelése",longerLoading:"Ez még eltarthat néhany percig"}},editor:{editorControl:{field:{optional:"választható"}},editorControlPane:{widget:{required:"%{fieldLabel} kötelező mező.",regexPattern:"%{fieldLabel} nem egyezik a %{pattern} mintával.",processing:"%{fieldLabel} feldolgozás alatt.",range:"%{fieldLabel}, %{minValue} és %{maxValue} értékek között kell legyen.",min:"%{fieldLabel} legalább %{minValue} kell legyen vagy több.",max:"%{fieldLabel} legalabb %{maxValue} vagy kevesebb kell legyen."}},editor:{onLeavePage:"Biztos hogy el akarod hagyni az oldalt?",onUpdatingWithUnsavedChanges:"Mentettlen változtatások vannak, kérjük, mentse az állapot frissítése előtt.",onPublishingNotReady:'Változtasd az állapotot "Kész"-re publikálás előtt.',onPublishingWithUnsavedChanges:"Mentetlen változtatások vannak, kérjük, mentsen a publikálás előtt.",onPublishing:"Publikálod ezt a bejegyzést?",onUnpublishing:"Publikálás visszavonása erre a bejegyzésre?",onDeleteWithUnsavedChanges:"Töröljük ezt a publikált bejegyzést, a többi mentetlen modositással együtt?",onDeletePublishedEntry:"Töröljük ezt a publikált bejegyzést?",onDeleteUnpublishedChangesWithUnsavedChanges:"Ezzel törli a bejegyzés összes nem közzétett módosítását, valamint az aktuális munkamenetből nem mentett módosításokat. Még mindig törli?",onDeleteUnpublishedChanges:"A bejegyzés összes, nem közzétett módosítása törlődik. Még mindig törli?",loadingEntry:"Bejegyzés betöltése...",confirmLoadBackup:"Helyi biztonsági másolat került helyre ehhez a bejegyzéshez, szeretné használni?"},editorToolbar:{publishing:"Publikálás...",publish:"Publikáció",published:"Publikálás",unpublish:"Publikálás visszavonása",duplicate:"Duplikált",unpublishing:"Publikálás visszavonása...",publishAndCreateNew:"Publikálás és új létrehozása",publishAndDuplicate:"Publikálás és duplikál",deleteUnpublishedChanges:"Nempublikált változtatások törlése",deleteUnpublishedEntry:"Nempublikált bejegyzés törlése",deletePublishedEntry:"Publikált bejegyzés törlése",deleteEntry:"Bejegyzés törlése",saving:"Mentés...",save:"Mentés",deleting:"Törlés...",updating:"Frissítés...",status:"Beállitása: %{status}",backCollection:" Írás a %{collectionLabel} gyűjteménybe",unsavedChanges:"Nemmentett változtatások",changesSaved:"Változások elmentve",draft:"Piszkozat",inReview:"Felülvizsgálat alatt",ready:"Kész",publishNow:"Publikálás most",deployPreviewPendingButtonLabel:"Előnézet ellenörzése",deployPreviewButtonLabel:"Előnézet megtekintése",deployButtonLabel:"Élő megtekintése"},editorWidgets:{image:{choose:"Válasszon képet",chooseDifferent:"Válasszon másik képet",remove:"Távolítsa el a képet"},file:{choose:"Válasszon fájlt",chooseDifferent:"Válasszon másik fájlt",remove:"Távolítsa el a fájlt"},unknownControl:{noControl:"Nincs vezérlés a '%{widget}' widget számára."},unknownPreview:{noPreview:"Nincs előnézet a '%{widget}' widget számára."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"},list:{add:"Új %{item}",addType:"Új típus %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Piszkozat"},mediaLibrary:{onDelete:"Biztos törli a kiválasztott média tartalmat?"},mediaLibraryModal:{loading:"Betöltés...",noResults:"Nincs találat.",noAssetsFound:"Nem található tartalom.",noImagesFound:"Nem található kép.",private:"Privát ",images:"Képek",mediaAssets:"Média tartalmak",search:"Keresés...",uploading:"Feltöltés...",upload:"Új feltöltés",deleting:"Törlés...",deleteSelected:"Kijelöltek törlése",chooseSelected:"Kijelöl"}},ui:{errorBoundary:{title:"Hiba",details:"Hiba történt - kérjük ",reportIt:"jelentse.",detailsHeading:"Részletek",recoveredEntry:{heading:"Helyreállitott dokumentum",warning:"Kérjük mentse ezt el (vágólapra) mielőtt elhagyná az oldalt!",copyButtonLabel:"Másolás a vágólapra"}},settingsDropdown:{logOut:"Kijelentkezés"},toast:{onFailToLoadEntries:"A bejegyzés betöltése nem sikerült: %{details}",onFailToLoadDeployPreview:"Az előnézet betöltése nem sikerült: %{details}",onFailToPersist:"Bejegyzés megtartása sikertelen: %{details}",onFailToDelete:"A bejegyzés törlése sikertelen: %{details}",onFailToUpdateStatus:"Az állapot frissítése nem sikerült: %{details}",missingRequiredField:"Hoppá, kihagytál egy kötelező mezőt. Mentés előtt töltsd ki.",entrySaved:"Bejegyzés elmentve",entryPublished:"Bejegyzés publikálva",entryUnpublished:"Bejegyzés publikálása visszavonva",onFailToPublishEntry:"Bejegyzés publikálása sikertelen: %{details}",onFailToUnpublishEntry:"Bejegyzés publikálásának visszavonása sikertelen: %{details}",entryUpdated:"Bejegyzés állapota frissült",onDeleteUnpublishedChanges:"Unpublished changes deleted",onFailToAuth:"%{details}"}},workflow:{workflow:{loading:"A szerkesztési munkafolyamat-bejegyzések betöltése",workflowHeading:"Szerkesztői Folyamat",newPost:"New Post",description:"%{smart_count} bejegyzés felülvizsgálatra vár, %{readyCount} élesítésre vár. |||| %{smart_count} bejegyzés felülvizsgálatra vár, %{readyCount} élesítésre vár. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date}, írta %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"%{author}",deleteChanges:"Változtatások törlése",deleteNewEntry:"Új bejegyzés törlése",publishChanges:"Változtatások publikálása",publishNewEntry:"Új bejegyzés publikálása"},workflowList:{onDeleteEntry:"Biztosan törli ezt a bejegyzést?",onPublishingNotReadyEntry:'Csak a "Kész" állapotú tételek tehetők közzé. A közzététel engedélyezéséhez húzza a kártyát a „Kész” oszlopba.',onPublishEntry:"Biztosan közzéteszi ezt a bejegyzést?",draftHeader:"Piszkozat",inReviewHeader:"Vizsgálat alatt",readyHeader:"Kész",currentEntries:"%{smart_count} bejegyzés |||| %{smart_count} bejegyzések"}}},ume={auth:{login:"Accedi",loggingIn:"Effettuando l'accesso...",loginWithNetlifyIdentity:"Accedi con Netlify Identity",loginWithBitbucket:"Accedi con Bitbucket",loginWithGitHub:"Accedi con GitHub",loginWithGitLab:"Accedi con GitLab",loginWithGitea:"Accedi con Gitea",errors:{email:"Assicurati di inserire la tua mail.",password:"Inserisci la tua password.",identitySettings:"Impossibile accedere alle impostazioni di Identity. Quando usi git-gateway come backend assicurati di abilitare il servizio Itentity e Git Gateway."}},app:{header:{content:"Contenuti",workflow:"Workflow",media:"Media",quickAdd:"Aggiunta veloce"},app:{errorHeader:"Errore nel caricamento della configurazione CMS",configErrors:"Errori di Configurazione",checkConfigYml:"Controlla il tuo file config.yml.",loadingConfig:"Caricando la configurazione...",waitingBackend:"Attendi il backend..."},notFoundPage:{header:"Non trovato"}},collection:{sidebar:{collections:"Collezioni",searchAll:"Cerca su tutto"},collectionTop:{viewAs:"Vedi come",newButton:"Nuovo/a %{collectionLabel}"},entries:{loadingEntries:"Caricando le voci",cachingEntries:"Cachando le voci",longerLoading:"Questa operazione potrebbe durare diversi minuti"}},editor:{editorControl:{field:{optional:"opzionale"}},editorControlPane:{widget:{required:"%{fieldLabel} è richiesto.",regexPattern:"%{fieldLabel} non corrisponde allo schema: %{pattern}.",processing:"%{fieldLabel} sta elaborando.",range:"%{fieldLabel} deve essere tra %{minValue} e %{maxValue}.",min:"%{fieldLabel} deve essere almeno %{minValue}.",max:"%{fieldLabel} deve essere %{maxValue} o meno."}},editor:{onLeavePage:"Sei sicuro di voler lasciare questa pagina?",onUpdatingWithUnsavedChanges:"Hai delle modifiche non salvate, salvale prima di aggiornare lo status.",onPublishingNotReady:'Aggiorna lo status a "Pronto" prima di pubblicare.',onPublishingWithUnsavedChanges:"Hai delle modifiche non salvate, salvale prima di pubblicare.",onPublishing:"Sei sicuro di voler pubblicare questa voce?",onUnpublishing:"Sei sicuro di voler nascondere questa voce?",onDeleteWithUnsavedChanges:"Sei sicuro di voler cancellare questa voce pubblicata e tutte le modifiche non salvate della tua sessione corrente?",onDeletePublishedEntry:"Sei sicuro di voler cancellare questa voce pubblicata?",onDeleteUnpublishedChangesWithUnsavedChanges:"Questo cancellerà tutte le modifiche non pubblicate di questa voce, come anche tutte le modifiche non salvate della sessione corrente. Vuoi ancora cancellarle?",onDeleteUnpublishedChanges:"Tutte le modifiche non pubblicate a questa voce saranno cancellate. Vuoi ancora cancellarle?",loadingEntry:"Caricando la voce...",confirmLoadBackup:"Un backup locale è stato recuperato per questa voce, vuoi utilizzarlo?"},editorToolbar:{publishing:"Pubblicando...",publish:"Pubblica",published:"Pubblicato",unpublish:"Rimuovi dalla pubblicazione",duplicate:"Duplica",unpublishing:"Rimuovendo dalla pubblicazione...",publishAndCreateNew:"Pubblica e creane uno nuovo",publishAndDuplicate:"Pubblica e duplica",deleteUnpublishedChanges:"Cancella le modifiche non pubblicate",deleteUnpublishedEntry:"Cancella le voci non pubblicate",deletePublishedEntry:"Cancella la voce pubblicata",deleteEntry:"Cancella voce",saving:"Salvando...",save:"Salva",deleting:"Cancellando...",updating:"Aggiornando...",status:"Status: %{status}",backCollection:" Scrivendo nella sezione %{collectionLabel}",unsavedChanges:"Modifiche non salvate",changesSaved:"Modifiche salvate",draft:"Bozza",inReview:"In revisione",ready:"Pronto",publishNow:"Pubblica ora",deployPreviewPendingButtonLabel:"Controlla l'anteprima",deployPreviewButtonLabel:"Guarda l'anteprima",deployButtonLabel:"Guarda Live"},editorWidgets:{image:{choose:"Scegli un'immagine",chooseDifferent:"Scegli un'immagine diversa",remove:"Rimuovi immagine"},file:{choose:"Scegli un file",chooseDifferent:"Scegli un altro file",remove:"Rimuovi il file"},unknownControl:{noControl:"Nessun controllo per il widget '%{widget}'."},unknownPreview:{noPreview:"Nessuna preview per il widget '%{widget}'."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"}}},mediaLibrary:{mediaLibraryCard:{draft:"Bozza"},mediaLibrary:{onDelete:"Sei sicuro di voler cancellare il media selezionato?",fileTooLarge:"File troppo grande.\nConfigurato per non accettare file piú grandi di %{size} kB."},mediaLibraryModal:{loading:"Caricamento...",noResults:"Nessun risultato.",noAssetsFound:"Nessun assets trovato.",noImagesFound:"Nessuna immagine trovata.",private:"Privato ",images:"Immagini",mediaAssets:"Media assets",search:"Cerca...",uploading:"Uploading...",upload:"Upload",deleting:"Deleting...",deleteSelected:"Cancella selezionato",chooseSelected:"Prendi selezionato"}},ui:{errorBoundary:{title:"Errore",details:"C'è stato un errore - per favore ",reportIt:"riportalo.",detailsHeading:"Dettagli",recoveredEntry:{heading:"Documento recuperato",warning:"Per favore copia/incollalo da qualche parte prima di navigare altrove!",copyButtonLabel:"Copialo negli appunti"}},settingsDropdown:{logOut:"Esci"},toast:{onFailToLoadEntries:"Caricamento voce non riuscito: %{details}",onFailToLoadDeployPreview:"Caricamento della preview non riuscito: %{details}",onFailToPersist:"Salvataggio della voce non riuscito: %{details}",onFailToDelete:"Cancellazione della voce non riuscita: %{details}",onFailToUpdateStatus:"Aggiornamento dello status non riuscito: %{details}",missingRequiredField:"Oops, ti sei perso un campo obbligatorio. Per favore completalo prima di salvare.",entrySaved:"Voce salvata",entryPublished:"Voce pubblicata",entryUnpublished:"Voce rimossa dalla pubblicazione",onFailToPublishEntry:"Pubblicazione fallita: %{details}",onFailToUnpublishEntry:"Rimozione della pubblicazione fallita: %{details}",entryUpdated:"Status della voce aggiornato",onDeleteUnpublishedChanges:"Modifiche non pubblicate cancellate",onFailToAuth:"%{details}"}},workflow:{workflow:{loading:"Caricando le voci del Flusso Editoriale",workflowHeading:"Flusso Editoriale",newPost:"Nuovo Post",description:"%{smart_count} voce attende la revisione, %{readyCount} pronte per la pubblicazione. |||| %{smart_count} voci attendono la revisione, %{readyCount} pronte per la pubblicazione. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} da %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"da %{author}",deleteChanges:"Cancella le modifiche",deleteNewEntry:"Cancella nuova voce",publishChanges:"Pubblica modifiche",publishNewEntry:"Pubblica una nuova voce"},workflowList:{onDeleteEntry:"Sei sicuro di voler cancellare questa voce?",onPublishingNotReadyEntry:'Solo gli oggetti con lo status "Pronto" possono essere pubblicati. Sposta la Card nella colonna "Pronto" per abilitare la pubblicazione.',onPublishEntry:"Sei sicuro di voler pubblicare questa voce?",draftHeader:"Bozze",inReviewHeader:"In Revisione",readyHeader:"Pronto",currentEntries:"%{smart_count} voce |||| %{smart_count} voci"}}},cme={auth:{login:"Prisijungti",loggingIn:"Prisijungiama...",loginWithNetlifyIdentity:"Prisijungti su Netlify Identity",loginWithAzure:"Prisijungti su Azure",loginWithBitbucket:"Prisijungti su Bitbucket",loginWithGitHub:"Prisijungti su GitHub",loginWithGitLab:"Prisijungti su GitLab",loginWithGitea:"Prisijungti su Gitea",errors:{email:"Įveskite savo elektroninį paštą.",password:"Įveskite savo slaptažodį.",identitySettings:"Deja, nepavyksta pasiekti Identity paslaugos nustatymus. Kai naudojate git-gateway backend metodą, įjunkite „Identity service“ ir „Git Gateway“."}},app:{header:{content:"Turinys",workflow:"Darbo eiga",media:"Medija",quickAdd:"Sukurti naują"},app:{errorHeader:"Klaida, neišėjo užkrauti/pasiekti CMS konfigūracijos failą",configErrors:"Konfigūracijos (nustatymų) klaidos",checkConfigYml:"Patikrinkite config.yml balsą.",loadingConfig:"Kraunamas nustatymų (konfigūracijos) failas...",waitingBackend:"Laukiama serverio..."},notFoundPage:{header:"Nerasta"}},collection:{sidebar:{collections:"Kolekcijos",allCollections:"Visos kolekcijos",searchAll:"Ieškoti viską",searchIn:"Ieškoti tik čia"},collectionTop:{sortBy:"Rikiavimo tvarka",viewAs:"Peržiūrėti kaip",newButton:"Nauja(s) %{collectionLabel}",ascending:"Didėjimo tvarka (A-Z)",descending:"Mažėjimo tvarka (Z-A)",searchResults:"Paieškos rezultatai: „%{searchTerm}“",searchResultsInCollection:"Paieškos rezultatai: „%{searchTerm}“ iš %{collection}",filterBy:"Filtruoti",groupBy:"Grupuoti"},entries:{loadingEntries:"Kraunamas turinys...",cachingEntries:"Talpinami įrašai...",longerLoading:"Šis procesas gali trukti keletą minučių",noEntries:"Nėra turinio"},groups:{other:"Kita",negateLabel:"Ne %{label}"},defaultFields:{author:{label:"Autorius"},updatedOn:{label:"Atnaujinta"}}},editor:{editorControl:{field:{optional:"neprivaloma"}},editorControlPane:{widget:{required:"Privaloma užpildyti laukelį %{fieldLabel}.",regexPattern:"%{fieldLabel} laukelis neatitiko konfigūracijoje nustatytų taisyklių: %{pattern}.",processing:"Apdorojame %{fieldLabel}.",range:"%{fieldLabel} turi būti tarp %{minValue} ir %{maxValue}.",min:"%{fieldLabel} turi būti bent %{minValue}.",max:"%{fieldLabel} turi būti %{maxValue} arba mažiau.",rangeCount:"%{fieldLabel} turi būti tarp %{minCount} ir %{maxCount} elementų/-o.",rangeCountExact:"%{fieldLabel} turi turėti būtent tik %{count} elementų/-us.",rangeMin:"%{fieldLabel} turi būti bent %{minCount} elementų.",rangeMax:"%{fieldLabel} turi būti %{maxCount} arba mažiau elementų.",invalidPath:"'%{path}' nėra taisyklinga nuoroda/adresas į resursą/-us",pathExists:"Adresas '%{path}' jau egzistuoja"},i18n:{writingInLocale:"Rašome %{locale} kalboje"}},editor:{onLeavePage:"Ar tikrai norite uždaryti šį puslapį?",onUpdatingWithUnsavedChanges:"Turite neišsaugotų pakeitimų! Prašome išsaugoti prieš pakeičiant statusą.",onPublishingNotReady:"Prieš publikuojant, privalote pakeisti statusą į „Paruošta“.",onPublishingWithUnsavedChanges:"Yra neišsaugotų pakeitimų, prašome išsaugoti juos prieš publikuojant.",onPublishing:"Ae tikrai norite publikuoti šį įrašą?",onUnpublishing:"Tikrai norite panaikinti publikavimo statusą?",onDeleteWithUnsavedChanges:"Tikrai norite panaikinti publikuotą įrašą ir Jūsų pakeiitmus iš dabartinės sesijos?",onDeletePublishedEntry:"Tikrai norite ištrinti šį publikuotą įrašą?",onDeleteUnpublishedChangesWithUnsavedChanges:"Tai ištrins visus nepublikuotus pakeitimus įraše, taip pat neišsaugotus pakeitimus per dabartinę sesiją. Vis tiek norite trinti?",onDeleteUnpublishedChanges:"Visi Jūsų pakeitimai įraše bus panaikinti. Ar tikrai norite trinti jį?",loadingEntry:"Kraunamas įrašas...",confirmLoadBackup:"Radome Jūsų įrenginyje išsaugota juodraštį šiam įrašui, ar norite jį atgaivinti ir naudoti?"},editorToolbar:{publishing:"Publikuojama...",publish:"Publikuoti",published:"Jau publikuota",unpublish:"Atšaukti paskelbimą",duplicate:"Daryti dublį",unpublishing:"Nebeskelbiama...",publishAndCreateNew:"Publikuoti šitą, po to kurti kažką naujo",publishAndDuplicate:"Publikuoti šitą, po to kurti šito dublį",deleteUnpublishedChanges:"Ištrinti publikuotus pakeitimus",deleteUnpublishedEntry:"Ištrinti nepaskelbtą įrašą",deletePublishedEntry:"Ištrinti paskelbtą įrašą",deleteEntry:"Panaikinti įrašą",saving:"Išsaugojama...",save:"Išsaugoti",deleting:"Trinama...",updating:"Atnaujinama...",status:"Statusą: %{status}",backCollection:" Rašoma %{collectionLabel} kolekcijoje",unsavedChanges:"Neišsaugoti pakeitimai",changesSaved:"Pakeitimai išsauogti",draft:"Juodraštis",inReview:"Peržiūrima redakcijoje",ready:"Paruošta",publishNow:"Skelbti naują",deployPreviewPendingButtonLabel:"Tikrinti, ar yra demonstracija",deployPreviewButtonLabel:"Žiūrėti demonstraciją (netiesiogiai)",deployButtonLabel:"Žiūrėti tiesiogiai tinklalapyje"},editorWidgets:{markdown:{bold:"Paryškinta",italic:"Pasvariu tekstu (italic)",code:"Kodo šriftas",link:"Nuoroda (adresas)",linkPrompt:"Įveskite adresą čia",headings:"Antraštės",quote:"Citata",bulletedList:"Sąrašas su ženkleliais",numberedList:"Sąrašas su numeriais",addComponent:"Pridėti komponentą",richText:"Normali peržiūra",markdown:"Rodyti be formatavimo (Markdown)"},image:{choose:"Pasirinkti vaizdą",chooseDifferent:"Pasirinkti skirtingą vaizdą",remove:"Panaikinti vaizdą"},file:{choose:"Pasirinkti failą",chooseDifferent:"Pasirinkti kitą failą",remove:"Panaikinti failą"},unknownControl:{noControl:"Klaida: valdiklis taisyklingai neveikia. No control for widget '%{widget}'."},unknownPreview:{noPreview:"Klaida: valdiklis taisyklingai neveikia. No preview for widget '%{widget}'."},headingOptions:{headingOne:"Antraštė 1",headingTwo:"Antraštė 2",headingThree:"Antraštė 3",headingFour:"Antraštė 4",headingFive:"Antraštė 5",headingSix:"Antraštė 6"},datetime:{now:"Dabar",clear:"Išvalyti"}}},mediaLibrary:{mediaLibraryCard:{draft:"Juodraštis"},mediaLibrary:{onDelete:"Ar jūs tikrai norite ištrinti pasirinktą mediją?",fileTooLarge:"Failas per didelis.\nNustatymuose (konfigūracijoje) nurodyta, kad failai negali viršyti %{size} kB."},mediaLibraryModal:{loading:"Kraunama...",noResults:"Nėra rezultatų.",noAssetsFound:"Turinio nerasta.",noImagesFound:"Vaizdų nerasta.",private:"Privatu ",images:"Vaizdai",mediaAssets:"Medijos turinys",search:"Paieška...",uploading:"Keliama...",upload:"Įkelti",download:"Parsiųsti",deleting:"Trinama...",deleteSelected:"Ištrinti parinktus",chooseSelected:"Pasirinkti parinktus"}},ui:{default:{goBackToSite:"Grįžti atgal į tinklalapį"},errorBoundary:{title:"Klaida",details:"Buvo klaida - jeigu galite, prašome ",reportIt:"pranešti apie techninę problemą „GitHub“ puslapyje.",detailsHeading:"Detalės",privacyWarning:"Opening an issue pre-populates it with the error message and debugging data.\nPlease verify the information is correct and remove sensitive data if exists.",recoveredEntry:{heading:"Sugrąžintas dokumentas",warning:"Prašome kopijuoti/įkluoti šitą kažkur prieš uždarant puslapį!",copyButtonLabel:"Nukopijuoti į iškarpinę"}},settingsDropdown:{logOut:"Atsijungti"},toast:{onFailToLoadEntries:"Nepavyko užkrauti įrašo: %{details}",onFailToLoadDeployPreview:"Nepavyko užkrauti demonstracijos lango: %{details}",onFailToPersist:"Nepavyko išlaikyti įrašo: %{details}",onFailToDelete:"Nepayvko ištrinti: %{details}",onFailToUpdateStatus:"Nepavyko pakeisti statusą: %{details}",missingRequiredField:"Pasitikrinkite — kažkurio (ar kelių) laukelių neužpildėte. Tai padarius galėsite išsaugoti įrašą.",entrySaved:"Įrašas išsaugotos",entryPublished:"Įrašas publikuotas",entryUnpublished:"Įrašas nepublikuotas",onFailToPublishEntry:"Nepavyko publikuoti: %{details}",onFailToUnpublishEntry:"Nepavyko panaikinti publikavimą: %{details}",entryUpdated:"Įrašo statusas pakeistas",onDeleteUnpublishedChanges:"Nepublikuoti pakeitimai ištrinti",onFailToAuth:"Nepavyko prisijungti: %{details}",onLoggedOut:"Mes jus atjungėme. Jeigu yra poreikis, sukurkite duomenų atsarginę kopiją. Galite tiesiog iš naujo prisijungti.",onBackendDown:"Deja, serveris šiuo metu neveikia. Bandykite iš naujo dar sykį arba šiek tiek vėliau. Detalės: %{details}"}},workflow:{workflow:{loading:"Kraunamas turinys",workflowHeading:"Redakcijos darbo eiga",newPost:"Naujas įrašas",description:"%{smart_count} įrašas laukia Jūsų peržiūrėjimo, %{readyCount} jau gali būti publikuojamas. |||| %{smart_count} elementai laukia Jūsų peržiūrėjimo, %{readyCount} jau gali būti publikuojami. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} pagal %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"oagal %{author}",deleteChanges:"Trinti keitimus",deleteNewEntry:"Trinti naują įrašą",publishChanges:"Publikuoti keitimus",publishNewEntry:"Kurti naują įrašą"},workflowList:{onDeleteEntry:"Ar tikrai norite ištrinti šį įrašą?",onPublishingNotReadyEntry:"Tik įrašai su statusu „Paruošta“ gali būti patvirtinti. Prašome pajudinti įrašo kortelę link „Paruošta“ stulpelio, kad galėtumėte publikuoti įrašą.",onPublishEntry:"Ar jūs tikrai norite publikuoti šį įrašą?",draftHeader:"Juodraščiai",inReviewHeader:"Peržiūrima redakcijoje",readyHeader:"Paruošta",currentEntries:"%{smart_count} įrašas |||| %{smart_count} įrašai"}}},dme={auth:{login:"ログイン",loggingIn:"ログインしています...",loginWithNetlifyIdentity:"Netlify Identity でログインする",loginWithAzure:"Azure でログインする",loginWithBitbucket:"Bitbucket でログインする",loginWithGitHub:"GitHub でログインする",loginWithGitLab:"GitLab でログインする",loginWithGitea:"Gitea でログインする",errors:{email:"メールアドレスを確認してください。",password:"パスワードを入力してください。",identitySettings:"認証情報にアクセスできませんでした。git-gateway backend を利用している場合は、認証サービスと Git Gateway が有効になっているかを確認してください。"}},app:{header:{content:"コンテンツ",workflow:"ワークフロー",media:"メディア",quickAdd:"新規作成"},app:{errorHeader:"CMS設定の読み込みエラー",configErrors:"設定エラー",checkConfigYml:"config.ymlを確認してください。",loadingConfig:"設定を読み込んでいます...",waitingBackend:"バックエンドの応答を待機しています..."},notFoundPage:{header:"ページが見つかりません"}},collection:{sidebar:{collections:"コレクション",allCollections:"すべてのコレクション",searchAll:"検索",searchIn:"検索対象"},collectionTop:{sortBy:"ソート",viewAs:"表示モード",newButton:"%{collectionLabel}を作成",ascending:"昇順",descending:"降順",searchResults:"「%{searchTerm}」の検索結果",searchResultsInCollection:"%{collection}内の「%{searchTerm}」の検索結果",filterBy:"絞り込み",groupBy:"グルーピング"},entries:{loadingEntries:"エントリを読み込み中",cachingEntries:"エントリをキャッシュ中",longerLoading:"少々お待ちください",noEntries:"エントリがありません"},groups:{other:"その他",negateLabel:"%{label}以外"},defaultFields:{author:{label:"作成者"},updatedOn:{label:"最終更新"}}},editor:{editorControl:{field:{optional:"任意"}},editorControlPane:{widget:{required:"%{fieldLabel}は必須です。",regexPattern:"%{fieldLabel}が入力規則(%{pattern})と一致しません。",processing:"%{fieldLabel}を処理しています。",range:"%{fieldLabel}は%{minValue}から%{maxValue}まで入力可能です。",min:"%{fieldLabel}の最小値は%{minValue}です。",max:"%{fieldLabel}の最大値は%{maxValue}です。",rangeCount:"%{fieldLabel}は%{minCount}個から%{maxCount}個まで選択してください。",rangeCountExact:"%{fieldLabel}はちょうど%{count}個選択してください。",rangeMin:"%{fieldLabel}は%{minCount}個以上選択してください。",rangeMax:"%{fieldLabel}は%{maxCount}個以下選択してください。",invalidPath:"'%{path}'は有効なパスではありません。",pathExists:"'%{path}'というパスはすでに存在しています。"},i18n:{writingInLocale:"言語: %{locale}"}},editor:{onLeavePage:"このページから遷移しますか?",onUpdatingWithUnsavedChanges:"変更した項目があります。ステータスを更新する前に保存してください。",onPublishingNotReady:"公開する前に、ステータスを「準備完了」に更新してください。",onPublishingWithUnsavedChanges:"変更した項目があります。公開する前に保存してください。",onPublishing:"このエントリを公開しますか?",onUnpublishing:"このエントリを未公開にしますか?",onDeleteWithUnsavedChanges:"保存されていない変更も削除されますが、この公開エントリを削除しますか?",onDeletePublishedEntry:"この公開エントリを削除しますか?",onDeleteUnpublishedChangesWithUnsavedChanges:"保存されていない変更も削除されますが、このエントリの未公開の変更を削除しますか?",onDeleteUnpublishedChanges:"公開されていない変更も削除されますが、このエントリを削除しますか?",loadingEntry:"エントリの読込中...",confirmLoadBackup:"ローカルのバックアップが復旧できました。利用しますか?"},editorInterface:{toggleI18n:"言語を切り替える",togglePreview:"プレビュー表示を切り替える",toggleScrollSync:"スクロール同期を切り替える"},editorToolbar:{publishing:"公開しています...",publish:"公開",published:"公開済",unpublish:"未公開",duplicate:"複製",unpublishing:"未公開にしています...",publishAndCreateNew:"公開して新規作成",publishAndDuplicate:"公開して複製する",deleteUnpublishedChanges:"未公開の変更を削除",deleteUnpublishedEntry:"未公開エントリを削除",deletePublishedEntry:"公開エントリを削除",deleteEntry:"エントリを削除",saving:"保存中...",save:"保存",statusInfoTooltipDraft:"エントリのステータスは下書きに設定されています。最終決定してレビューに提出するには、ステータスを「レビュー中」に設定します。",statusInfoTooltipInReview:"エントリはレビュー中なので、それ以上のアクションは必要ありません。ただし、レビュー中でも追加の変更を行うことができます。",deleting:"削除しています...",updating:"更新しています...",status:"ステータス: %{status}",backCollection:"%{collectionLabel}のエントリを作成中",unsavedChanges:"未保存",changesSaved:"保存済",draft:"下書き",inReview:"レビュー中",ready:"準備完了",publishNow:"公開する",deployPreviewPendingButtonLabel:"プレビューのチェック",deployPreviewButtonLabel:"プレビューを見る",deployButtonLabel:"ライブで見る"},editorWidgets:{markdown:{bold:"太字",italic:"斜体",code:"コード",link:"リンク",linkPrompt:"リンクのURLを入力してください",headings:"見出し",quote:"引用",bulletedList:"箇条書き",numberedList:"番号付きリスト",addComponent:"コンポーネント追加",richText:"リッチテキスト",markdown:"マークダウン"},image:{choose:"画像を選択",chooseUrl:"URLを入力する",replaceUrl:"URLを変更する",promptUrl:"画像のURLを入力してください",chooseDifferent:"他の画像を選択",remove:"画像を削除"},file:{choose:"ファイルを選択",chooseUrl:"URLを入力する",replaceUrl:"URLを変更する",promptUrl:"ファイルのURLを入力してください",chooseDifferent:"他のファイルを選択",remove:"ファイルを削除"},unknownControl:{noControl:"'%{widget}'はウィジェットとして利用できません。"},unknownPreview:{noPreview:"'%{widget}'のウィジェットにはプレビューがありません。"},headingOptions:{headingOne:"見出し 1",headingTwo:"見出し 2",headingThree:"見出し 3",headingFour:"見出し 4",headingFive:"見出し 5",headingSix:"見出し 6"},datetime:{now:"現時刻",clear:"クリア"}}},mediaLibrary:{mediaLibraryCard:{draft:"下書き",copy:"コピー",copyUrl:"URLをコピー",copyPath:"パスをコピー",copyName:"名前をコピー",copied:"コピーしました"},mediaLibrary:{onDelete:"選択しているデータを削除しますか?",fileTooLarge:"ファイルサイズが大きすぎます。\n%{size} kB 以下にしてください。"},mediaLibraryModal:{loading:"読込中...",noResults:"データがありません。",noAssetsFound:"データがありません。",noImagesFound:"データがありません。",private:"プライベート",images:"画像",mediaAssets:"メディア",search:"検索",uploading:"アップロード中...",upload:"アップロードする",download:"ダウンロードする",deleting:"削除中...",deleteSelected:"削除する",chooseSelected:"選択する"}},ui:{default:{goBackToSite:"サイトに戻る"},errorBoundary:{title:"エラー",details:"エラーが発生しました。",reportIt:"レポートする",detailsHeading:"詳細",privacyWarning:"エラーメッセージとデバッグのデータがレポートする前に表示されます。\n情報が正しいことを確認し、機密データが存在する場合は削除してください。",recoveredEntry:{heading:"復旧したエントリ",warning:"必要あれば、このページから遷移する前にコピーしてください。",copyButtonLabel:"コピーする"}},settingsDropdown:{logOut:"ログアウト"},toast:{onFailToLoadEntries:"エントリの読み込みに失敗しました。%{details}",onFailToLoadDeployPreview:"プレビューの読み込みに失敗しました。%{details}",onFailToPersist:"エントリの保存に失敗しました。%{details}",onFailToDelete:"エントリの削除に失敗しました。%{details}",onFailToUpdateStatus:"エントリのステータス更新に失敗しました。%{details}",missingRequiredField:"すべての必須項目を入力してください。",entrySaved:"保存しました。",entryPublished:"公開しました。",entryUnpublished:"未公開にしました。",onFailToPublishEntry:"エントリの公開に失敗しました。%{details}",onFailToUnpublishEntry:"エントリを未公開にするのに失敗しました。%{details}",entryUpdated:"エントリのステータスを更新しました。",onDeleteUnpublishedChanges:"未公開の変更を削除しました。",onFailToAuth:"%{details}",onLoggedOut:"ログアウトされています。データをバックアップし、再度ログインしてください。",onBackendDown:"バックエンドのシステムが停止しています。%{details}"}},workflow:{workflow:{loading:"ワークフロー内のエントリを読込中",workflowHeading:"ワークフロー",newPost:"新規作成",description:"%{smart_count}件がレビュー中、%{readyCount}件が準備完了です。",dateFormat:"M月D日"},workflowCard:{lastChange:"%{author}が%{date}に更新",lastChangeNoAuthor:"最終更新日:%{date}",lastChangeNoDate:"最終更新者:%{author}",deleteChanges:"変更を削除",deleteNewEntry:"エントリを削除",publishChanges:"変更を公開",publishNewEntry:"エントリを公開"},workflowList:{onDeleteEntry:"このエントリを削除しますか?",onPublishingNotReadyEntry:"「準備完了」のエントリのみを公開できます。「準備完了」列にカードを移動し、ステータスを更新してください。",onPublishEntry:"このエントリを公開しますか?",draftHeader:"下書き",inReviewHeader:"レビュー中",readyHeader:"準備完了",currentEntries:"%{smart_count}件のエントリ"}}},pme={auth:{login:"Inloggen",loggingIn:"Inloggen...",loginWithNetlifyIdentity:"Inloggen met Netlify Identity",loginWithAzure:"Inloggen met Azure",loginWithBitbucket:"Inloggen met Bitbucket",loginWithGitHub:"Inloggen met GitHub",loginWithGitLab:"Inloggen met GitLab",loginWithGitea:"Inloggen met Gitea",errors:{email:"Voer uw email in.",password:"Voer uw wachtwoord in.",identitySettings:"Netlify Identity instellingen niet gevonden. Wanneer u git-gateway als backend gebruikt moet u de Identity service en Git Gateway activeren in uw Netlify instellingen."}},app:{header:{content:"Inhoud",workflow:"Workflow",media:"Media",quickAdd:"Snel toevoegen"},app:{errorHeader:"Fout bij het laden van de CMS configuratie",configErrors:"configuratiefouten",checkConfigYml:"Controleer je config.yml bestand",loadingConfig:"Configuatie laden...",waitingBackend:"Wachten op server..."},notFoundPage:{header:"Niet gevonden"}},collection:{sidebar:{collections:"Inhoudstypen",allCollections:"Alle inhoudstypen",searchAll:"Zoeken",searchIn:"Zoeken in"},collectionTop:{sortBy:"Sorteer op",viewAs:"Bekijk als",newButton:"Voeg %{collectionLabel} toe",ascending:"Oplopend",descending:"Aflopend",searchResults:'Zoekresultaten voor "%{searchTerm}"',searchResultsInCollection:'Zoekresultaten voor "%{searchTerm}" in %{collection}',filterBy:"Filteren op",groupBy:"Groepeer op"},entries:{loadingEntries:"Items laden",cachingEntries:"Items cachen",longerLoading:"Dit kan een paar minuten duren",noEntries:"Geen items"},groups:{other:"Anders",negateLabel:"Geen %{label}"},defaultFields:{author:{label:"Auteur"},updatedOn:{label:"Bijgewerkt op"}}},editor:{editorControl:{field:{optional:"optioneel"}},editorControlPane:{widget:{required:"%{fieldLabel} is vereist.",regexPattern:"%{fieldLabel} komt niet overeen met het patroon: %{pattern}.",processing:"%{fieldLabel} wordt verwerkt.",range:"%{fieldLabel} moet tussen %{minValue} en %{maxValue} liggen.",min:"%{fieldLabel} moet tenminste %{minValue} bevatten.",max:"%{fieldLabel} moet hoogstens %{maxValue} bevatten.",rangeCount:"%{fieldLabel} moet tussen %{minCount} en %{maxCount} item(s) bevatten.",rangeCountExact:"%{fieldLabel} moet exact %{count} item(s) bevatten.",rangeMin:"%{fieldLabel} moet tenminste %{minCount} item(s) bevatten.",rangeMax:"%{fieldLabel} moet hoogstens %{maxCount} item(s) bevatten."},i18n:{writingInLocale:"%{locale} aan het bewerken"}},editor:{onLeavePage:"Weet je zeker dat je deze pagina wilt verlaten?",onUpdatingWithUnsavedChanges:"Er zijn nog niet-opgeslagen wijzigingen. Bewaar ze voordat u de status bijwerkt.",onPublishingNotReady:'Stel de status in op "Voltooid" voordat u publiceert.',onPublishingWithUnsavedChanges:"Er zijn nog niet-opgeslagen wijzigingen. Bewaar deze voordat u publiceert.",onPublishing:"Weet u zeker dat u dit item wil publiceren?",onUnpublishing:"Weet u zeker dat u de publicatie voor dit item ongedaan wilt maken?",onDeleteWithUnsavedChanges:"Weet u zeker dat u dit gepubliceerde item en uw niet-opgeslagen wijzigingen uit de huidige sessie wilt verwijderen?",onDeletePublishedEntry:"Weet u zeker dat u dit gepubliceerde item wilt verwijderen?",onDeleteUnpublishedChangesWithUnsavedChanges:"Alle niet-gepubliceerde wijzigingen in dit item worden verwijderd, evenals uw niet-opgeslagen wijzigingen uit de huidige sessie. Wilt u nog steeds verwijderen?",onDeleteUnpublishedChanges:"Alle niet-gepubliceerde wijzigingen in dit item worden verwijderd. Wilt u nog steeds verwijderen?",loadingEntry:"Item laden...",confirmLoadBackup:"Voor dit item is een lokale back-up hersteld, wilt u deze gebruiken?"},editorInterface:{toggleI18n:"Wissel i18n",togglePreview:"Wissel voorvertoning",toggleScrollSync:"Synchroniseer scrollen"},editorToolbar:{publishing:"Publiceren...",publish:"Publiceer",published:"Gepubliceerd",unpublish:"Publicatie terugtrekken",duplicate:"Dupliceren",unpublishing:"Publicatie ongedaan maken...",publishAndCreateNew:"Publiceer en maak nieuw item aan",publishAndDuplicate:"Publiceer en dupliceer item",deleteUnpublishedChanges:"Verwijder niet-gepubliceerde wijzigingen",deleteUnpublishedEntry:"Niet-gepubliceerd item verwijderen",deletePublishedEntry:"Gepubliceerd item verwijderen",deleteEntry:"Item verwijderen",saving:"Opslaan...",save:"Opslaan",deleting:"Verwijderen...",updating:"Bijwerken...",status:"Status: %{status}",backCollection:" Terug naar %{collectionLabel}",unsavedChanges:"Niet-opgeslagen wijzigingen",changesSaved:"Wijzigingen opgeslagen",draft:"Concept",inReview:"Wordt beoordeeld",ready:"Klaar",publishNow:"Publiceer nu",deployPreviewPendingButtonLabel:"Controleer of voorvertoning geladen is",deployPreviewButtonLabel:"Bekijk voorvertoning",deployButtonLabel:"Bekijk Live"},editorWidgets:{markdown:{bold:"Vet",italic:"Cursief",code:"Code",link:"Link",linkPrompt:"Voer de URL in",headings:"Hoofdtekst",quote:"Quote",bulletedList:"Lijst met opsommingstekens",numberedList:"Genummerde lijst",addComponent:"Voeg component toe",richText:"Rijke tekst",markdown:"Markdown"},image:{choose:"Kies een afbeelding",chooseUrl:"Voeg toe via URL",replaceUrl:"Vervang met URL",promptUrl:"Voer de URL van de afbeelding in",chooseDifferent:"Kies een andere afbeelding",remove:"Verwijder afbeelding"},file:{choose:"Kies een bestand",chooseUrl:"Voeg toe via URL",replaceUrl:"Vervang met URL",promptUrl:"Voer de URL van het bestand in",chooseDifferent:"Kies een ander bestand",remove:"Verwijder bestand"},unknownControl:{noControl:"Geen control voor widget '%{widget}'."},unknownPreview:{noPreview:"Geen voorvertoning voor widget '%{widget}'."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"},datetime:{now:"Nu",clear:"Wissen"},list:{add:"Voeg %{item} toe"}}},mediaLibrary:{mediaLibraryCard:{draft:"Concept",copy:"Kopieer",copyUrl:"Kopieer URL",copyPath:"Kopieer pad",copyName:"Kopieer naam",copied:"Gekopieerd"},mediaLibrary:{onDelete:"Weet u zeker dat u de geselecteerde media wilt verwijderen?",fileTooLarge:"Het bestand is te groot.\n De instellingen staan geen bestanden toe groter dan %{size} kB."},mediaLibraryModal:{loading:"Laden...",noResults:"Geen resultaten.",noAssetsFound:"Geen media gevonden.",noImagesFound:"Geen afbeeldingen gevonden.",private:"Privé",images:"Afbeeldingen",mediaAssets:"Media",search:"Zoeken...",uploading:"Uploaden...",upload:"Nieuwe uploaden",download:"Downloaden",deleting:"Verwijderen...",deleteSelected:"Verwijder selectie",chooseSelected:"Gebruik selectie"}},ui:{default:{goBackToSite:"Ga terug naar site"},errorBoundary:{title:"Fout",details:"Er is een fout opgetreden - ",reportIt:"maak er alstublieft een melding van.",detailsHeading:"Details",privacyWarning:"Als u een probleem opent, wordt het vooraf gevuld met het foutbericht en foutopsporingsgegevens. \nControleer of de informatie correct is en verwijder, indien aanwezig, gevoelige gegevens.",recoveredEntry:{heading:"Hersteld document",warning:"Kopieer / plak dit ergens voordat u weggaat!",copyButtonLabel:"Kopieer naar klembord"}},settingsDropdown:{logOut:"Uitloggen"},toast:{onFailToLoadEntries:"Kan item niet laden: %{details}",onFailToLoadDeployPreview:"Kan voorvertoning niet laden: %{details}",onFailToPersist:"Kan item niet opslaan: %{details}",onFailToDelete:"Kan item niet verwijderen: %{details}",onFailToUpdateStatus:"Kan status niet updaten: %{details}",missingRequiredField:"Oeps, sommige verplichte velden zijn niet ingevuld.",entrySaved:"Item opgeslagen",entryPublished:"Item gepubliceerd",entryUnpublished:"Publicatie teruggetrokken",onFailToPublishEntry:"Kan item niet publiceren: %{details}",onFailToUnpublishEntry:"Kan item niet terugtrekken: %{details}",entryUpdated:"Status van item geüpdatet",onDeleteUnpublishedChanges:"Niet-gepubliceerde wijzigingen verwijderd",onFailToAuth:"%{details}",onLoggedOut:"Je bent uitgelogd, back-up alstublieft uw data log daarna in",onBackendDown:"De backend-service ondervindt een storing. Zie% {details} voor meer informatie"}},workflow:{workflow:{loading:"Redactionele Workflow items laden",workflowHeading:"Redactionele Workflow",newPost:"Nieuw bericht",description:"%{smart_count} item wacht op beoordeling, %{readyCount} klaar om live te gaan. |||| %{smart_count} items wachten op beoordeling, %{readyCount} klaar om live te gaan. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} door %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"door %{author}",deleteChanges:"Verwijder wijzigingen",deleteNewEntry:"Verwijder nieuw item",publishChanges:"Publiceer wijzigingen",publishNewEntry:"Publiceer nieuw item"},workflowList:{onDeleteEntry:"Weet u zeker dat u dit item wilt verwijderen?",onPublishingNotReadyEntry:'Alleen items met de status "Gereed" kunnen worden gepubliceerd. Sleep de kaart naar de kolom "Gereed" om publiceren mogelijk te maken.',onPublishEntry:"Weet u zeker dat u dit item wilt publiceren?",draftHeader:"Concepten",inReviewHeader:"Wordt beoordeeld",readyHeader:"Klaar",currentEntries:"%{smart_count} item |||| %{smart_count} items"}}},fme={auth:{login:"Logg inn",loggingIn:"Logger inn..",loginWithNetlifyIdentity:"Logg på med Netlify Identity",loginWithBitbucket:"Logg på med Bitbucket",loginWithGitHub:"Logg på med GitHub",loginWithGitLab:"Logg på med GitLab",loginWithGitea:"Logg på med Gitea",errors:{email:"Du må skrive inn e-posten din.",password:"Du må skrive inn passordet ditt.",identitySettings:"Fant ingen innstillinger for Identity. Hvis du skal bruke git-gateway må du skru på Identity service og Git Gateway."}},app:{header:{content:"Innhold",workflow:"Arbeidsflyt",media:"Media",quickAdd:"Hurtiginnlegg"},app:{errorHeader:"Det oppstod en feil under lastingen av CMS konfigurasjonen",configErrors:"Konfigurasjonsfeil",checkConfigYml:"Sjekk config.yml filen.",loadingConfig:"Laster konfigurasjon...",waitingBackend:"Venter på backend..."},notFoundPage:{header:"Ikke funnet"}},collection:{sidebar:{collections:"Samlinger",searchAll:"Søk i alle"},collectionTop:{sortBy:"Sorter etter",viewAs:"Vis som",newButton:"Ny %{collectionLabel}",ascending:"Stigende",descending:"Synkende"},entries:{loadingEntries:"Laster innlegg...",cachingEntries:"Mellomlagrer innlegg...",longerLoading:"Dette kan ta opptil flere minutter",noEntries:"Ingen innlegg"},defaultFields:{author:{label:"Forfatter"},updatedOn:{label:"Oppdatert"}}},editor:{editorControl:{field:{optional:"valgfritt"}},editorControlPane:{widget:{required:"%{fieldLabel} er påkrevd.",regexPattern:"%{fieldLabel} samsvarer ikke med mønsteret: %{pattern}.",processing:"%{fieldLabel} blir prosessert.",range:"%{fieldLabel} må være mellom %{minValue} og %{maxValue}.",min:"%{fieldLabel} må minst være %{minValue}.",max:"%{fieldLabel} må være %{maxValue} eller mindre.",rangeCount:"%{fieldLabel} må ha mellom %{minCount} og %{maxCount} element(er).",rangeCountExact:"%{fieldLabel} må ha nøyaktig %{count} element(er).",rangeMin:"%{fieldLabel} må minst ha %{minCount} element(er).",rangeMax:"%{fieldLabel} må ha %{maxCount} eller færre element(er)."}},editor:{onLeavePage:"Er du sikker på du vil navigere bort fra denne siden?",onUpdatingWithUnsavedChanges:"Du må lagre endringene dine før du oppdaterer status.",onPublishingNotReady:'Du må endre status til "Klar" før du publiserer.',onPublishingWithUnsavedChanges:"Du må lagre endringene dine før du kan publisere.",onPublishing:"Er du sikker på at du vil publisere?",onUnpublishing:"Er du sikker på at du vil avpublisere innlegget?",onDeleteWithUnsavedChanges:"Er du sikker på at du vil slette et publisert innlegg med tilhørende ulagrede endringer?",onDeletePublishedEntry:"Er du sikker på at du vil slette dette publiserte innlegget?",onDeleteUnpublishedChangesWithUnsavedChanges:"Handlingen sletter endringer som ikke er publisert eller lagret enda. Er du sikker på du vil fortsette?",onDeleteUnpublishedChanges:"Alle endringer som ikke er publisert i dette innlegget vil gå tapt. Vil du fortsette?",loadingEntry:"Laster innlegg...",confirmLoadBackup:"Vil du gjenopprette tidligere endringer som ikke har blitt lagret?"},editorToolbar:{publishing:"Publiserer...",publish:"Publiser",published:"Publisert",unpublish:"Avpubliser",duplicate:"Dupliser",unpublishing:"Avpubliserer...",publishAndCreateNew:"Publiser og lag nytt",publishAndDuplicate:"Publiser og dupliser",deleteUnpublishedChanges:"Slett upubliserte endringer",deleteUnpublishedEntry:"Slett upublisert innlegg",deletePublishedEntry:"Slett publisert innlegg",deleteEntry:"Slett innlegg",saving:"Lagrer...",save:"Lagre",deleting:"Sletter...",updating:"Oppdaterer...",status:"Status: %{status}",backCollection:" Skriver i samlingen %{collectionLabel}",unsavedChanges:"Ulagrede endringer",changesSaved:"Endringer lagret",draft:"Kladd",inReview:"Til godkjenning",ready:"Klar",publishNow:"Publiser nå",deployPreviewPendingButtonLabel:"Kontroller forhåndsvisning",deployPreviewButtonLabel:"Vis forhåndsvisning",deployButtonLabel:"Vis i produksjon"},editorWidgets:{markdown:{richText:"Rik-tekst",markdown:"Markdown"},image:{choose:"Velg et bilde",chooseDifferent:"Velg et annet bilde",remove:"Fjern bilde"},file:{choose:"Velg en fil",chooseDifferent:"Velg en annen fil",remove:"Fjern fil"},unknownControl:{noControl:"Ingen konfigurasjon for widget '%{widget}'."},unknownPreview:{noPreview:"Ingen forhåndsvisning tilgjengelig for '%{widget}'."},headingOptions:{headingOne:"Overskrift 1",headingTwo:"Overskrift 2",headingThree:"Overskrift 3",headingFour:"Overskrift 4",headingFive:"Overskrift 5",headingSix:"Overskrift 6"},datetime:{now:"Nå",clear:"Nullstill"}}},mediaLibrary:{mediaLibraryCard:{draft:"Kladd"},mediaLibrary:{onDelete:"Er du sikker på at du vil slette markert element?",fileTooLarge:"Filen er for stor.\nMaksimal konfiguert filstørrelse er %{size} kB."},mediaLibraryModal:{loading:"Laster...",noResults:"Ingen resultater.",noAssetsFound:"Ingen elementer funnet.",noImagesFound:"Ingen bilder funnet.",private:"Privat ",images:"Bilder",mediaAssets:"Mediebibliotek",search:"Søk...",uploading:"Laster opp...",upload:"Last opp",download:"Last ned",deleting:"Sletter...",deleteSelected:"Slett markert",chooseSelected:"Velg markert"}},ui:{default:{goBackToSite:"Gå tilbake til siden"},errorBoundary:{title:"Feil",details:"Det har oppstått en feil. Det er fint om du ",reportIt:"oppretter et issue på GitHub.",detailsHeading:"Detaljer",privacyWarning:"Når du åpner et issue forhåndsutfylles feil og feilsøkingsdata. Dobbeltsjekk at informasjonen er riktig, og fjern eventuelle sensitive data.",recoveredEntry:{heading:"Gjenopprettet dokument",warning:"Det kan være lurt å ta kopi av innholdet før navigerer bort fra denne siden!",copyButtonLabel:"Kopier til utklippstavle"}},settingsDropdown:{logOut:"Logg ut"},toast:{onFailToLoadEntries:"Kunne ikke laste innlegg: %{details}",onFailToLoadDeployPreview:"Kunne ikke laste forhåndsvisning: %{details}",onFailToPersist:"Kunne ikke lagre: %{details}",onFailToDelete:"Kunne ikke slette: %{details}",onFailToUpdateStatus:"Kunne ikke laste opp: %{details}",missingRequiredField:"Oisann, ser ut som du glemte et påkrevd felt. Du må fylle det ut før du kan fortsette.",entrySaved:"Innlegg lagret",entryPublished:"Innlegg publisert",entryUnpublished:"Innlegg avpublisert",onFailToPublishEntry:"Kunne ikke publisere: %{details}",onFailToUnpublishEntry:"Kunne ikke avpublisere: %{details}",entryUpdated:"Innleggsstatus oppdatert",onDeleteUnpublishedChanges:"Avpubliserte endringer slettet",onFailToAuth:"%{details}"}},workflow:{workflow:{loading:"Laster innlegg for redaksjonell arbeidsflyt",workflowHeading:"Redaksjonell arbeidsflyt",newPost:"Nytt innlegg",description:"%{smart_count} innlegg trenger gjennomgang, og %{readyCount} er klar til publisering. |||| %{smart_count} innlegg trenger gjennomgang, og %{readyCount} er klar til publisering ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} av %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"av %{author}",deleteChanges:"Slett endringer",deleteNewEntry:"Slett nytt innlegg",publishChanges:"Publiser endringer",publishNewEntry:"Publiser nytt innlegg"},workflowList:{onDeleteEntry:"Er du sikker på du vil slette innlegget?",onPublishingNotReadyEntry:'Du kan bare publisere innlegg i "Klar" kolonnen. Trekk kortet til riktig kolonne for å fortsette.',onPublishEntry:"Er du sikker på du vil publisere innlegget?",draftHeader:"Kladd",inReviewHeader:"Gjennomgås",readyHeader:"Klar",currentEntries:"%{smart_count} innlegg |||| %{smart_count} innlegg"}}},hme={auth:{login:"Logg inn",loggingIn:"Loggar inn..",loginWithNetlifyIdentity:"Logg på med Netlify Identity",loginWithBitbucket:"Logg på med Bitbucket",loginWithGitHub:"Logg på med GitHub",loginWithGitLab:"Logg på med GitLab",loginWithGitea:"Logg på med Gitea",errors:{email:"Du må skriva inn e-posten din.",password:"Du må skriva inn passordet ditt.",identitySettings:"Fann ingen innstillingar for Identity. Om du ynskjer å nytte git-gateway må du hugse å skru på Identity service og Git Gateway"}},app:{header:{content:"Innhald",workflow:"Arbeidsflyt",media:"Media",quickAdd:"Hurtiginnlegg"},app:{errorHeader:"Noko gjekk gale under lastinga av CMS konfigurasjonen",configErrors:"Konfigurasjonsfeil",checkConfigYml:"Sjå over config.yml fila.",loadingConfig:"Lastar konfigurasjon...",waitingBackend:"Ventar på backend..."},notFoundPage:{header:"Ikkje funnen"}},collection:{sidebar:{collections:"Samlingar",searchAll:"Søk i alle"},collectionTop:{sortBy:"Sorter etter",viewAs:"Vis som",newButton:"Ny %{collectionLabel}",ascending:"Stigande",descending:"Synkande"},entries:{loadingEntries:"Laster innlegg...",cachingEntries:"Mellomlagrar innlegg...",longerLoading:"Dette kan ta fleire minutt",noEntries:"Ingen innlegg"},defaultFields:{author:{label:"Forfatter"},updatedOn:{label:"Oppdatert"}}},editor:{editorControl:{field:{optional:"valfritt"}},editorControlPane:{widget:{required:"%{fieldLabel} krevast.",regexPattern:"%{fieldLabel} samsvarar ikkje med mønsteret: %{pattern}.",processing:"%{fieldLabel} vart prosessert.",range:"%{fieldLabel} må vera mellom %{minValue} og %{maxValue}.",min:"%{fieldLabel} må minst vera %{minValue}.",max:"%{fieldLabel} må vera %{maxValue} eller mindre.",rangeCount:"%{fieldLabel} må ha mellom %{minCount} og %{maxCount} element.",rangeCountExact:"%{fieldLabel} må ha nøyaktig %{count} element.",rangeMin:"%{fieldLabel} må minst ha %{minCount} element.",rangeMax:"%{fieldLabel} må ha %{maxCount} eller færre element."}},editor:{onLeavePage:"Er du sikker på at du vil navigere bort frå denne sida?",onUpdatingWithUnsavedChanges:"Du må lagra endringane dine før du endrar status",onPublishingNotReady:'Du må endre status til "Klar" før du publiserer',onPublishingWithUnsavedChanges:"Du må laga endringane dine før du kan publisere.",onPublishing:"Er du sikker på at vil publisere?",onUnpublishing:"Er du sikker på at du vil avpublisere innlegget?",onDeleteWithUnsavedChanges:"Er du sikkert på at du vil slette eit publisert innlegg med tilhøyrande ulagra endringar?",onDeletePublishedEntry:"Er du sikker på at du vil slette dette publiserte innlegget?",onDeleteUnpublishedChangesWithUnsavedChanges:"Handlinga slettar endringar som ikkje er publisert eller lagra. Vil du halde fram?",onDeleteUnpublishedChanges:"Alle endringar som ikkje er publisert vil gå tapt. Vil du halde fram?",loadingEntry:"Lastar innlegg...",confirmLoadBackup:"Ynskjer du å gjennopprette tidlegare endringar som ikkje har verta lagra?"},editorToolbar:{publishing:"Publiserer...",publish:"Publiser",published:"Publisert",unpublish:"Avpubliser",duplicate:"Dupliser",unpublishing:"Avpubliserer...",publishAndCreateNew:"Publiser og lag nytt",publishAndDuplicate:"Publiser og dupliser",deleteUnpublishedChanges:"Slett upubliserte endringar",deleteUnpublishedEntry:"Slett upublisert innlegg",deletePublishedEntry:"Slett publisert innlegg",deleteEntry:"Slettar innlegg",saving:"Lagrar...",save:"Lagre",deleting:"Slettar...",updating:"Oppdaterer...",status:"Status: %{status}",backCollection:" Skriv i samlinga %{collectionLabel}",unsavedChanges:"Ulagra endringar",changesSaved:"Endringar lagret",draft:"Kladd",inReview:"Til godkjenning",ready:"Klar",publishNow:"Publiser no",deployPreviewPendingButtonLabel:"Kontroller førehandsvisning",deployPreviewButtonLabel:"Sjå førehandsvisning",deployButtonLabel:"Sjå i produksjon"},editorWidgets:{markdown:{richText:"Rik-tekst",markdown:"Markdown"},image:{choose:"Vel bilete",chooseDifferent:"Vel eit anna bilete",remove:"Fjern bilete"},file:{choose:"Vel fil",chooseDifferent:"Vel ei anna fil",remove:"Fjern fil"},unknownControl:{noControl:"Ingen konfigurasjon for widget '%{widget}'."},unknownPreview:{noPreview:"Ingen førehandsvisning tilgjengeleg for '%{widget}'."},headingOptions:{headingOne:"Overskrift 1",headingTwo:"Overskrift 2",headingThree:"Overskrift 3",headingFour:"Overskrift 4",headingFive:"Overskrift 5",headingSix:"Overskrift 6"},datetime:{now:"No",clear:"Nullstill"}}},mediaLibrary:{mediaLibraryCard:{draft:"Kladd"},mediaLibrary:{onDelete:"Er du sikker på at du vil slette markert element?",fileTooLarge:"Fila er for stor.\nMaksimal konfiguert filstorleik er %{size} kB."},mediaLibraryModal:{loading:"Lastar...",noResults:"Ingen resultat.",noAssetsFound:"Ingen elementer funne.",noImagesFound:"Ingen bilete funne.",private:"Privat ",images:"Bileter",mediaAssets:"Mediebibliotek",search:"Søk...",uploading:"Lastar opp...",upload:"Last opp",download:"Last ned",deleting:"Slettar...",deleteSelected:"Slett markert",chooseSelected:"Vel markert"}},ui:{default:{goBackToSite:"Attende til sida"},errorBoundary:{title:"Feil",details:"Ein feil har oppstått. Det er fint om du ",reportIt:"opnar eit issue på GitHub.",detailsHeading:"Detaljer",privacyWarning:"Når du opnar eit issue vart feil og feilsøkingsdata automatisk fylt ut. Hugs å sjå over at alt ser greitt ut, og ikkje inneheld sensitive data.",recoveredEntry:{heading:"Gjenopprettet dokument",warning:"Det kan vere lurt å ta kopi av innhaldet før du navigerer bort frå denne sida!",copyButtonLabel:"Kopier til utklippstavle"}},settingsDropdown:{logOut:"Logg ut"},toast:{onFailToLoadEntries:"Kunne ikkje laste innlegg: %{details}",onFailToLoadDeployPreview:"Kunne ikkje laste førehandsvisning: %{details}",onFailToPersist:"Kunne ikkje lagre: %{details}",onFailToDelete:"Kunne ikkje slette: %{details}",onFailToUpdateStatus:"Kunne ikkje laste opp: %{details}",missingRequiredField:"Oisann, gløymte du noko? Alle påkrevde felt må fyllast ut før du kan halde fram",entrySaved:"Innlegg lagra",entryPublished:"Innlegg publisert",entryUnpublished:"Innlegg avpublisert",onFailToPublishEntry:"Kunne ikkje publisere: %{details}",onFailToUnpublishEntry:"Kunne ikkje avpublisere: %{details}",entryUpdated:"Innleggsstatus oppdatert",onDeleteUnpublishedChanges:"Avpubliserte endringar sletta",onFailToAuth:"%{details}"}},workflow:{workflow:{loading:"Lastar innlegg for redaksjonell arbeidsflyt",workflowHeading:"Redaksjonell arbeidsflyt",newPost:"Nytt innlegg",description:"%{smart_count} innlegg treng gjennomgong, og %{readyCount} er klar til publisering. |||| %{smart_count} innlegg treng gjennomgong, og %{readyCount} er klar til publisering ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} av %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"av %{author}",deleteChanges:"Slett endringar",deleteNewEntry:"Slett nytt innlegg",publishChanges:"Publiser endringar",publishNewEntry:"Publiser nytt innlegg"},workflowList:{onDeleteEntry:"Er du sikker på du vil slette innlegget?",onPublishingNotReadyEntry:'Du kan berre publisere innlegg i "Klar" kolonna. Dra kortet til riktig stad for å halde fram.',onPublishEntry:"Er du sikker på du vil publisere innlegget?",draftHeader:"Kladd",inReviewHeader:"Gjennomgås",readyHeader:"Klar",currentEntries:"%{smart_count} innlegg |||| %{smart_count} innlegg"}}},mme={auth:{login:"Zaloguj się",loggingIn:"Logowanie...",loginWithNetlifyIdentity:"Zaloguj przez konto Netlify",loginWithAzure:"Zaloguj przez konto Azure",loginWithBitbucket:"Zaloguj przez Bitbucket",loginWithGitHub:"Zaloguj przez GitHub",loginWithGitLab:"Zaloguj przez GitLab",loginWithGitea:"Zaloguj przez Gitea",errors:{email:"Wprowadź swój adres email",password:"Wprowadź swoje hasło",identitySettings:"Brak dostępu do ustawień tożsamości. Jeśli używasza backendu git-gateway upewnij się, że usługa tożsamośći (Identity service) oraz Git Gateway są włączone."}},app:{header:{content:"Treść",workflow:"Przebieg redakcyjny",media:"Multimedia",quickAdd:"Szybkie dodawanie"},app:{errorHeader:"Błąd ładowania konfiguracji CMS",configErrors:"Błędy konfiguracji",checkConfigYml:"Sprawdź plik config.yml.",loadingConfig:"Ładowanie konfiguracji...",waitingBackend:"Oczekiwanie na backend..."},notFoundPage:{header:"Nie znaleziono"}},collection:{sidebar:{collections:"Kolekcje",allCollections:"Wszystkie kolekcje",searchAll:"Wyszukaj wszystkie",searchIn:"Wyszukaj w"},collectionTop:{sortBy:"Sortuj po",viewAs:"Wyświetl jako",newButton:"Nowy %{collectionLabel}",ascending:"Rosnąco",descending:"Malejąco",searchResults:"Wyszukaj wyniki dla %{searchTerm}",searchResultsInCollection:"Wyszukaj wyniki dla %{searchTerm} w %{collection}",filterBy:"Filtruj po",groupBy:"Grupuj po"},entries:{loadingEntries:"Ładowanie pozycji...",cachingEntries:"Ładowanie pozycji do pamięci podręcznej...",longerLoading:"To może zająć kilka minut",noEntries:"Brak pozycji"},groups:{other:"Inne",negateLabel:"Nie %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Zaktualizowano"}}},editor:{editorControl:{field:{optional:"opcjonalne"}},editorControlPane:{widget:{required:"%{fieldLabel} jest wymagane.",regexPattern:"%{fieldLabel} nie pasuje do formatu: %{pattern}.",processing:"%{fieldLabel} jest przetwarzane.",range:"%{fieldLabel} musi być pomiędzy %{minValue} a %{maxValue}.",min:"%{fieldLabel} musi być co najmniej %{minValue}.",max:"%{fieldLabel} musi być %{maxValue} lub mniej.",rangeCount:"%{fieldLabel} musi mieć od %{minCount} do %{maxCount} elementów",rangeCountExact:"%{fieldLabel} musi mieć %{count} elementów",rangeMin:"%{fieldLabel} musi mieć przynajmniej %{minCount} elementów",rangeMax:"%{fieldLabel} może mieć maksymalnie %{maxCount} elementów",invalidPath:"'%{path}' nie jest poprawna",pathExists:"Ścieżka '%{path}' już istnieje"},i18n:{writingInLocale:"Pisz w języku %{locale}"}},editor:{onLeavePage:"Czy na pewno chcesz opuścić tę stronę?",onUpdatingWithUnsavedChanges:"Masz niezapisane zmiany, proszę zapisz je przed aktualizacją statusu.",onPublishingNotReady:'Proszę zaktualizować status do "Gotowe" przed publikacją.',onPublishingWithUnsavedChanges:"Masz niezapisane zmiany, proszę zapisz je przed publikacją.",onPublishing:"Czy na pewno chcesz opublikować tę pozycję?",onUnpublishing:"Czy na pewno chcesz cofnąć publikację tej pozycji?",onDeleteWithUnsavedChanges:"Czy na pewno chcesz usunąć tę opublikowaną pozycję, a także niezapisane zmiany z bieżącej sesji?",onDeletePublishedEntry:"Czy na pewno chcesz usunąć tę opublikowaną pozycję?",onDeleteUnpublishedChangesWithUnsavedChanges:"Spowoduje to usunięcie wszystkich nieopublikowanych zmian tej pozycji, a także niezapisanych zmian z bieżącej sesji. Czy nadal chcesz usunąć?",onDeleteUnpublishedChanges:"Wszystkie nieopublikowane zmiany tej pozycji zostaną usunięte. Czy nadal chcesz usunąć?",loadingEntry:"Ładowanie pozycji...",confirmLoadBackup:"Odzyskano lokalną kopię zapasową tej pozycji, czy chcesz jej użyć?"},editorInterface:{toggleI18n:"Przełącz i18n",togglePreview:"Przełącz podgląd",toggleScrollSync:"Synchroniczne przesuwanie"},editorToolbar:{publishing:"Publikowanie...",publish:"Opublikuj",published:"Opublikowane",unpublish:"Cofnij publikację",duplicate:"Zduplikuj",unpublishing:"Cofanie publikacji...",publishAndCreateNew:"Opublikuj i dodaj nowy",publishAndDuplicate:"Opublikuj i zduplikuj",deleteUnpublishedChanges:"Usuń nieopublikowane zmiany",deleteUnpublishedEntry:"Usuń nieopublikowaną pozycję",deletePublishedEntry:"Usuń opublikowaną pozycję",deleteEntry:"Usuń pozycję",saving:"Zapisywanie...",save:"Zapisz",statusInfoTooltipDraft:"Dodano jako wersję roboczą. Aby zakończyć i oddać do recenzji zmień status na `Do recenzji`",statusInfoTooltipInReview:"Wpis jest w trakcie recenzji, żadne dodatkowe akcje nie są wymagane. Jeśli chcesz, możesz jeszcze nanieść zmiany.",deleting:"Usuwanie...",updating:"Uaktualnianie...",status:"Status: %{status}",backCollection:" Edycja treści w zbiorze %{collectionLabel}",unsavedChanges:"Niezapisane zmiany",changesSaved:"Zmiany zapisane",draft:"Wersja robocza",inReview:"W recenzji",ready:"Gotowe",publishNow:"Opublikuj teraz",deployPreviewPendingButtonLabel:"Sprawdź, czy istnieje podgląd",deployPreviewButtonLabel:"Zobacz podgląd",deployButtonLabel:"Zobacz na żywo"},editorWidgets:{markdown:{bold:"Pogrubienie",italic:"Kursywa",code:"Kod",link:"Link",linkPrompt:"Dodaj adres URL",headings:"Nagłówki",quote:"Cytat",bulletedList:"Lista punktowana",numberedList:"Lista numerowana",addComponent:"Dodaj komponent",richText:"Tekst sformatowany",markdown:"Markdown"},image:{choose:"Wybierz zdjęcie",chooseUrl:"Dodaj adres URL zdjęcia",replaceUrl:"Zmień adres URL zdjęcia",promptUrl:"Wprować adres URL zdjęcia",chooseDifferent:"Zmień zdjęcie",remove:"Usuń zdjęcie"},file:{choose:"Wybierz plik",chooseUrl:"Dodaj adres URL pliku",replaceUrl:"Zmień adres URL zdjęcia",promptUrl:"Dodaj adres URL pliku",chooseDifferent:"Wybierz inny plik",remove:"Usuń plik"},unknownControl:{noControl:"Brak kontrolki dla widżetu '%{widget}'."},unknownPreview:{noPreview:"Brak podglądu dla widżetu '%{widget}'."},headingOptions:{headingOne:"Nagłówek 1",headingTwo:"Nagłówek 2",headingThree:"Nagłówek 3",headingFour:"Nagłówek 4",headingFive:"Nagłówek 5",headingSix:"Nagłówek 6"},datetime:{now:"Teraz",clear:"Wyczyść"},list:{add:"Dodaj %{item}",addType:"Dodaj nowy %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Wersja robocza",copy:"Kopiuj",copyUrl:"Kopiuj URL",copyPath:"Kopiuj ścieżkę",copyName:"Kopiuj nazwę",copied:"Skopiowano"},mediaLibrary:{onDelete:"Czy na pewno chcesz usunąć zaznaczone multimedia?",fileTooLarge:"Plik jest za duży.\nUstawiony maksymalny rozmiar pliku: %{size} kB."},mediaLibraryModal:{loading:"Ładowanie...",noResults:"Brak wyników.",noAssetsFound:"Nie znaleziono żadnych zasobów.",noImagesFound:"Nie znaleziono żadnych obrazów.",private:"Prywatne ",images:"Obrazy",mediaAssets:"Zasoby multimedialne",search:"Szukaj...",uploading:"Przesyłanie...",upload:"Prześlij nowe",download:"Pobierz",deleting:"Usuwanie...",deleteSelected:"Usuń zaznaczone",chooseSelected:"Wybierz zaznaczone"}},ui:{default:{goBackToSite:"Wróć do strony"},errorBoundary:{title:"Błąd",details:"Wystąpił błąd - proszę ",reportIt:"zgłoś to.",detailsHeading:"Szczegóły",privacyWarning:"Nowe zgłoszenie zostanie wstępnie wypełnione danymi o błędzie.\nZweryfikuj czy dane są poprawne i usuń wrażliwe informacje jeśli takie zostały dodane.",recoveredEntry:{heading:"Odzyskany dokument",warning:"Proszę skopiuj/wklej to gdzieś zanim opuścisz tę stronę!",copyButtonLabel:"Skopiuj do schowka"}},settingsDropdown:{logOut:"Wyloguj się"},toast:{onFailToLoadEntries:"Nie udało się załadować pozycji: %{details}",onFailToLoadDeployPreview:"Nie udało się załadować podglądu: %{details}",onFailToPersist:"Nie udało się zapisać pozycji: %{details}",onFailToDelete:"Nie udało się usunąć pozycji: %{details}",onFailToUpdateStatus:"Nie udało się zaktualizować statusu: %{details}",missingRequiredField:"Ups, przegapiłeś wymagane pole. Proszę uzupełnij przed zapisaniem.",entrySaved:"Pozycja zapisana",entryPublished:"Pozycja opublikowana",entryUnpublished:"Cofnięto publikację pozycji",onFailToPublishEntry:"Nie udało się opublikować: %{details}",onFailToUnpublishEntry:"Nie udało się cofnąć publikacji pozycji: %{details}",entryUpdated:"Zaktualizowano status pozycji",onDeleteUnpublishedChanges:"Nieopublikowane zmiany zostały usunięte",onFailToAuth:"%{details}",onLoggedOut:"Zostałeś wylogowany, utwórz kopię zapasową danych i zaloguj się ponownie.",onBackendDown:"Usługa backendu uległa awarii. Zobacz więcej informacji: %{details}"}},workflow:{workflow:{loading:"Ładowanie pozycji przebiegu redakcyjnego",workflowHeading:"Przebieg redakcyjny",newPost:"Nowa pozycja",description:"%{smart_count} pozycja oczekuje na recenzję, %{readyCount} oczekuje na publikacje. |||| %{smart_count} pozycje oczekują na recenzję, %{readyCount} oczekuje na publikacje. |||| %{smart_count} pozycji oczekuje na recenzje, %{readyCount} oczekuje na publikacje. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} przez %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"przez %{author}",deleteChanges:"Usuń zmiany",deleteNewEntry:"Usuń nową pozycję",publishChanges:"Opublikuj zmiany",publishNewEntry:"Opublikuj nową pozycję"},workflowList:{onDeleteEntry:"Czy na pewno chcesz usunąć tę pozycję?",onPublishingNotReadyEntry:"Tylko pozycje o statusie „Gotowe” mogą być publikowane. Przeciągnij proszę kartę do kolumny „Gotowe do publikacji”, aby umożliwić publikowanie.",onPublishEntry:"Czy na pewno chcesz opublikować tę pozycję?",draftHeader:"Wersje robocze",inReviewHeader:"W recenzji",readyHeader:"Gotowe do publikacji",currentEntries:"%{smart_count} pozycja |||| %{smart_count} pozycje |||| %{smart_count} pozycji"}}},gme={auth:{login:"Entrar",loggingIn:"Entrando...",loginWithNetlifyIdentity:"Entrar com o Netlify Identity",loginWithAzure:"Entrar com o Azure",loginWithBitbucket:"Entrar com o Bitbucket",loginWithGitHub:"Entrar com o GitHub",loginWithGitLab:"Entrar com o GitLab",loginWithGitea:"Entrar com o Gitea",errors:{email:"Certifique-se de inserir seu e-mail.",password:"Por favor, insira sua senha.",identitySettings:"Não foi possível acessar as configurações de identidade. Ao usar o back-end git-gateway, certifique-se de habilitar o serviço Identity e o Git Gateway."}},app:{header:{content:"Conteúdos",workflow:"Fluxo de Trabalho",media:"Mídia",quickAdd:"Adição rápida"},app:{errorHeader:"Erro ao carregar a configuração do CMS",configErrors:"Erros de configuração",checkConfigYml:"Verifique o arquivo config.yml.",loadingConfig:"Carregando configuração...",waitingBackend:"Aguardando o back-end..."},notFoundPage:{header:"Não Encontrado"}},collection:{sidebar:{collections:"Coleções",allCollections:"Todas as Coleções",searchAll:"Pesquisar em todos",searchIn:"Pesquisar em"},collectionTop:{sortBy:"Ordenar por",viewAs:"Visualizar como",newButton:"Novo(a) %{collectionLabel}",ascending:"Ascendente",descending:"Descendente",searchResults:'Resultados da busca por "%{searchTerm}"',searchResultsInCollection:'Resultados da busca por "%{searchTerm}" em %{collection}',filterBy:"Filtrar por",groupBy:"Agrupar por"},entries:{loadingEntries:"Carregando Entradas",cachingEntries:"Armazenando Entradas em Cache",longerLoading:"Isso pode levar alguns minutos",noEntries:"Nenhuma Entrada"},groups:{other:"Outro",negateLabel:"Não %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Atualizado em"}}},editor:{editorControl:{field:{optional:"opcional"}},editorControlPane:{widget:{required:"%{fieldLabel} é obrigatório.",regexPattern:"%{fieldLabel} não corresponde com o padrão: %{pattern}.",processing:"%{fieldLabel} está processando.",range:"%{fieldLabel} deve estar entre %{minValue} e %{maxValue}.",min:"%{fieldLabel} deve ser, no mínimo, %{minValue}.",max:"%{fieldLabel} deve ser igual ou menor que %{maxValue}.",rangeCount:"%{fieldLabel} deve ser entre %{minCount} e %{maxCount}.",rangeCountExact:"%{fieldLabel} deve ser exatamente %{count}.",rangeMin:"%{fieldLabel} deve ter, pelo menos, %{minCount}.",rangeMax:"%{fieldLabel} deve ter %{maxCount} ou menos.",invalidPath:"'%{path}' não é um caminho válido",pathExists:"O caminho '%{path}' já existe"},i18n:{writingInLocale:"Escrevendo em %{locale}"}},editor:{onLeavePage:"Tem certeza que deseja sair desta página?",onUpdatingWithUnsavedChanges:"Há mudanças não salvas. Por favor, salve-as antes de atualizar o status.",onPublishingNotReady:'Por favor, altere o status para "Pronto" antes de publicar.',onPublishingWithUnsavedChanges:"Há mudanças não salvas. Por favor, salve-as antes de publicar.",onPublishing:"Tem certeza que deseja publicar essa entrada?",onUnpublishing:"Tem certeza que deseja cancelar a publicação dessa entrada?",onDeleteWithUnsavedChanges:"Tem certeza de que deseja excluir esta entrada publicada, bem como as alterações não salvas da sessão atual?",onDeletePublishedEntry:"Tem certeza de que deseja excluir esta entrada publicada?",onDeleteUnpublishedChangesWithUnsavedChanges:"Isso excluirá todas as alterações não publicadas nesta entrada, bem como as alterações não salvas da sessão atual. Você ainda deseja excluir?",onDeleteUnpublishedChanges:"Todas as alterações não publicadas nesta entrada serão excluídas. Você ainda deseja excluir?",loadingEntry:"Carregando entrada...",confirmLoadBackup:"Um backup local foi recuperado para esta entrada. Deseja usá-lo?"},editorInterface:{toggleI18n:"Mudar i18n",togglePreview:"Mudar pré-visualização",toggleScrollSync:"Sincronizar rolagem"},editorToolbar:{publishing:"Publicando...",publish:"Publicar",published:"Publicado",unpublish:"Despublicar",duplicate:"Duplicado",unpublishing:"Despublicando...",publishAndCreateNew:"Publicar e criar novo(a)",publishAndDuplicate:"Publicar e duplicar",deleteUnpublishedChanges:"Excluir alterações não publicadas",deleteUnpublishedEntry:"Excluir entrada não publicada",deletePublishedEntry:"Excluir entrada publicada",deleteEntry:"Excluir entrada",saving:"Salvando...",save:"Salvar",statusInfoTooltipDraft:"Entrada definida como rascunho. Para finalizar e enviá-la a revisão, mude seu estado para 'Em revisão'",statusInfoTooltipInReview:"Entrada está sendo revisada, nenhuma ação extra é requirida. Porém, você ainda pode fazer mudanças adicionais enquanto ela está sendo revisada.",deleting:"Excluindo...",updating:"Atualizando...",status:"Status: %{status}",backCollection:" Escrevendo na coleção %{collectionLabel}",unsavedChanges:"Alterações não salvas",changesSaved:"Alterações salvas",draft:"Rascunho",inReview:"Em revisão",ready:"Pronto",publishNow:"Publicar agora",deployPreviewPendingButtonLabel:"Verificar se há Pré-visualização",deployPreviewButtonLabel:"Ver Pré-visualização",deployButtonLabel:"Ver em Produção"},editorWidgets:{markdown:{bold:"Negrito",italic:"Itálico",code:"Código",link:"Link",linkPrompt:"Insira a URL do link",headings:"Cabeçalho",quote:"Citação",bulletedList:"Lista Pontilhada",numberedList:"Lista Numerada",addComponent:"Adicionar Componente",richText:"Rich Text",markdown:"Markdown"},image:{choose:"Escolha uma imagem",chooseUrl:"Inserir de uma URL",replaceUrl:"Substituir com uma URL",promptUrl:"Insira a URL da imagem",chooseDifferent:"Escolha uma imagem diferente",remove:"Remover imagem"},file:{choose:"Escolha um arquivo",chooseUrl:"Inserir de uma URL",replaceUrl:"Substituir com uma URL",promptUrl:"Insira a URL do arquivo",chooseDifferent:"Escolha um arquivo diferente",remove:"Remover arquivo"},unknownControl:{noControl:"Nenhum controle para o widget '%{widget}'."},unknownPreview:{noPreview:"Nenhuma pré-visualização para o widget '%{widget}'."},headingOptions:{headingOne:"Título nível 1",headingTwo:"Título nível 2",headingThree:"Título nível 3",headingFour:"Título nível 4",headingFive:"Título nível 5",headingSix:"Título nível 6"},datetime:{now:"Agora",clear:"Limpar"},list:{add:"Adicionar %{item}",addType:"Adicionar %{item} item"}}},mediaLibrary:{mediaLibraryCard:{draft:"Rascunho",copy:"Copiar",copyUrl:"Copiar URL",copyPath:"Copiar Caminho",copyName:"Copiar Nome",copied:"Copiado"},mediaLibrary:{onDelete:"Tem certeza de que deseja excluir a mídia selecionada?",fileTooLarge:"Arquivo muito grande.\nConfigurado para não permitir arquivos maiores que %{size} kB."},mediaLibraryModal:{loading:"Carregando...",noResults:"Nenhum resultado.",noAssetsFound:"Nenhum recurso encontrado.",noImagesFound:"Nenhuma imagem encontrada.",private:"Privado ",images:"Imagens",mediaAssets:"Recursos de mídia",search:"Pesquisar...",uploading:"Enviando...",upload:"Enviar novo",download:"Download",deleting:"Excluindo...",deleteSelected:"Excluir selecionado",chooseSelected:"Escolher selecionado"}},ui:{default:{goBackToSite:"Voltar ao site"},errorBoundary:{title:"Erro",details:"Ocorreu um erro - por favor ",reportIt:"relatar.",detailsHeading:"Detalhes",privacyWarning:"Ao abrir uma issue, ela é preenchida com a mensagem de erro e o log de debug.\nPor favor, verifique se a informação está correta e remova dados sensíveis caso existam.",recoveredEntry:{heading:"Documento recuperado",warning:"Copie/cole isso em algum lugar antes de sair!",copyButtonLabel:"Copiar para área de transferência"}},settingsDropdown:{logOut:"Sair"},toast:{onFailToLoadEntries:"Falha ao carregar a entrada: %{details}",onFailToLoadDeployPreview:"Falha ao carregar a pré-visualização: %{details}",onFailToPersist:"Falha ao persistir na entrada: %{details}",onFailToDelete:"Falha ao excluir a entrada: %{details}",onFailToUpdateStatus:"Falha ao atualizar status: %{details}",missingRequiredField:"Ops, você perdeu um campo obrigatório. Por favor, preencha antes de salvar.",entrySaved:"Entrada salva",entryPublished:"Entrada publicada",entryUnpublished:"Entrada despublicada",onFailToPublishEntry:"Falha ao publicar: %{details}",onFailToUnpublishEntry:"Falha ao cancelar a publicação da entrada: %{details}",entryUpdated:"Status da entrada atualizado",onDeleteUnpublishedChanges:"Alterações não publicadas excluídas",onFailToAuth:"%{details}",onLoggedOut:"Você foi desconectado. Por favor, salve as alterações e entre novamente",onBackendDown:"O serviço de back-end está fora do ar. Veja %{details} para mais informações"}},workflow:{workflow:{loading:"Carregando entradas do Fluxo de Trabalho Editorial",workflowHeading:"Fluxo de Trabalho Editorial",newPost:"Nova Publicação",description:"%{smart_count} entrada aguardando revisão, %{readyCount} pronta para publicação. |||| %{smart_count} entradas aguardando revisão, %{readyCount} pronta para publicação.",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} por %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"por %{author}",deleteChanges:"Excluir alterações",deleteNewEntry:"Excluir nova entrada",publishChanges:"Publicar alterações",publishNewEntry:"Publicar nova entrada"},workflowList:{onDeleteEntry:"Tem certeza de que deseja excluir esta entrada?",onPublishingNotReadyEntry:'Somente itens com o status "Pronto" podem ser publicados. Arraste o cartão para a coluna "Pronto" para poder publicar.',onPublishEntry:"Tem certeza de que quer publicar esta entrada?",draftHeader:"Rascunhos",inReviewHeader:"Em Revisão",readyHeader:"Prontos",currentEntries:"%{smart_count} entrada |||| %{smart_count} entradas"}}},vme={auth:{login:"Autentifică-te",loggingIn:"Te autentificăm...",loginWithNetlifyIdentity:"Autentifică-te cu Netlify Identity",loginWithAzure:"Autentifică-te cu Azure",loginWithBitbucket:"Autentifică-te cu Bitbucket",loginWithGitHub:"Autentifică-te cu GitHub",loginWithGitLab:"Autentifică-te cu GitLab",loginWithGitea:"Autentifică-te cu Gitea",errors:{email:"Asigură-te că ai introdus email-ul.",password:"Te rugăm introdu parola.",identitySettings:"Nu s-a putut accesa serviciul de autentificare. Dacă folosești git-gateway, asigură-te că ai activat serviciul Identity și Git-Gateway."}},app:{header:{content:"Conținut",workflow:"Workflow",media:"Fișiere",quickAdd:"Adaugă"},app:{errorHeader:"A apărut o eroare cu configurarea CMS-ului.",configErrors:"Au apărut erori de configurare.",checkConfigYml:"Verifică fișierul de configurare (config.yml).",loadingConfig:"Se încarcă configurările...",waitingBackend:"Așteptăm după backend..."},notFoundPage:{header:"Pagină inexistentă."}},collection:{sidebar:{collections:"Colecții",allCollections:"Toate colecțiile",searchAll:"Căutare",searchIn:"Caută în"},collectionTop:{sortBy:"Sortează",viewAs:"Vizualizează ca",newButton:"Adaugă %{collectionLabel}",ascending:"Ascendent",descending:"Descendent",searchResults:'Rezultatele căutării pentru "%{searchTerm}"',searchResultsInCollection:'Rezultatele căutării pentru "%{searchTerm}" în %{collection}',filterBy:"Filtrează după",groupBy:"Grupează după"},entries:{loadingEntries:"Se încarcă intrările...",cachingEntries:"Se salvează temporar intrările...",longerLoading:"Ar putea dura câteva minute.",noEntries:"Nu există intrări."},groups:{other:"Altul",negateLabel:"Nu %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Actualizat la"}}},editor:{editorControl:{field:{optional:"opțional"}},editorControlPane:{widget:{required:"%{fieldLabel}” este obligatoriu.",regexPattern:"%{fieldLabel} nu se potrivește după modelul: %{pattern}.",processing:"%{fieldLabel} se procesează.",range:"%{fieldLabel} poate fi între %{minValue} și %{maxValue}.",min:"%{fieldLabel} poate fi mai mare sau egal cu %{minValue}.",max:"%{fieldLabel} poate fi mai mic sau egal cu %{maxValue}.",rangeCount:"%{fieldLabel} poate avea între %{minCount} și %{maxCount} intrări.",rangeCountExact:"%{fieldLabel} trebuie să conțină exact %{count} intrări.",minCount:"%{fieldLabel} trebuie să conțină cel puțin %{minCount} intrări.",maxCount:"%{fieldLabel} trebuie să conțină cel mult %{maxCount} intrări.",invalidPath:"'%{path}' nu este o cale validă.",pathExists:"Calea '%{path}' există deja."},i18n:{writingInLocale:"Scrii în limba %{locale}"}},editor:{onLeavePage:"Ești sigur/ă că dorești să părăsești pagina?",onUpdatingWithUnsavedChanges:"Există modificări nesalvate! Te rugăm salvează înainte de a actualiza statusul.",onPublishingNotReady:"Actualizează statusul la „Gata” înainte de publicare.",onPublishingWithUnsavedChanges:"Există modificări nesalvate, salvează-le înainte de publicare.",onPublishing:"Ești sigur/ă că dorești să publici acest articol?",onUnpublishing:"Ești sigur/ă că dorești să anulezi publicarea acestui articol?",onDeleteWithUnsavedChanges:"Ești sigur/ă că dorești să ștergi această publicare, dar și modificările nesalvate din sesiunea curentă?",onDeletePublishedEntry:"Ești sigur/ă că dorești să ștergi această publicare?",onDeleteUnpublishedChangesWithUnsavedChanges:"Se vor șterge toate modificările nepublicate din aceast articol și modificările nesalvate din sesiunea curentă. Continui cu ștergerea?",onDeleteUnpublishedChanges:"Toate modificările nepublicate din acest articol vor fi șterse. Continui cu ștergerea?",loadingEntry:"Se încarcă...",confirmLoadBackup:"Un backup local a fost recuperat pentru această intrare, dorești să îl folosești?"},editorInterface:{toggleI18n:"Comută limba",togglePreview:"Comută previzualizarea",toggleScrollSync:"Sincronizează scroll-ul"},editorToolbar:{publishing:"Se publică...",publish:"Publicare",published:"Publicat",unpublish:"Anulează publicarea",duplicate:"Duplifică",unpublishing:"Se anulează publicarea...",publishAndCreateNew:"Publicare apoi crează altul",publishAndDuplicate:"Publicare apoi duplifică",deleteUnpublishedChanges:"Șterge modificări nepublicate",deleteUnpublishedEntry:"Șterge intrarea nepublicată",deletePublishedEntry:"Șterge intrarea publicată",deleteEntry:"Șterge intrare",saving:"Se salvează...",save:"Salvează",deleting:"Se șterge...",updating:"Se actualizează...",status:"Status: %{status}",backCollection:" Scrii în colecția „%{collectionLabel}”",unsavedChanges:"Modificări nesalvate",changesSaved:"Modificări salvate",draft:"Ciornă",inReview:"În revizuire",ready:"Gata",publishNow:"Publicare",deployPreviewPendingButtonLabel:"Verifică publicare",deployPreviewButtonLabel:"Previzualizare",deployButtonLabel:"Vezi publicarea"},editorWidgets:{markdown:{bold:"Bold",italic:"Italic",code:"Cod sursă",link:"Link",linkPrompt:"Scrie URL-ul",headings:"Titluri",quote:"Citat",bulletedList:"Listă cu puncte",numberedList:"Listă cu numere",addComponent:"Adaugă componentă",richText:"Rich Text",markdown:"Markdown"},image:{choose:"Alege o imagine",chooseUrl:"Inserează din URL",replaceUrl:"Schimbă cu URL",promptUrl:"Introdu URL-ul imaginii",chooseDifferent:"Alege altă imagine",remove:"Șterge imaginea"},file:{choose:"Alege un fișier",chooseUrl:"Inserează din URL",replaceUrl:"Schimbă cu URL",promptUrl:"Introdu URL-ul fișierului",chooseDifferent:"Alege alt fișier",remove:"Șterge fișier"},unknownControl:{noControl:"Widget-ul „%{widget}” nu are configurări valabile."},unknownPreview:{noPreview:"Nu există previzualizare pentru widget-ul „%{widget}”."},headingOptions:{headingOne:"Titlu 1",headingTwo:"Titlu 2",headingThree:"Titlu 3",headingFour:"Titlu 4",headingFive:"Titlu 5",headingSix:"Titlu 6"},datetime:{now:"Acum",clear:"Șterge"}}},mediaLibrary:{mediaLibraryCard:{draft:"Ciornă",copy:"Copiază",copyUrl:"Copiază URL",copyPath:"Copiază cale",copyName:"Copiaza nume",copied:"Copiat"},mediaLibrary:{onDelete:"Ești sigur/ă că dorești să ștergi fișierul selectat?",fileTooLarge:"Fișier prea mare.\nConfigurarea nu permite fișiere mai mari de %{size} KB."},mediaLibraryModal:{loading:"Se încarcă...",noResults:"Nu sunt rezultate.",noAssetsFound:"Nu s-au găsit fișiere.",noImagesFound:"Nu s-au găsit imagini.",private:"Privat ",images:"Imagini",mediaAssets:"Fișiere media",search:"Caută...",uploading:"Se încarcă...",upload:"Încarcă",download:"Descarcă",deleting:"Se șterge...",deleteSelected:"Șterge fișierele selectate",chooseSelected:"Alege fișierele selectate"}},ui:{default:{goBackToSite:"Înapoi la site"},errorBoundary:{title:"Eroare",details:"A apărut o eroare - te rugăm ",reportIt:"Deschide o problemă pe GitHub.",detailsHeading:"Detalii",privacyWarning:"Problema deschisă va fi precompletată cu mesajul de eroare și datele de depanare.\nTe rugăm verifică datele să fie corecte și șterge orice fel de date personale.",recoveredEntry:{heading:"Document recuperat",warning:"Te rugăm să faci copy/paste la datele acestea undeva înainte de ieșire!",copyButtonLabel:"Copiază în clipboard"}},settingsDropdown:{logOut:"Ieșire din cont"},toast:{onFailToLoadEntries:"A eșuat încărcarea intrării: %{details}",onFailToLoadDeployPreview:"A eșuat încărcarea previzualizării: %{details}",onFailToPersist:"A eșuat persistarea intrării: %{details}",onFailToDelete:"A eșuat ștergerea intrării: %{details}",onFailToUpdateStatus:"A eșuat actualizarea status-ului: %{details}",missingRequiredField:"Oops, ai ratat un câmp obligatoriu. Completează-l pentru a salva.",entrySaved:"Intrare salvată",entryPublished:"Intrare publicată",entryUnpublished:"Publicare anulată",onFailToPublishEntry:"A eșuat publicarea: %{details}",onFailToUnpublishEntry:"A eșuat anularea publicării: %{details}",entryUpdated:"S-a actualizat status-ul intrării",onDeleteUnpublishedChanges:"Modificări nepublicate șterse",onFailToAuth:"%{details}",onLoggedOut:"Ai fost delogat, te rugăm salvează orice date și autentifică-te din nou.",onBackendDown:"Există probleme la server. Vezi %{details} pentru mai multe informații."}},workflow:{workflow:{loading:"Se încarcă intrările din Workflow-ul Editorial",workflowHeading:"Workflow Editorial",newPost:"Postare nouă",description:"%{smart_count} pregătite de revizuire, %{readyCount} gata de publicare. |||| %{smart_count} pregătite de revizuire, %{readyCount} gata de publicare. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} de %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"de %{author}",deleteChanges:"Modificări șterse",deleteNewEntry:"Șterge intrarea nouă",publishChanges:"Publicare modificări",publishNewEntry:"Publicare intrare nouă"},workflowList:{onDeleteEntry:"Ești sigur/ă că dorești ștergerea intrării?",onPublishingNotReadyEntry:"Numai intrări cu status-ul „Gata” pot fi publicate. Trage un card în coloana „Gata” pentru a putea publica.",onPublishEntry:"Ești sigur/ă că dorești să faci publicarea?",draftHeader:"Ciorne",inReviewHeader:"În revizuire",readyHeader:"Gata",currentEntries:"%{smart_count} intrări |||| %{smart_count} intrări"}}},yme={auth:{login:"Войти",loggingIn:"Вхожу...",loginWithNetlifyIdentity:"Войти через Netlify Identity",loginWithAzure:"Войти через Azure",loginWithBitbucket:"Войти через Bitbucket",loginWithGitHub:"Войти через GitHub",loginWithGitLab:"Войти через GitLab",loginWithGitea:"Войти через Gitea",errors:{email:"Введите ваш email.",password:"Введите пароль.",identitySettings:"Нет доступа к настройкам. Если используете git-gateway, убедитесь, что включили Identity service и Git Gateway."}},app:{header:{content:"Записи",workflow:"Рабочая область",media:"Медиафайлы",quickAdd:"Быстрое добавление"},app:{errorHeader:"Ошибка загрузки конфигурации CMS",configErrors:"Ошибки конфигурации",checkConfigYml:"Проверьте свой config.yml файл.",loadingConfig:"Загрузка конфигурации…",waitingBackend:"Ожидание ответа от бэкенда…"},notFoundPage:{header:"Не найден"}},collection:{sidebar:{collections:"Коллекции",allCollections:"Все коллекции",searchAll:"Искать повсюду",searchIn:"Искать в"},collectionTop:{sortBy:"Сортировать по",viewAs:"Вид",newButton:"Создать %{collectionLabel}",ascending:"По возрастанию",descending:"По убывания",searchResults:'Результаты по запросу "%{searchTerm}"',searchResultsInCollection:'Результаты по запросу "%{searchTerm}" в %{collection}',filterBy:"Фильтровать по",groupBy:"Группировать по"},entries:{loadingEntries:"Загрузка записей…",cachingEntries:"Кэширование записей…",longerLoading:"Это может занять несколько минут",noEntries:"Нет записей"},groups:{other:"Другая",negateLabel:"Не %{label}"},defaultFields:{author:{label:"Автор"},updatedOn:{label:"Дате обновления"}}},editor:{editorControl:{field:{optional:"необязательный"}},editorControlPane:{widget:{required:"Необходимо указать значение поля %{fieldLabel}.",regexPattern:"Значение поля %{fieldLabel} не соответствует шаблону: %{pattern}.",processing:"Значение поля %{fieldLabel} обрабатывается…",range:"Значение поля %{fieldLabel} должно быть между %{minValue} и %{maxValue}.",min:"Значение поля %{fieldLabel} должно быть не менее %{minValue}.",max:"Значение поля %{fieldLabel} должно быть %{maxValue} или менее.",rangeCount:"%{fieldLabel} должно содержать от %{minCount} до %{maxCount} элементов.",rangeCountExact:"%{fieldLabel} должно содержать строго %{count} элементов.",rangeMin:"%{fieldLabel} должно содержать не менее %{minCount} элементов.",rangeMax:"%{fieldLabel} должно содержать %{maxCount} или менее элементов.",invalidPath:"Путь '%{path}' содежрит ошибки",pathExists:"Путь '%{path}' уже существует"},i18n:{writingInLocale:"Пишем на %{locale}",copyFromLocale:"Заполнить из другого региона",copyFromLocaleConfirm:"Вы хотите заполнять данные используя %{locale} локализацию?\nВесь существующий контент будет перезаписан."}},editor:{onLeavePage:"Вы уверены, что хотите покинуть эту страницу?",onUpdatingWithUnsavedChanges:"У вас есть несохраненные изменения, сохраните их перед обновлением статуса.",onPublishingNotReady:"Пожалуйста, измените статус на «Готов» перед публикацией.",onPublishingWithUnsavedChanges:"У вас есть несохраненные изменения, сохраните их перед публикацией.",onPublishing:"Вы уверены, что хотите опубликовать эту запись?",onUnpublishing:"Вы уверены, что хотите отменить публикацию этой записи?",onDeleteWithUnsavedChanges:"Вы уверены, что хотите удалить эту опубликованную запись, а также несохраненные изменения из текущего сеанса?",onDeletePublishedEntry:"Вы уверены, что хотите удалить эту опубликованную запись?",onDeleteUnpublishedChangesWithUnsavedChanges:"Это удалит все неопубликованные изменения в этой записи, а также ваши несохраненные изменения из текущего сеанса. Вы все еще хотите удалить?",onDeleteUnpublishedChanges:"Все неопубликованные изменения в этой записи будут удалены. Вы все еще хотите удалить?",loadingEntry:"Загрузка записи…",confirmLoadBackup:"Для этой записи была восстановлена локальная резервная копия, хотите ли вы ее использовать?"},editorInterface:{toggleI18n:"Переключиться на i18n",togglePreview:"Переключиться на предварительный просмотр",toggleScrollSync:"Синхронизация прокрутки"},editorToolbar:{publishing:"Публикация…",publish:"Опубликовать",published:"Опубликовано",unpublish:"Отменить публикацию",duplicate:"Дублировать",unpublishing:"Отмена публикации…",publishAndCreateNew:"Опубликовать и создать новую",publishAndDuplicate:"Опубликовать и дублировать",deleteUnpublishedChanges:"Удалить неопубликованные изменения",deleteUnpublishedEntry:"Удалить неопубликованную запись",deletePublishedEntry:"Удалить опубликованную запись",deleteEntry:"Удалить запись",saving:"Сохранение…",save:"Сохранить",deleting:"Удаление…",updating:"Обновление…",status:"Cтатус: %{status}",backCollection:"Запись в коллекцию %{collectionLabel}",unsavedChanges:"Несохраненные изменения",changesSaved:"Изменения сохранены",draft:"Черновик",inReview:"На рассмотрении",ready:"Одобрен",publishNow:"Опубликовать сейчас",deployPreviewPendingButtonLabel:"Проверить предварительный просмотр",deployPreviewButtonLabel:"Предварительный просмотр",deployButtonLabel:"Просмотр",statusInfoTooltipDraft:"Статус записи установлен на черновик. Чтобы доработать и отправить его на рассмотрение, установите статус «На рассмотрении».",statusInfoTooltipInReview:"Запись находится на рассмотрении, дальнейших действий не требуется. Тем не менее, вы все еще можете внести дополнительные изменения, пока она находится на рассмотрении."},editorWidgets:{markdown:{bold:"Полужиный",italic:"Курсив",code:"Код",link:"Ссылка",linkPrompt:"Укажите URL ссылки",headings:"Заголовки",quote:"Цитата",bulletedList:"Маркированный список",numberedList:"Нумерованный список",addComponent:"Добавить компонент",richText:"Форматированный текст",markdown:"Markdown"},image:{choose:"Выберите изображение",chooseMultiple:"Выберите изображения",chooseUrl:"Вставить из URL",replaceUrl:"Заменить на URL",promptUrl:"Введите URL изображения",chooseDifferent:"Выберите другое изображение",addMore:"Добавьте еще изображений",remove:"Удалить изображение",removeAll:"Удалить все изображения"},file:{choose:"Выберите файл",chooseUrl:"Вставить из URL",chooseMultiple:"Выбрать файлы",replaceUrl:"Заменить на URL",promptUrl:"Введите URL файла",chooseDifferent:"Выберите другой файл",addMore:"Добавить больше файлов",remove:"Удалить файл",removeAll:"Удалить все файлы"},unknownControl:{noControl:"Нет контрола для виджета '%{widget}'."},unknownPreview:{noPreview:"Нет превью для виджета '%{widget}'."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"},datetime:{now:"Сейчас",clear:"Очистить"},list:{add:"Добавить %{item}",addType:"Добавить %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Черновик",copy:"Копировать",copyUrl:"Копировать URL",copyPath:"Копировать путь",copyName:"Копировать имя",copied:"Скопировано"},mediaLibrary:{onDelete:"Вы уверены, что хотите удалить выбранный медиафайл?",fileTooLarge:"Файл слишком большой.\nНастройки не позволяют сохранять файлы более %{size} kB."},mediaLibraryModal:{loading:"Загрузка медифайлов…",noResults:"Нет результатов.",noAssetsFound:"Ресурсы не найдены.",noImagesFound:"Изображения не найдены.",private:"Приватные ",images:"Изображения",mediaAssets:"Медиаресурсы",search:"Идёт поиск…",uploading:"Загрузка…",upload:"Загрузить новый",download:"Скачать",deleting:"Удаление…",deleteSelected:"Удалить помеченные",chooseSelected:"Выбрать помеченные"}},ui:{default:{goBackToSite:"Вернуться на сайт"},errorBoundary:{title:"Ошибка",details:"Произошла ошибка. Пожалуйста, ",reportIt:"сообщите о ней.",detailsHeading:"Подробности",privacyWarning:"При открытии тикет автоматически предзаполняется сообщением об ошибке и отладочной информацией.\nПожалуйста, проверьте, что данные верны и не содержат конфиденциальной информации.",recoveredEntry:{heading:"Восстановленный документ",warning:"Пожалуйста, скопируйте это сообщение куда-нибудь, прежде чем уйти со страницы!",copyButtonLabel:"Скопировать в буфер обмена"}},settingsDropdown:{logOut:"Выйти"},toast:{onFailToLoadEntries:"Не удалось загрузить запись: %{details}",onFailToLoadDeployPreview:"Не удалось загрузить превью: %{details}",onFailToPersist:"Не удалось сохранить запись: %{details}",onFailToDelete:"Не удалось удалить запись: %{details}",onFailToUpdateStatus:"Не удалось обновить статус: %{details}",missingRequiredField:"К сожалению, вы пропустили обязательное поле. Пожалуйста, заполните перед сохранением.",entrySaved:"Запись сохранена",entryPublished:"Запись опубликована",entryUnpublished:"Публикация записи отменена",onFailToPublishEntry:"Не удалось опубликовать запись: %{details}",onFailToUnpublishEntry:"Не удалось отменить публикацию записи: %{details}",entryUpdated:"Статус записи обновлен",onDeleteUnpublishedChanges:"Неопубликованные изменения удалены",onFailToAuth:"%{details}",onLoggedOut:"Вы вышли. Пожалуйста, сохраните все данные и войдите снова",onBackendDown:"Происходят перебои в работе бекенда. См. %{details}"}},workflow:{workflow:{loading:"Загрузка записей в рабочей области",workflowHeading:"Рабочая область",newPost:"Новая запись",description:"Число записей, ожидающих проверки — %{smart_count}, готовых к публикации — %{readyCount}. |||| Число записей, ожидающих проверки — %{smart_count}, готовых к публикации — %{readyCount}. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date}, %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"%{author}",deleteChanges:"Удалить изменения",deleteNewEntry:"Удалить новую запись",publishChanges:"Опубликовать изменения",publishNewEntry:"Опубликовать новую запись"},workflowList:{onDeleteEntry:"Вы уверены, что хотите удалить эту запись?",onPublishingNotReadyEntry:"Только элементы со статусом «Готов» могут быть опубликованы. Перетащите карточку в столбец «Одобренные», чтобы разрешить публикацию.",onPublishEntry:"Вы уверены, что хотите опубликовать эту запись?",draftHeader:"Черновики",inReviewHeader:"На рассмотрении",readyHeader:"Одобренные",currentEntries:"%{smart_count} entry |||| %{smart_count} entries"}}},bme={auth:{login:"Logga in",loggingIn:"Loggar in...",loginWithNetlifyIdentity:"Logga in med Netlify Identity",loginWithAzure:"Logga in med Azure",loginWithBitbucket:"Logga in med Bitbucket",loginWithGitHub:"Logga in med GitHub",loginWithGitLab:"Logga in med GitLab",loginWithGitea:"Logga in med Gitea",errors:{email:"Fyll i din epostadress.",password:"Vänligen skriv ditt lösenord.",identitySettings:"Kan inte hämta inställningar för Identity. Vid användade av git-gateway backend, kontrollera att Identity service och Git Gateway är aktiverade."}},app:{header:{content:"Innehåll",workflow:"Arbetsflöde",media:"Media",quickAdd:"Snabbt tillägg"},app:{errorHeader:"Ett fel uppstod vid hämtning av CMS-konfigurationen",configErrors:"Konfigurationsfel",checkConfigYml:"Kontrollera din config.yml-fil.",loadingConfig:"Hämtar konfiguration...",waitingBackend:"Väntar på backend..."},notFoundPage:{header:"Sidan finns inte"}},collection:{sidebar:{collections:"Samlingar",allCollections:"Alla Samlingar",searchAll:"Sök",searchIn:"Sök i"},collectionTop:{sortBy:"Sortera efter",viewAs:"Visa som",newButton:"Ny %{collectionLabel}",ascending:"Stigande",descending:"Fallande",searchResults:'Sökresultat för "%{searchTerm}"',searchResultsInCollection:'Sökresultat för "%{searchTerm}" i %{collection}',filterBy:"Filtrera efter",groupBy:"Gruppera efter"},entries:{loadingEntries:"Hämtar inlägg...",cachingEntries:"Sparar inlägg i cache...",longerLoading:"Det här kan ta några minuter",noEntries:"Inga inlägg"},groups:{other:"Annat",negateLabel:"Inte %{label}"},defaultFields:{author:{label:"Författare"},updatedOn:{label:"Uppdaterad vid"}}},editor:{editorControl:{field:{optional:"frivillig"}},editorControlPane:{widget:{required:"%{fieldLabel} är obligatoriskt.",regexPattern:"%{fieldLabel} matchar inte mönstret: %{pattern}.",processing:"%{fieldLabel} bearbetas.",range:"%{fieldLabel} måste vara mellan %{minValue} och %{maxValue}.",min:"%{fieldLabel} måste vara åtminstone %{minValue}.",max:"%{fieldLabel} måste vara %{maxValue} eller mindre.",rangeCount:"%{fieldLabel} måste ha mellan %{minCount} och %{maxCount} element.",rangeCountExact:"%{fieldLabel} måste ha exakt %{count} element.",rangeMin:"%{fieldLabel} måste ha åtminstone %{minCount} element.",rangeMax:"%{fieldLabel} måste ha %{maxCount} eller färre element.",invalidPath:"'%{path}' är inte en giltig sökväg",pathExists:"Sökvägen '%{path}' existerar redan"},i18n:{writingInLocale:"Skriver i %{locale}"}},editor:{onLeavePage:"Är du säker på att du vill lämna sidan?",onUpdatingWithUnsavedChanges:"Du har osparade ändringar, vänligen spara dem innan du uppdaterar status.",onPublishingNotReady:'Vänligen uppdatera status till "Redo" innan du publicerar.',onPublishingWithUnsavedChanges:"Du har osparade ändringar, vänligen spara innan du publicerar.",onPublishing:"Är du säker på att du vill publicera det här inlägget?",onUnpublishing:"Är du säker på att du vill avpublicera det här inlägget?",onDeleteWithUnsavedChanges:"Är du säker på att du vill radera det här publicerade inlägget, inklusive dina osparade ändringar från nuvarande session?",onDeletePublishedEntry:"Är du säker på att du vill radera det här publicerade inlägget?",onDeleteUnpublishedChangesWithUnsavedChanges:"Du är på väg att radera alla opublicerade ändringar för det här inlägget, inklusive dina osparade ändringar från nuvarande session. Vill du fortfarande radera?",onDeleteUnpublishedChanges:"Alla opublicerade ändringar kommer raderas. Vill du fortfarande radera?",loadingEntry:"Hämtar inlägg...",confirmLoadBackup:"En lokal kopia hittades för det här inlägget, vill du använda den?"},editorInterface:{toggleI18n:"Slå på/av i18n",togglePreview:"Visa/Dölj förhandsvisning",toggleScrollSync:"Synka scrollning"},editorToolbar:{publishing:"Publicerar...",publish:"Publicera",published:"Publicerad",unpublish:"Avpublicera",duplicate:"Duplicera",unpublishing:"Avpublicerar...",publishAndCreateNew:"Publicera och skapa ny",publishAndDuplicate:"Publicera och duplicera",deleteUnpublishedChanges:"Radera opublicerade ändringar",deleteUnpublishedEntry:"Radera opublicerat inlägg",deletePublishedEntry:"Radera publicerat inlägg",deleteEntry:"Radera inlägg",saving:"Sparar...",save:"Spara",deleting:"Raderar...",updating:"Updaterar...",status:"Status: %{status}",backCollection:" Redigerar i samlingen %{collectionLabel}",unsavedChanges:"Osparade ändringar",changesSaved:"Ändringar sparade",draft:"Utkast",inReview:"Under granskning",ready:"Redo",publishNow:"Publicera nu",deployPreviewPendingButtonLabel:"Kontrollera förhandsvisning",deployPreviewButtonLabel:"Visa förhandsvisning",deployButtonLabel:"Visa Live"},editorWidgets:{markdown:{bold:"Fetstil",italic:"Kursiv",code:"Kod",link:"Länk",linkPrompt:"Ange en URL för länken",headings:"Rubriker",quote:"Citat",bulletedList:"Punktlista",numberedList:"Numrerad lista",addComponent:"Lägg till komponent",richText:"Rich Text",markdown:"Markdown"},image:{choose:"Välj en bild",chooseUrl:"Infoga från URL",replaceUrl:"Ersätt med URL",promptUrl:"Ange en URL för bilden",chooseDifferent:"Välj en annan bild",remove:"Ta bort bild"},file:{choose:"Välj en fil",chooseUrl:"Infoga från URL",replaceUrl:"Ersätt med URL",promptUrl:"Ange en URL för filen",chooseDifferent:"Välj en annan fil",remove:"Ta bort fil"},unknownControl:{noControl:"Inget reglage för widget '%{widget}'."},unknownPreview:{noPreview:"Ingen förhandsvisning för widget '%{widget}'."},headingOptions:{headingOne:"Rubrik 1",headingTwo:"Rubrik 2",headingThree:"Rubrik 3",headingFour:"Rubrik 4",headingFive:"Rubrik 5",headingSix:"Rubrik 6"},datetime:{now:"Nu",clear:"Rensa"}}},mediaLibrary:{mediaLibraryCard:{draft:"Utkast",copy:"Kopiera",copyUrl:"Kopiera URL",copyPath:"Kopiera Sökväg",copyName:"Kopiera Namn",copied:"Kopierad"},mediaLibrary:{onDelete:"Är du säker på att du vill radera valt mediaobjekt?",fileTooLarge:"Maximal filstorlek överskriden.\nKonfigurerad att inte tillåta filer större än %{size} kB."},mediaLibraryModal:{loading:"Hämtar...",noResults:"Inga resultat.",noAssetsFound:"Hittade inga mediaobjekt.",noImagesFound:"Hittade inga bilder.",private:"Privat ",images:"Bilder",mediaAssets:"Mediaobjekt",search:"Sök...",uploading:"Laddar upp...",upload:"Ladda upp",download:"Ladda ner",deleting:"Raderar...",deleteSelected:"Radera markerad",chooseSelected:"Välj markerad"}},ui:{default:{goBackToSite:"Tillbaka till sida"},errorBoundary:{title:"Fel",details:"Ett fel har uppstått - vänligen ",reportIt:"öppna ett ärende på GitHub.",detailsHeading:"Detaljer",privacyWarning:"När ett ärende öppnas bifogas felsökningsdata automatiskt.\nVänligen kontrollera att informationen är korrekt och ta bort känslig data om det skulle finnas sådan.",recoveredEntry:{heading:"Återskapade dokument",warning:"Vänligen kopiera materialet någon annanstans innan du navigerar från sidan!",copyButtonLabel:"Kopiera till urklipp"}},settingsDropdown:{logOut:"Logga ut"},toast:{onFailToLoadEntries:"Kunde inte hämta inlägg: %{details}",onFailToLoadDeployPreview:"Kunde inte ladda förhandsvisning: %{details}",onFailToPersist:"Kunde inte spara inlägg: %{details}",onFailToDelete:"Kunde inte radera inlägg: %{details}",onFailToUpdateStatus:"Kunde inte uppdatera status: %{details}",missingRequiredField:"Oops, du har missat ett obligatoriskt fält. Vänligen fyll i det innan du sparar.",entrySaved:"Inlägg sparat",entryPublished:"Inlägg publicerat",entryUnpublished:"Inlägg avpublicerat",onFailToPublishEntry:"Kunde inte publicera: %{details}",onFailToUnpublishEntry:"Kunde inte avpublicera inlägg: %{details}",entryUpdated:"Inläggsstatus uppdaterad",onDeleteUnpublishedChanges:"Opublicerade ändringar raderade",onFailToAuth:"%{details}",onLoggedOut:"Du har blivit utloggad, vänligen spara en kopia av eventuella ändringar och logga in på nytt",onBackendDown:"Tjänsten är drabbad av en störning. Se %{details} för mer information"}},workflow:{workflow:{loading:"Hämtar inlägg för redaktionellt arbetsflöde",workflowHeading:"Redaktionellt arbetsflöde",newPost:"Nytt inlägg",description:"%{smart_count} inlägg väntar på granskning, %{readyCount} redo att publiceras. |||| %{smart_count} inlägg väntar på granskning, %{readyCount} redo att publiceras. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} av %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"av %{author}",deleteChanges:"Radera ändringar",deleteNewEntry:"Radera nytt inlägg",publishChanges:"Publicera ändringar",publishNewEntry:"Publicera nytt inlägg"},workflowList:{onDeleteEntry:"Är du säker på att du vill radera det här inlägget?",onPublishingNotReadyEntry:'Bara inlägg med statusen "Redo" kan publiceras. Vänligen dra kortet till "Redo"-kolumnen för att möjliggöra publicering',onPublishEntry:"Är du säker på att du vill publicera det här inlägget?",draftHeader:"Utkast",inReviewHeader:"Under granskning",readyHeader:"Redo",currentEntries:"%{smart_count} inlägg |||| %{smart_count} inlägg"}}},wme={auth:{login:"เข้าสู่ระบบ",loggingIn:"กำลังเข้าสู่ระบบ...",loginWithNetlifyIdentity:"เข้าสู่ระบบด้วย Netlify Identity",loginWithAzure:"เข้าสู่ระบบด้วย Azure",loginWithBitbucket:"เข้าสู่ระบบด้วย Bitbucket",loginWithGitHub:"เข้าสู่ระบบด้วย GitHub",loginWithGitLab:"เข้าสู่ระบบด้วย GitLab",loginWithGitea:"เข้าสู่ระบบด้วย Gitea",errors:{email:"ตรวจสอบให้แน่ใจว่าได้ใส่อีเมลแล้ว",password:"โปรดใส่รหัสผ่านของคุณ",identitySettings:"ไม่สามารถเข้าถึงการตั้งค่าส่วนตัว เมื่อใช้ git-gateway backend ตรวจสอบให้แน่ใจว่าได้เปิดใช้งานระบบยืนยันตัวตนและ Git Gateway แล้ว"}},app:{header:{content:"เนื้อหา",workflow:"กระแสงาน",media:"ไฟล์สื่อ",quickAdd:"เพิ่มเนื้อหาด่วน"},app:{errorHeader:"เกิดข้อผิดพลาดในการโหลดการตั้งค่า CMS",configErrors:"คอนฟิกมีข้อผิดพลาด",checkConfigYml:"กรุณาตรวจสอบไฟล์ config.yml ของคุณ",loadingConfig:"กำลังโหลดการตั้งค่า...",waitingBackend:"กำลังรอการตอบกลับจาก backend..."},notFoundPage:{header:"ไม่พบหน้านี้"}},collection:{sidebar:{collections:"กลุ่ม",allCollections:"ทุกกลุ่ม",searchAll:"ค้นหาทั้งหมด",searchIn:"ค้าหาใน"},collectionTop:{sortBy:"จัดเรียงตาม",viewAs:"ดูในฐานะ",newButton:"สร้าง %{collectionLabel}",ascending:"น้อยไปมาก",descending:"มากไปน้อย",searchResults:'ผลลัพธ์การค้นหา "%{searchTerm}"',searchResultsInCollection:'ผลลัพธ์การค้นหา "%{searchTerm}" ในกลุ่ม %{collection}',filterBy:"กรองตาม",groupBy:"จัดกลุ่มตาม"},entries:{loadingEntries:"กำลังโหลดเนิ้อหา...",cachingEntries:"กำลังแคชข้อมูลเนื้อหา...",longerLoading:"อาจจะโหลดนานหลายนาที",noEntries:"ไม่มีเนื้อหา"},groups:{other:"อื่น ๆ",negateLabel:"ไม่ใช่ %{label}"},defaultFields:{author:{label:"ผู้เขียน"},updatedOn:{label:"เวลาที่อัปเดต"}}},editor:{editorControl:{field:{optional:"ทางเลือก"}},editorControlPane:{widget:{required:"จำเป็นต้องระบุ %{fieldLabel}",regexPattern:"%{fieldLabel} ไม่ตรงกับรูปแบบ: %{pattern}",processing:"%{fieldLabel} กำลังประมวลผล",range:"%{fieldLabel} ต้องอยู่ระหว่าง %{minValue} และ %{maxValue}",min:"%{fieldLabel} จะต้องมีค่าไม่ต่ำกว่า %{minValue}",max:"%{fieldLabel} จะต้องมีค่าไม่มากกว่า %{maxValue}",rangeCount:"%{fieldLabel} จะต้องอยู่ระหว่าง %{minCount} และ %{maxCount} รายการ",rangeCountExact:"%{fieldLabel} จะต้องมี %{count} รายการ",rangeMin:"%{fieldLabel} จะต้องมีไม่ต่ำกว่า %{minCount} รายการ",rangeMax:"%{fieldLabel} จะต้องมีไม่มากกว่า %{maxCount} รายการ",invalidPath:"'%{path}' ไม่ใช่พาทที่ถูกต้อง",pathExists:"พาท '%{path}' มีอยู่แล้ว"},i18n:{writingInLocale:"กำลังเขียนด้วยภาษา %{locale}",copyFromLocale:"คัดลอกจากภาษาอื่น",copyFromLocaleConfirm:"คุณต้องการคัดลอกข้อมูลจากภาษา %{locale} หรือไม่?\nเนื้อหาทั้งหมดจะถูกแทนที่"}},editor:{onLeavePage:"คุณแน่ใจหรือว่าจะออกจากหน้านี้?",onUpdatingWithUnsavedChanges:"คุณมีการเปลี่ยนแปลงที่ยังไม่ได้บันทึก โปรดบันทึกก่อนอัปเดตสถานะ",onPublishingNotReady:'โปรดอัปเดตสถานะเป็น "พร้อม" ก่อนจะเผยแพร่',onPublishingWithUnsavedChanges:"คุณมีการเปลี่ยนแปลงที่ยังไม่ได้บันทึก โปรดบันทึกก่อนจะเผยแพร่",onPublishing:"คุณแน่ใจหรือว่าจะเผยแพร่เนื้อหานี้?",onUnpublishing:"คุณแน่ใจหรือว่าจะไม่ต้องการเผยแพร่เนื้อหานี้?",onDeleteWithUnsavedChanges:"คุณแน่ใจหรือว่าจะต้องการลบการเผยแพร่เนื้อหานี้ รวมถึงการเปลี่ยนแปลงที่ยังไม่ได้บันทึก?",onDeletePublishedEntry:"คุณแน่ใจหรือว่าจะต้องการลบการเผยแพร่เนื้อหานี้?",onDeleteUnpublishedChangesWithUnsavedChanges:"คุณแน่ใจหรือว่าจะต้องการลบเนื้อหาที่ยังไม่ได้เผยแพร่ทั้งหมดนี้ รวมถึงการเปลี่ยนแปลงที่ยังไม่ได้บันทึก?",onDeleteUnpublishedChanges:"คุณแน่ใจหรือว่าจะต้องการลบเนื้อหาที่ยังไม่ได้เผยแพร่ทั้งหมดนี้?",loadingEntry:"กำลังโหลดเนื้อหา...",confirmLoadBackup:"มีการกู้คืนข้อมูลสำรองสำหรับเนื้อหานี้ คุณต้องการใช้มันหรือไม่?"},editorInterface:{toggleI18n:"เปิด/ปิด i18n",togglePreview:"เปิด/ปิดการแสดงตัวอย่าง",toggleScrollSync:"เปิด/ปิดการเลื่อนพร้อมกัน"},editorToolbar:{publishing:"กำลังเผยแพร่...",publish:"เผยแพร่",published:"เผยแพร่แล้ว",unpublish:"ไม่ได้เผยแพร่",duplicate:"ทำซ้ำ",unpublishing:"กำยังยกเลิกการเผยแพร่...",publishAndCreateNew:"เผยแพร่ และสร้างใหม่",publishAndDuplicate:"เผยแพร่ และทำซ้ำ",deleteUnpublishedChanges:"ลบการเปลี่ยแปลงเนื้อหาที่ยังไม่ได้เผยแพร่",deleteUnpublishedEntry:"ลบเนื้อหาที่ยังไม่ได้เผยแพร่",deletePublishedEntry:"ลบเนื้อหาที่เผยแพร่แล้ว",deleteEntry:"ลบเนื้อหา",saving:"กำลังบันทึก...",save:"บันทึก",statusInfoTooltipDraft:"เนื้อหาอยู่ในสถานะร่าง หากเนื้อหาเสร็จสมบูรณ์แล้วและต้องการส่งเพื่อตรวจสอบ ให้ปรับเปลี่ยนสถานะเป็น ‘อยู่ระหว่างการตรวจสอบ’",statusInfoTooltipInReview:"เนื้อหาอยู่ระหว่างการตรวจสอบ ไม่จำเป็นต้องทำอะไรเพิ่มเติม อย่างไรก็ตาม คุณสามารถแก้ไขเนื้อหาเพิ่มเติมได้ระหว่างการตรวจสอบ",deleting:"กำลังลบ...",updating:"กำลังอัปเดต...",status:"สถานะ: %{status}",backCollection:" เขียนในกลุ่ม %{collectionLabel}",unsavedChanges:"การเปลี่ยนแปลงยังไม่ได้บันทึก",changesSaved:"การเปลี่ยนเปลงถูกบันทึกแล้ว",draft:"ร่าง",inReview:"อยู่ระหว่างการตรวจสอบ",ready:"พร้อม",publishNow:"เผยแพร่ตอนนี้",deployPreviewPendingButtonLabel:"ตรวจสอบตัวอย่าง",deployPreviewButtonLabel:"ดูตัวอย่าง",deployButtonLabel:"ดูตัวอย่างจากหน้าจริง"},editorWidgets:{markdown:{bold:"ตัวหนา",italic:"ตัวเอียง",code:"โคด",link:"ลิงก์",linkPrompt:"ระบุ URL ของลิงก์",headings:"หัวข้อ",quote:"ยกคำพูดมา",bulletedList:"รายการแบบไม่มีหมายเลข",numberedList:"รายการแบบมีหมายเลข",addComponent:"เพิ่มองค์ประกอบ",richText:"ข้อความฟอร์แมต",markdown:"มาร์คดาวน์"},image:{choose:"เลือกรูปภาพ",chooseMultiple:"เลือกรูปภาพหลายรูป",chooseUrl:"แทรกจาก URL",replaceUrl:"แทนที่ด้วย URL",promptUrl:"ระบุ URL ของรูปภาพ",chooseDifferent:"เลือกรูปภาพอื่น",addMore:"เพิ่มรูปภาพ",remove:"เอารูปภาพออก",removeAll:"เอารูปภาพออกทั้งหมด"},file:{choose:"เลือกไฟล์",chooseUrl:"แทรกจาก URL",chooseMultiple:"เลือกหลายไฟล์",replaceUrl:"แทนที่ด้วย URL",promptUrl:"ระบุ URL ของไฟล์",chooseDifferent:"เลือกไฟล์อื่น",addMore:"เพิ่มไฟล์",remove:"เอาไฟล์ออก",removeAll:"เอาไฟล์ออกทั้งหมด"},unknownControl:{noControl:"ไม่มีการควบคุม widget '%{widget}'."},unknownPreview:{noPreview:"ไม่มีตัวอย่างสำหรับ widget '%{widget}'."},headingOptions:{headingOne:"หัวข้อ 1",headingTwo:"หัวข้อ 2",headingThree:"หัวข้อ 3",headingFour:"หัวข้อ 4",headingFive:"หัวข้อ 5",headingSix:"หัวข้อ 6"},datetime:{now:"เวลาตอนนี้",clear:"ล้าง"},list:{add:"เพิ่ม %{item}",addType:"เพิ่ม %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"ร่าง",copy:"คัดลอก",copyUrl:"คัดลอก URL",copyPath:"คัดลอกพาท",copyName:"คัดลอกชื่อ",copied:"คัดลอกแล้ว"},mediaLibrary:{onDelete:"คุณแน่ใจหรือว่าจะลบไฟล์สื่อที่ถูกเลือก?",fileTooLarge:"ไฟล์ใหญ่เกินไป\n ค่าที่ตั้งไว้ไม่ยอมรับไฟล์ที่ใหญ่กว่า %{size} kB."},mediaLibraryModal:{loading:"กำลังโหลด...",noResults:"ไม่มีผลลัพธ์",noAssetsFound:"ไม่พบข้อมูล",noImagesFound:"ไม่พบรูปภาพ",private:"ส่วนตัว ",images:"รูปภาพ",mediaAssets:"ข้อมูลไฟล์สื่อ",search:"ค้นหา...",uploading:"กำลังอัปโหลด...",upload:"อัปโหลด",download:"ดาวน์โหลด",deleting:"กำลังลบ...",deleteSelected:"ลบข้อมูลที่เลือก",chooseSelected:"เลือกข้อมูลที่ถูกเลือก"}},ui:{default:{goBackToSite:"กลับไปยังเว็บไซต์"},errorBoundary:{title:"มีข้อผิดพลาด",details:"มีข้อผิดพลาดเกิดขึ้น",reportIt:"แจ้งข้อผิดพลาดบน GitHub",detailsHeading:"รายละเอียด",privacyWarning:"การแจ้งปัญหาจะเติมข้อมูลล่วงหน้าด้วยข้อความแสดงข้อผิดพลาดและข้อมูลการดีบัก\nโปรดตรวจสอบข้อมูลว่าถูกต้องและลบข้อมูลที่สำคัญหากมีอยู่",recoveredEntry:{heading:"เอกสารถูกกู้คืน",warning:"โปรด คัดลอก/วาง ที่ใดที่หนึ่งก่อนจะทำอย่างอื่น!",copyButtonLabel:"คัดลอกไปที่คลิปบอร์ด"}},settingsDropdown:{logOut:"ออกจากระบบ"},toast:{onFailToLoadEntries:"ล้มเหลวในการโหลดเนื้อหา: %{details}",onFailToLoadDeployPreview:"ล้มเหลวในการโหลดตัวอย่าง: %{details}",onFailToPersist:"ล้มเหลวในการยืนยันเนื้อหา: %{details}",onFailToDelete:"ล้มเหลวในการลบเนื้อหา: %{details}",onFailToUpdateStatus:"ล้มเหลวในการอัปเดตสถานะ: %{details}",missingRequiredField:"คุณไม่ได้ใส่ข้อมูลในช่องที่จำเป็น กรุณาใส่ข้อมูลก่อนบันทึก",entrySaved:"เนื้อหาถูกบันทึก",entryPublished:"เนื้อหาถูกเผยแพร่",entryUnpublished:"เนื้อหาถูกยกเลิกการเผยแพร่",onFailToPublishEntry:"ล้มเหลวในการเผยแพร่เนื้อหา: %{details}",onFailToUnpublishEntry:"ล้มเหลวในการยกเลิกการเผยแพร่เนื้อหา: %{details}",entryUpdated:"สถานะเนื้อหาถูกอัปเดต",onDeleteUnpublishedChanges:"การเปลี่ยนแปลงเนื้อหาไม่ถูกเผยแพร่ได้ถูกลบ",onFailToAuth:"%{details}",onLoggedOut:"คุณได้ออกจากระบบ โปรดสำรองข้อมูลแล้วเข้าสู่ระบบอีกครั้ง",onBackendDown:"บริการแบ็กเอนด์เกิดการขัดข้อง ดู %{details} สำหรับข้อมูลเพิ่มเติม"}},workflow:{workflow:{loading:"กำลังโหลดเนื้อหากระแสงานของบรรณาธิการ",workflowHeading:"กระแสงานของบรรณาธิการ",newPost:"สร้างโพสต์ใหม่",description:"เนื้อหา %{smart_count} รายการอยู่ระหว่างการตรวจสอบ, %{readyCount} รายการพร้อมที่จะเผยแพร่",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} โดย %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"โดย %{author}",deleteChanges:"ลบการเปลี่ยนแปลง",deleteNewEntry:"ลบเนื้อหาใหม่",publishChanges:"เผยแพร่การเปลี่ยนแปลง",publishNewEntry:"เผยแพร่เนื้อหาใหม่"},workflowList:{onDeleteEntry:"คุณแน่ใจหรือว่าจะต้องการลบเนื้อหานี้?",onPublishingNotReadyEntry:'สามารถเผยแพร่เฉพาะรายการที่มีสถานะ "พร้อม" โปรดลากเนื้อหาไปยังช่อง "พร้อม" เพื่อให้เผยแพร่ได้',onPublishEntry:"คุณแน่ใจหรือว่าจะต้องการเผยแพร่เนื้อหานี้?",draftHeader:"ร่าง",inReviewHeader:"อยู่ระหว่างการตรวจสอบ",readyHeader:"พร้อม",currentEntries:"เนื้อหา %{smart_count} รายการ"}}},_me={auth:{login:"Giriş",loggingIn:"Giriş yapılıyor..",loginWithNetlifyIdentity:"Netlify Identity ile Giriş",loginWithAzure:"Azure ile Giriş",loginWithBitbucket:"Bitbucket ile Giriş",loginWithGitHub:"GitHub ile Giriş",loginWithGitLab:"GitLab ile Giriş",loginWithGitea:"Gitea ile Giriş",errors:{email:"E-postanızı girdiğinizden emin olun.",password:"Lütfen şifrenizi girin.",identitySettings:"Identity ayarlarına erişilemiyor. Git-gateway sunucusunu kullanmak için Identity servisi ve Git Gateway'in etkin olduğundan emin olun."}},app:{header:{content:"İçerikler",workflow:"İş Akışı",media:"Medya",quickAdd:"Hızlı ekle"},app:{errorHeader:"CMS yapılandırması yüklenirken hata oluştu",configErrors:"Yapılandırma Hataları",checkConfigYml:"config.yml dosyanızı kontrol edin.",loadingConfig:"Yapılandırma yükleniyor...",waitingBackend:"Arka uç bekleniyor..."},notFoundPage:{header:"Bulunamadı"}},collection:{sidebar:{collections:"Koleksiyonlar",allCollections:"Bütün Koleksiyonlar",searchAll:"Tümünü ara",searchIn:"İçinde ara"},collectionTop:{sortBy:"Sırala ...",viewAs:"Görüntüle",newButton:"Yeni %{collectionLabel}",ascending:"Artan",descending:"Azalan",searchResults:'"%{searchTerm}" için Arama Sonuçları',searchResultsInCollection:'%{collection} koleksiyonunda, "%{searchTerm}" için Arama Sonuçları',filterBy:"Filtrele",groupBy:"Grupla"},entries:{loadingEntries:"Girdiler yükleniyor...",cachingEntries:"Girdi önbelleği...",longerLoading:"Bu birkaç dakika sürebilir",noEntries:"Hiç Girdi Yok"},groups:{other:"Diğer",negateLabel:"%{label} hariç"},defaultFields:{author:{label:"Yazar"},updatedOn:{label:"Güncellenme Tarihi"}}},editor:{editorControl:{field:{optional:"isteğe bağlı"}},editorControlPane:{widget:{required:"%{fieldLabel} gerekli.",regexPattern:"%{fieldLabel} eşleşmeyen kalıp: %{pattern}.",processing:"%{fieldLabel} işleniyor.",range:"%{fieldLabel} %{minValue} ve %{maxValue} arasında olmalı.",min:"%{fieldLabel} en az %{minValue} olmalı.",max:"%{fieldLabel}, %{maxValue} veya daha az olmalı.",rangeCount:"%{fieldLabel}, %{minCount} ve %{maxCount} öğeleri arasında olmalı.",rangeCountExact:"%{fieldLabel}, %{count} öğe olmalıdır.",rangeMin:"%{fieldLabel}, en az %{minCount} öğe olmalıdır.",rangeMax:"%{fieldLabel}, %{maxCount} veya daha az öğe olmalıdır.",invalidPath:"'%{path}' geçerli bir yol değil",pathExists:"'%{path}' yolu zaten var"},i18n:{writingInLocale:"%{locale} için yazılıyor",copyFromLocale:"Başka bir dilden doldurun",copyFromLocaleConfirm:"Verileri %{locale} dilinden mi doldurmak istiyorsun?\nVarolan bütün verilerin üzerine yazılacak."}},editor:{onLeavePage:"Bu sayfadan ayrılmak istediğinize emin misiniz?",onUpdatingWithUnsavedChanges:"Kaydedilmemiş değişiklikleriniz var, lütfen içeriği güncellemeden önce kaydedin.",onPublishingNotReady:'Lütfen yayınlamadan önce içeriği "Hazır" olarak güncelleyin.',onPublishingWithUnsavedChanges:"Kaydedilmemiş değişiklikleriniz var, lütfen yayınlamadan önce kaydedin.",onPublishing:"Bu girdiyi yayınlamak istediğinize emin misiniz?",onUnpublishing:"Bu girdiyi yayından kaldırmak istediğinizden emin misiniz?",onDeleteWithUnsavedChanges:"Bu oturumda kaydedilmiş değişikliklerin yanı sıra geçerli oturumdaki kaydedilmemiş değişikliklerinizi silmek istediğinize emin misiniz?",onDeletePublishedEntry:"Bu yayınlanmış girdiyi silmek istediğinize emin misiniz?",onDeleteUnpublishedChangesWithUnsavedChanges:"Bu girdide yayınlanmamış tüm değişiklikleri ve geçerli oturumdaki kaydedilmemiş değişikliklerinizi siler. Hala silmek istiyor musun?",onDeleteUnpublishedChanges:"Bu girdide yayınlanmamış tüm değişiklikler silinecek. Hala silmek istiyor musun?",loadingEntry:"Girdiler yükleniyor...",confirmLoadBackup:"Bu girdi için yerel bir yedekleme kurtarıldı, kullanmak ister misiniz?"},editorInterface:{toggleI18n:"i18n değiştir",togglePreview:"Önizlemeyi değiştir",toggleScrollSync:"Kaydırmayı senkronize et"},editorToolbar:{publishing:"Yayınlanıyor...",publish:"Yayınla",published:"Yayınlanan",unpublish:"Yayından Kaldır",duplicate:"Kopyala",unpublishing:"Yayından kaldırılıyor...",publishAndCreateNew:"Yayınla ve yeni oluştur",publishAndDuplicate:"Yayınla ve kopya oluştur",deleteUnpublishedChanges:"Yayımlanmamış değişiklikleri sil",deleteUnpublishedEntry:"Yayımlanmamış girdiyi sil",deletePublishedEntry:"Yayınlanan girdiyi sil",deleteEntry:"Girdiyi sil",saving:"Kaydediliyor...",save:"Kaydet",statusInfoTooltipDraft:"Giriş durumu taslak olarak ayarlandı. Girişi bitirmek ve incelemeye göndermek için giriş durumunu İncelemede olarak ayarlayın",statusInfoTooltipInReview:"Giriş gözden geçiriliyor, başka bir işlem yapılmasına gerek yok. Ancak, incelenirken yine de ek değişiklikler yapabilirsiniz.",deleting:"Siliniyor...",updating:"Güncelleniyor...",status:"Durumu: %{status}",backCollection:" %{collectionLabel} koleksiyonunda yazılı",unsavedChanges:"Kaydedilmemiş Değişiklikler",changesSaved:"Değişiklikler kaydedildi",draft:"Taslak",inReview:"İncelemede",ready:"Hazır",publishNow:"Şimdi yayımla",deployPreviewPendingButtonLabel:"Önizlemeyi Denetle",deployPreviewButtonLabel:"Önizlemeyi Görüntüle",deployButtonLabel:"Canlı Görüntüle"},editorWidgets:{markdown:{bold:"Kalın",italic:"İtalik",code:"Kod",link:"Bağlantı",linkPrompt:"Bağlantının URL'sini girin",headings:"Başlıklar",quote:"Alıntı",bulletedList:"Maddeli Liste",numberedList:"Numaralı Liste",addComponent:"Bileşen Ekle",richText:"Zengin Metin",markdown:"Markdown"},image:{choose:"Bir resim seçin",chooseUrl:"URL'den ekle",replaceUrl:"URL ile değiştir",promptUrl:"Resmin URL'sini girin",chooseDifferent:"Farklı bir resim seçin",remove:"Resmi kaldır"},file:{choose:"Bir dosya seçin",chooseUrl:"URL'den ekle",replaceUrl:"URL ile değiştir",promptUrl:"Dosyanın URL'sini girin",chooseDifferent:"Farklı bir dosya seçin",remove:"Dosyayı kaldır"},unknownControl:{noControl:"'%{widget}' bileşeni için kontrol yok."},unknownPreview:{noPreview:"'%{widget}' bileşeni için önizleme yok."},headingOptions:{headingOne:"Başlık 1",headingTwo:"Başlık 2",headingThree:"Başlık 3",headingFour:"Başlık 4",headingFive:"Başlık 5",headingSix:"Başlık 6"},datetime:{now:"Şimdi",clear:"Temizle"},list:{add:"%{item} Ekle",addType:"%{item} Ekle"}}},mediaLibrary:{mediaLibraryCard:{draft:"Taslak",copy:"Kopyala",copyUrl:"URLyi Kopyala",copyPath:"Dosya Yolunu Kopyala",copyName:"Adını Kopyala",copied:"Kopyalandı"},mediaLibrary:{onDelete:"Seçilen medyayı silmek istediğinize emin misiniz?",fileTooLarge:"Dosya çok büyük.\n%{size} kilobaytdan daha büyük dosyaların yüklenmemesi için ayarlanmış."},mediaLibraryModal:{loading:"Yükleniyor...",noResults:"Sonuç yok.",noAssetsFound:"Hiçbir dosya bulunamadı.",noImagesFound:"Resim bulunamadı.",private:"Özel ",images:"Görseller",mediaAssets:"Medya dosyaları",search:"Ara...",uploading:"Yükleniyor...",upload:"Yükle",download:"İndir",deleting:"Siliniyor...",deleteSelected:"Seçileni sil",chooseSelected:"Seçileni kullan"}},ui:{default:{goBackToSite:"Siteye geri git"},errorBoundary:{title:"Hata",details:"Bir hata oluştu - lütfen ",reportIt:"GitHub üzerinde hata raporu aç.",detailsHeading:"Ayrıntılar",privacyWarning:"Bir hata raporu oluşturmak için gereken form otomatik olarak hata mesajı ve hata ayıklama verileriyle doldurulur.\nLütfen bilgilerin doğru olduğunu doğrulayın ve varsa hassas verileri kaldırın.",recoveredEntry:{heading:"Kurtarılan belge",warning:"Lütfen gitmeden önce bunu bir yere kopyalayın / yapıştırın!",copyButtonLabel:"Panoya kopyala"}},settingsDropdown:{logOut:"Çıkış Yap"},toast:{onFailToLoadEntries:"Girdi yüklenemedi: %{details}",onFailToLoadDeployPreview:"Önizleme yüklenemedi: %{details}",onFailToPersist:"Girdi devam ettirilemedi: %{details}",onFailToDelete:"Girdi silinemedi: %{details}",onFailToUpdateStatus:"Durum güncellenemedi: %{details}",missingRequiredField:"Gerekli bir alan eksik. Lütfen kaydetmeden önce tamamlayın.",entrySaved:"Girdi kaydedildi",entryPublished:"Girdi yayınlandı",entryUnpublished:"Girdi yayınlanmamış",onFailToPublishEntry:"Yayınlanamadı: %{details}",onFailToUnpublishEntry:"Girdi yayından kaldırılamadı: %{details}",entryUpdated:"Girdi durumu güncellendi",onDeleteUnpublishedChanges:"Yayımlanmamış değişiklikler silindi",onFailToAuth:"%{details}",onLoggedOut:"Çıkış yaptınız, lütfen tüm verileri yedekleyin ve tekrar giriş yapın",onBackendDown:"Arka uç hizmetinde bir kesinti yaşanıyor. Daha fazla bilgi için %{details} gör"}},workflow:{workflow:{loading:"İş Akışı Girdileri Yükleniyor",workflowHeading:"Editoryal İş Akışı",newPost:"Yeni Mesaj",description:"%{smart_count} girdi incelemeyi bekliyor, %{readyCount} yayına hazır. |||| %{smart_count} girdi incelemeyi bekliyor, %{readyCount} yayınlanmaya hazır. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} tarafından %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"%{author} tarafından",deleteChanges:"Değişiklikleri sil",deleteNewEntry:"Yeni girdiyi sil",publishChanges:"Değişiklikleri yayınla",publishNewEntry:"Yeni girdi yayınla"},workflowList:{onDeleteEntry:"Bu girdiyi silmek istediğinize emin misiniz?",onPublishingNotReadyEntry:'Yalnızca "Hazır" durumu olan öğeler yayınlanabilir. Lütfen yayınlamayı etkinleştirmek için kartı "Hazır" sütununa sürükleyin.',onPublishEntry:"Bu girdiyi yayınlamak istediğinize emin misiniz?",draftHeader:"Taslaklar",inReviewHeader:"İncelemede",readyHeader:"Hazır",currentEntries:"%{smart_count} girdi |||| %{smart_count} girdiler"}}},xme={auth:{login:"Увійти",loggingIn:"Вхід...",loginWithNetlifyIdentity:"Увійти через Netlify Identity",loginWithAzure:"Увійти через Azure",loginWithBitbucket:"Увійти через Bitbucket",loginWithGitHub:"Увійти через GitHub",loginWithGitLab:"Увійти через GitLab",loginWithGitea:"Увійти через Gitea",errors:{email:"Введіть email.",password:"Введіть пароль.",identitySettings:"Немає доступу до налаштувань. Якщо використовуєте git-gateway, переконайтеся, що включили Identity service та Git Gateway."}},app:{header:{content:"Зміст",workflow:"Робочий процес",media:"Медіафайли",quickAdd:"Додати"},app:{errorHeader:"Помилка завантаження конфігурації",configErrors:"Помилка конфігурації",checkConfigYml:"Перевірте config.yml файл.",loadingConfig:"Завантаження конфігурації...",waitingBackend:"Очікування серверу..."},notFoundPage:{header:"Сторінку не знайдено "}},collection:{sidebar:{collections:"Колекції",allCollections:"Всі колекції",searchAll:"Пошук всюди",searchIn:"Шукати в"},collectionTop:{viewAs:"Змінити вигляд",newButton:"Створити %{collectionLabel}",ascending:"За зростанням",descending:"За спаданням",searchResults:'Результати по запиту "%{searchTerm}"',searchResultsInCollection:'Результати по запиту "%{searchTerm}" в %{collection}',sortBy:"Сортувати за",filterBy:"Фільтрувати за",groupBy:"Групувати за"},entries:{loadingEntries:"Завантаження записів...",cachingEntries:"Кешування записів...",longerLoading:"Це може зайняти певний час",noEntries:"Немає записів"}},editor:{editorControl:{field:{optional:"необов’язково"}},editorControlPane:{widget:{required:"Поле %{fieldLabel} є обов'язковим.",regexPattern:"%{fieldLabel} не задовільняє умові: %{pattern}.",processing:"Обробляється %{fieldLabel}...",range:"Значення %{fieldLabel} повинне бути від %{minValue} до %{maxValue}.",min:"Значення %{fieldLabel} має бути від %{minValue}.",max:"Значення %{fieldLabel} має бути %{maxValue} та менше.",rangeCount:"%{fieldLabel} повинно містити від %{minCount} до %{maxCount} елементів.",rangeCountExact:"%{fieldLabel} повинно містити чітко %{count} елементів.",rangeMin:"%{fieldLabel} повинно містити не менше %{minCount} елементів.",rangeMax:"%{fieldLabel} повинно містити %{maxCount} або менше елементів.",invalidPath:"Шлях '%{path}' містить помилки.",pathExists:"Шлях '%{path}' вже існує."},i18n:{writingInLocale:"Мова запису %{locale}",copyFromLocale:"Заповнити з іншої мови",copyFromLocaleConfirm:"Дійсно бажаєте заповнити дані з мови %{locale}?\nУсі наявні дані буде перезаписано."}},editor:{onLeavePage:"Ви дійсно бажаєте залишити сторінку?",onUpdatingWithUnsavedChanges:"Присутні незбережені зміни, будь ласка збережіть перед зміною статусу.",onPublishingNotReady:'Будь ласка, встановіть статус "Готово" перед публікацією.',onPublishingWithUnsavedChanges:"Присутні незбережені зміни, будь ласка збережіть їх перед публікацією.",onPublishing:"Ви дійсно бажаєте опублікувати запис?",onDeleteWithUnsavedChanges:"Ви дійсно бажаєте видалити опублікований запис, як і всі незбережені зміни під час поточної сесії?",onDeletePublishedEntry:"Ви дійсно бажаєте видалити опублікований запис?",onDeleteUnpublishedChangesWithUnsavedChanges:"Видаляться всі неопубліковані зміни до цього запису, а також всі незбережені зміни під час поточної сесії. Бажаєте продовжити?",onDeleteUnpublishedChanges:"Всі незбережені зміни до цього запису буде видалено. Бажаєте продовжити?",loadingEntry:"Завантаження...",confirmLoadBackup:"Відновлено резервну копію, бажаєте її використати?"},editorInterface:{toggleI18n:"Порівняння записів",togglePreview:"Попередній перегляд",toggleScrollSync:"Синхронна прокрутка"},editorToolbar:{publishing:"Публікація...",publish:"Опублікувати",published:"Опубліковано",unpublish:"Скасувати публікацію",duplicate:"Продублювати",unpublishing:"Скасування публікації...",publishAndCreateNew:"Опублікувати та створити нову",publishAndDuplicate:"Опублікувати та продублювати",deleteUnpublishedChanges:"Видалити неопубліковані зміни",deleteUnpublishedEntry:"Видалити неопубліковану сторінку",deletePublishedEntry:"Видалити опубліковану сторінку",deleteEntry:"Видалити",saving:"Збереження...",save:"Зберегти",deleting:"Видалення...",updating:"Оновлення...",status:"Cтан: %{status}",backCollection:"Колекція %{collectionLabel}",unsavedChanges:"Незбережені зміни",changesSaved:"Зміни збережено",draft:"В роботі",inReview:"На розгляді",ready:"Готово",publishNow:"Опублікувати",deployPreviewPendingButtonLabel:"Перевірити оновлення",deployPreviewButtonLabel:"Попередній перегляд",deployButtonLabel:"Переглянути наживо"},editorWidgets:{markdown:{bold:"Напівжирний",italic:"Курсив",code:"Код",link:"Посилання",linkPrompt:"Введіть URL посилання",headings:"Заголовки",quote:"Цитата",bulletedList:"Маркований список",numberedList:"Нумерований список",addComponent:"Додати компонент",richText:"RichText",markdown:"Markdown"},image:{choose:"Вибрати зображення",chooseMultiple:"Вибрати зображення",chooseUrl:"Вставити з URL",replaceUrl:"Замінити на URL",promptUrl:"Введіть URL зображення",chooseDifferent:"Обрати інше зображення",addMore:"Додати більше зображень",remove:"Видалити",removeAll:"Видалити всі"},file:{choose:"Вибрати файл",chooseUrl:"Вставити з URL",chooseMultiple:"Вибрати файли",replaceUrl:"Замінити на URL",promptUrl:"Введіть URL файлу",chooseDifferent:"Виберіть інший файл",addMore:"Додати більше",remove:"Видалити",removeAll:"Видалити всі"},unknownControl:{noControl:"Відсутній модуль для '%{widget}'."},unknownPreview:{noPreview:"Відсутній перегляд для '%{widget}'."},headingOptions:{headingOne:"Заголовок 1",headingTwo:"Заголовок 2",headingThree:"Заголовок 3",headingFour:"Заголовок 4",headingFive:"Заголовок 5",headingSix:"Заголовок 6"},datetime:{now:"Зараз",clear:"Скинути"},list:{add:"Додати %{item}",addType:"Додати %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"В роботі",copy:"Копіювати",copyUrl:"Копіювати URL",copyPath:"Копіювати шлях",copyName:"Копіювати ім’я",copied:"Скопійовано"},mediaLibrary:{onDelete:"Ви дійсно бажаєте видалити обрані матеріали?",fileTooLarge:"Файл занадто великий.\nНалаштування не дозволяють зберігати файли більше %{size} kB."},mediaLibraryModal:{loading:"Завантаження...",noResults:"Результати відсутні.",noAssetsFound:"Матеріали відсутні.",noImagesFound:"Зображення відсутні.",private:"Приватні ",images:"Зображення",mediaAssets:"Медіафайли",search:"Пошук...",uploading:"Завантаження...",upload:"Завантажити",download:"Отримати",deleting:"Видалення...",deleteSelected:"Видалити",chooseSelected:"Обрати виділені"}},ui:{default:{goBackToSite:"Повернутися на сайт"},errorBoundary:{title:"Помилка",details:"Сталася помилка. Будь ласка, ",reportIt:"повідомте про неї.",detailsHeading:"Деталі",privacyWarning:"При відкритті тікету автоматично заповнюється повідомленням про помилку та відлагоджувальною інформацією.\nБудь ласка, перевірте, що дані є вірними та не містять конфіденційної інформації.",recoveredEntry:{heading:"Відновлено документ",warning:"Будь ласка, скопіюйте це повідомлення кудись, перед тим як залишити сторінку!",copyButtonLabel:"Скопіювати в буфер"}},settingsDropdown:{logOut:"Вийти"},toast:{onFailToLoadEntries:"Не вдалося завантажити запис: %{details}",onFailToLoadDeployPreview:"Не вдалося завантажити попередній перегляд: %{details}",onFailToPersist:"Не вдалося зберегти запис: %{details}",onFailToDelete:"Не вдалося видалити запис: %{details}",onFailToUpdateStatus:"Не вдалося оновити статус: %{details}",missingRequiredField:"На жаль, ви пропустили обов'язкове поле. Будь ласка, заповніть перед збереженням.",entrySaved:"Запис збережений",entryPublished:"Запис опублікований",entryUnpublished:"Публікація запису скасована",onFailToPublishEntry:"Не вдалося опублікувати запис: %{details}",onFailToUnpublishEntry:"Не вдалося скасувати публікацію запису: %{details}",entryUpdated:"Статус запису оновлено",onDeleteUnpublishedChanges:"Неопубліковані зміни видалені",onFailToAuth:"%{details}",onLoggedOut:"Ви вийшли. Будь ласка, збережіть усі дані та увійдіть знову",onBackendDown:"Трапився збій у роботі серверу. Див. %{details}"}},workflow:{workflow:{loading:"Завантаження редакційних матеріалів",workflowHeading:"Редакція",newPost:"Новий запис",description:"%{smart_count} запис очікує розгляду, %{readyCount} до публікації. |||| %{smart_count} записи очікують розгляду, %{readyCount} до публікації. |||| %{smart_count} записів очікують розгляду, %{readyCount} до публікації.",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date}, %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"— %{author}",deleteChanges:"Видалити зміни",deleteNewEntry:"Видалити запис",publishChanges:"Опублікувати зміни",publishNewEntry:"Опублікувати запис"},workflowList:{onDeleteEntry:"Ви дійсно бажаєте видалити запис?",onPublishingNotReadyEntry:'Лише елементи зі статусом "Готово" можуть бути опубліковані. Перетягніть картку в стовпчик "Готово", щоб дозволити публікацію.',onPublishEntry:"Дійсно бажаєте опублікувати запис?",draftHeader:"В роботі",inReviewHeader:"На розгляді",readyHeader:"Готово",currentEntries:"%{smart_count} запис |||| %{smart_count} записи |||| %{smart_count} записів"}}},kme={auth:{login:"Đăng nhập",loggingIn:"Đang đăng nhập...",loginWithNetlifyIdentity:"Đăng nhập bằng Netlify Identity",loginWithBitbucket:"Đăng nhập bằng Bitbucket",loginWithGitHub:"Đăng nhập bằng GitHub",loginWithGitLab:"Đăng nhập bằng GitLab",loginWithGitea:"Đăng nhập bằng Gitea",errors:{email:"Hãy nhập email của bạn.",password:"Hãy nhập mật khẩu của bạn.",identitySettings:"Không thể truy cập thiêt lập danh tính. Hãy chắc chắn rằng bạn đã bật dịch vụ Identity và Git Gateway khi sử dụng git-gateway."}},app:{header:{content:"Nội dung",workflow:"Biên tập",media:"Tập tin",quickAdd:"Tạo nhanh"},app:{errorHeader:"Xảy ra lỗi khi tải cấu hình CMS",configErrors:"Lỗi cấu hình",checkConfigYml:"Kiểm tra lại file config.yml của bạn.",loadingConfig:"Đang tải cấu hình...",waitingBackend:"Đang chờ backend..."},notFoundPage:{header:"Không tìm thấy"}},collection:{sidebar:{collections:"Bộ sưu tập",allCollections:"Tất cả bộ sưu tập",searchAll:"Tìm kiếm tất cả",searchIn:"Tìm kiếm trong"},collectionTop:{sortBy:"Sắp xếp theo",viewAs:"View as",newButton:"%{collectionLabel} mới",ascending:"Tăng dần",descending:"Giảm dần",searchResults:'Kết quả tìm kiếm cho "%{searchTerm}"',searchResultsInCollection:'Kết quả tìm kiếm cho "%{searchTerm}" trong %{collection}',filterBy:"Lọc theo"},entries:{loadingEntries:"Đang tải...",cachingEntries:"Đang lưu...",longerLoading:"Sẽ mất vài phút",noEntries:"Không có mục nào"},defaultFields:{author:{label:"Tác giả"},updatedOn:{label:"Ngày cập nhật"}}},editor:{editorControl:{field:{optional:"không bắt buộc"}},editorControlPane:{widget:{required:"%{fieldLabel} bắt buộc nhập.",regexPattern:"%{fieldLabel} không khớp với mẫu: %{pattern}.",processing:"%{fieldLabel} đang xử lý.",range:"%{fieldLabel} phải nằm trong khoảng từ %{minValue} đến %{maxValue}.",min:"%{fieldLabel} phải ít nhất %{minValue}.",max:"%{fieldLabel} tối đa %{maxValue} hoặc ít hơn.",rangeCount:"%{fieldLabel} phải nằm trong khoảng từ %{minCount} đến %{maxCount} mục.",rangeCountExact:"%{fieldLabel} phải có %{count} mục.",rangeMin:"%{fieldLabel} phải có ít nhất %{minCount} mục.",rangeMax:"%{fieldLabel} phải có tối đa %{maxCount} mục hoặc ít hơn.",invalidPath:"Đường dẫn '%{path}' không hợp lệ",pathExists:"Đường dẫn '%{path}' đã tồn tại"}},editor:{onLeavePage:"Bạn có chắc rằng bạn muốn rời khỏi trang này?",onUpdatingWithUnsavedChanges:"Bạn chưa lưu những thay đổi, hãy lưu trước khi thay đổi trạng thái.",onPublishingNotReady:'Hãy thay đổi trạng thái thành "Sẵn sàng" trước khi công bố.',onPublishingWithUnsavedChanges:"Bạn có thay đổi chưa lưu, hãy lưu trước khi công bố.",onPublishing:"Bạn có chắc rằng bạn muốn công bố mục này?",onUnpublishing:"Bạn có chắc rằng bạn muốn ngừng công bố mục này?",onDeleteWithUnsavedChanges:"Bạn có chắc rằng bạn muốn xoá mục đã được công bố này, cũng như là những thay đổi chưa lưu của bạn trong phiên làm việc này?",onDeletePublishedEntry:"Bạn có chắc rằng bạn muốn xoá mục đã được công bố này?",onDeleteUnpublishedChangesWithUnsavedChanges:"Điều này sẽ xoá tất cả những thay đổi chưa được lưu trong mục này, cũng như là những thay đổi chưa được lưu của bạn trong phiên làm việc này. Bạn vẫn muốn xoá chứ?",onDeleteUnpublishedChanges:"Tất cả những thay đổi chưa được lưu trong mục này sẽ bị xoá. Bạn vẫn muốn xoá chứ?",loadingEntry:"Đang tải...",confirmLoadBackup:"Một bản sao lưu trên máy đã được phục hồi cho mục này, bạn có muốn tải lên không?"},editorToolbar:{publishing:"Đang công bố...",publish:"Công bố",published:"Đã công bố",unpublish:"Ngừng công bố",duplicate:"Sao chép",unpublishing:"Đang ngừng công bố...",publishAndCreateNew:"Công bố và tạo mới",publishAndDuplicate:"Công bố và sao chép",deleteUnpublishedChanges:"Xoá thay đổi chưa công bố này",deleteUnpublishedEntry:"Xoá mục chưa được công bố này",deletePublishedEntry:"Xoá mục đã được công bố này",deleteEntry:"Xoá mục này",saving:"Đang lưu...",save:"Lưu",deleting:"Đang xoá...",updating:"Đang cập nhật...",status:"Trạng: %{status}",backCollection:" Đang viết trong bộ sưu tập %{collectionLabel}",unsavedChanges:"Thay đổi chưa được lưu",changesSaved:"Thay đổi đã được lưu",draft:"Bản nháp",inReview:"Đang xét duyệt",ready:"Sẵn sàng",publishNow:"Công bố ngay",deployPreviewPendingButtonLabel:"Kiểm tra Xem trước",deployPreviewButtonLabel:"Xem trước",deployButtonLabel:"Xem bản hoàn chỉnh"},editorWidgets:{markdown:{richText:"Văn bản định dạng",markdown:"Markdown"},image:{choose:"Chọn một hình",chooseDifferent:"Chọn hình khác",remove:"Gỡ bỏ hình"},file:{choose:"Chọn một tập tin",chooseDifferent:"Chọn tập tin khác",remove:"Gỡ bỏ tập tin"},unknownControl:{noControl:"Không tìm thấy control cho widget '%{widget}'."},unknownPreview:{noPreview:"Không tìm thấy preview cho widget '%{widget}'."},headingOptions:{headingOne:"Tiêu đề cấp 1",headingTwo:"Tiêu đề cấp 2",headingThree:"Tiêu đề cấp 3",headingFour:"Tiêu đề cấp 4",headingFive:"Tiêu đề cấp 5",headingSix:"Tiêu đề cấp 6"},datetime:{now:"Ngay lúc này",clear:"Xóa"}}},mediaLibrary:{mediaLibraryCard:{draft:"Bản nháp"},mediaLibrary:{onDelete:"Bạn có chắc rằng bạn muốn xoá tập tin này?",fileTooLarge:"Tập tin quá lớn.\nCấu hình không cho phép những tập tin lớn hơn %{size} kB."},mediaLibraryModal:{loading:"Đang tải...",noResults:"Không có kết quả.",noAssetsFound:"Không tìm thấy tập tin nào.",noImagesFound:"Không tìm thấy hình nào.",private:"Riêng tư ",images:"Hình ảnh",mediaAssets:"Tập tin",search:"Tìm kiếm...",uploading:"Đang tải lên...",upload:"Tải lên",download:"Tải về",deleting:"Đang xoá...",deleteSelected:"Xoá những cái đã chọn",chooseSelected:"Lấy những cái đã chọn"}},ui:{default:{goBackToSite:"Quay về trang web"},errorBoundary:{title:"Lỗi",details:"Đã xảy ra lỗi - xin hãy ",reportIt:"tạo một issue trên GitHub.",detailsHeading:"Chi tiết",privacyWarning:"Tạo một issue với nội dung lỗi và dữ liệu debug được nhập sẵn.\nHãy xác nhận những thông tin này là đúng và gỡ bỏ dữ liệu nhạy cảm nếu cần thiết.",recoveredEntry:{heading:"Tài liệu đã được phục hồi",warning:"Hãy sao chép/dán nội dung này ở đâu đó trước khi chuyển sang trang khác!",copyButtonLabel:"Sao chép vào vùng nhớ"}},settingsDropdown:{logOut:"Đăng xuất"},toast:{onFailToLoadEntries:"Không thể tải mục: %{details}",onFailToLoadDeployPreview:"Không thể tải xem trước: %{details}",onFailToPersist:"Không thể giữ lại mục: %{details}",onFailToDelete:"Không thể xoá mục: %{details}",onFailToUpdateStatus:"Không thể cập nhật trạng thái: %{details}",missingRequiredField:"Bạn còn thiếu vài thông tin bắt buộc. Hãy hoàn thành trước khi lưu.",entrySaved:"Mục đã được lưu",entryPublished:"Mục đã được công bố",entryUnpublished:"Mục đã ngừng công bố",onFailToPublishEntry:"Không thể công bố: %{details}",onFailToUnpublishEntry:"Không thể ngừng công bố mục: %{details}",entryUpdated:"Trạng thái của mục đã được cập nhật",onDeleteUnpublishedChanges:"Những thay đổi chưa được công bố đã được xoá",onFailToAuth:"%{details}",onLoggedOut:"Bạn đã đăng xuất, hãy sao lưu dữ liệu và đăng nhập lại",onBackendDown:"Dịch vụ backend đang gặp trục trặc. Hãy xem {details} để biết thêm thông tin"}},workflow:{workflow:{loading:"Đang tải bài viết",workflowHeading:"Quy trình biên tập",newPost:"Bài mới",description:"%{smart_count} bài đang chờ duyệt, %{readyCount} bài đã sẵn sàng để công bố. |||| %{smart_count} bài đang chờ duyệt, %{readyCount} bài đã sẵn sàng để công bố. ",dateFormat:"D MMMM"},workflowCard:{lastChange:"%{date} bởi %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"bởi %{author}",deleteChanges:"Xoá thay đổi",deleteNewEntry:"Xoá bài mới",publishChanges:"Công bố thay đổi",publishNewEntry:"Công bố bài mới"},workflowList:{onDeleteEntry:"Bạn có chắc rằng bạn muốn xoá bài này?",onPublishingNotReadyEntry:'Chỉ những bài với trạng thái "Sẵn sàng" mới có thể được công bố. Hãy kéo thẻ vào cột "Sẵn sàng" để cho phép công bố.',onPublishEntry:"Bạn có chắc rằng bạn muốn công khai bài này?",draftHeader:"Bản nháp",inReviewHeader:"Đang xét duyệt",readyHeader:"Sẵn sàng",currentEntries:"%{smart_count} bài |||| %{smart_count} bài"}}},Eme={auth:{login:"登入",loggingIn:"正在登入...",loginWithNetlifyIdentity:"使用你的 Netlify 帳號來進行登入",loginWithBitbucket:"使用你的 Bitbucket 帳號來進行登入",loginWithGitHub:"使用你的 GitHub 帳號來進行登入",loginWithGitLab:"使用你的 GitLab 帳號來進行登入",loginWithGitea:"使用你的 Gitea 帳號來進行登入",errors:{email:"請確認你已經輸入你的電子郵件。",password:"請輸入你的密碼。",identitySettings:"無法連接認證系統!當使用 git-gateway 作為後端資料庫時,請確認您已開啟認證服務及 Git Gateway。"}},app:{header:{content:"內容",workflow:"作業流程",media:"媒體",quickAdd:"快速新增"},app:{errorHeader:"載入 CMS 設定時發生錯誤",configErrors:"設定錯誤",checkConfigYml:"請確認你的 config.yml 設定檔的內容是否正確",loadingConfig:"正在載入設定...",waitingBackend:"正在等待後端資料連接..."},notFoundPage:{header:"找不到頁面"}},collection:{sidebar:{collections:"集合",allCollections:"所有集合",searchAll:"尋找所有集合",searchIn:"搜尋範圍"},collectionTop:{sortBy:"排序方式",viewAs:"瀏覽方式",newButton:"新增 %{collectionLabel}",ascending:"由小到大",descending:"由大到小",searchResults:'搜尋 "%{searchTerm}" 的結果',searchResultsInCollection:'在 %{collection} 中搜尋 %{searchTerm}" 的結果',filterBy:"篩選方式"},entries:{loadingEntries:"載入內容",cachingEntries:"快取內容",longerLoading:"這可能需要幾分鐘的時間",noEntries:"沒有內容"},defaultFields:{author:{label:"作者"},updatedOn:{label:"更新於"}}},editor:{editorControl:{field:{optional:"選填"}},editorControlPane:{widget:{required:"%{fieldLabel} 是必須的。",regexPattern:"%{fieldLabel} 並不符合 %{pattern} 的型態",processing:"%{fieldLabel} 正在處理",range:"%{fieldLabel} 必須介於 %{minValue} 和 %{maxValue} 之間",min:"%{fieldLabel} 必須至少為 %{minValue}",max:"%{fieldLabel} 必須小於或等於 %{maxValue}",rangeCount:"%{fieldLabel} 必須有 %{minCount} 到 %{maxCount} 個項目。",rangeCountExact:"%{fieldLabel} 必須正好有 %{count} 個項目。",rangeMin:"%{fieldLabel} 必須至少有 %{minCount} 個項目。",rangeMax:"%{fieldLabel} 最多只能有 %{maxCount} 個項目。",invalidPath:"'%{path}' 不是有效的路徑",pathExists:"路徑 '%{path}' 已經存在"},i18n:{writingInLocale:"以 %{locale} 書寫",copyFromLocale:"用其他語言進行填充",copyFromLocaleConfirm:"你確定要用“%{locale}”進行填充嗎?\n這將會覆蓋所有現有的內容。"}},editor:{onLeavePage:"您確定要離開這頁嗎?",onUpdatingWithUnsavedChanges:"您有未儲存的變更,在更新狀態前請先進行儲存。",onPublishingNotReady:"在發布前,請先將狀態設定為:預備發布。",onPublishingWithUnsavedChanges:"您有未儲存的變更,在發布前請先進行儲存。",onPublishing:"你確定要發表此內容嗎?",onUnpublishing:"你確定要取消發表此內容嗎?",onDeleteWithUnsavedChanges:"你確定要刪除這篇已發布的內容以及你尚未儲存的變更?",onDeletePublishedEntry:"你確定要刪除這篇已發布的內容?",onDeleteUnpublishedChangesWithUnsavedChanges:"這將會刪除此內容所有未發布的變更,以及未儲存的變更。你確定還是要刪除?",onDeleteUnpublishedChanges:"此內容所有未發布的變更都將會被刪除。你確定還是要刪除?",loadingEntry:"載入內容中...",confirmLoadBackup:"此內容的本地備份已經還原,你想要使用嗎?"},editorToolbar:{publishing:"發布中...",publish:"發布",published:"已發布",unpublish:"取消發布",duplicate:"建立新內容",unpublishing:"取消發布中...",publishAndCreateNew:"發布並建立內容",publishAndDuplicate:"發布並複製內容",deleteUnpublishedChanges:"刪除未發布的變更",deleteUnpublishedEntry:"刪除未發布的內容",deletePublishedEntry:"刪除已發布的內容",deleteEntry:"刪除內容",saving:"儲存中...",save:"儲存",deleting:"刪除中...",updating:"更新中...",status:"狀態: %{status}",backCollection:"在集合 %{collectionLabel} 新增內容",unsavedChanges:"未儲存變更",changesSaved:"已儲存變更",draft:"草稿",inReview:"正在審核",ready:"預備發布",publishNow:"立即發布",deployPreviewPendingButtonLabel:"點擊來進行預覽",deployPreviewButtonLabel:"進行預覽",deployButtonLabel:"觀看已發布的內容"},editorWidgets:{markdown:{bold:"粗體",italic:"斜體",code:"程式碼",link:"連結",linkPrompt:"輸入連結網址",headings:"標題",quote:"引言",bulletedList:"項目符號清單",numberedList:"編號清單",addComponent:"加入元件",richText:"Rich Text",markdown:"Markdown"},image:{choose:"選擇一張圖片",chooseDifferent:"選擇其他圖片",remove:"刪除圖片"},file:{choose:"選擇一個檔案",chooseDifferent:"選擇其他檔案",remove:"刪除檔案"},unknownControl:{noControl:"無法控制元件: '%{widget}'."},unknownPreview:{noPreview:"無法預覽元件: '%{widget}'."},headingOptions:{headingOne:"標題 1",headingTwo:"標題 2",headingThree:"標題 3",headingFour:"標題 4",headingFive:"標題 5",headingSix:"標題 6"},datetime:{now:"現在",clear:"清除"},list:{add:"新增%{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"草稿"},mediaLibrary:{onDelete:"你確定要刪除已選擇的媒體嗎?",fileTooLarge:"檔案太大。\n已設定不允許大於 %{size} kB 的檔案。"},mediaLibraryModal:{loading:"載入中...",noResults:"沒有結果",noAssetsFound:"沒有發現媒體資產。",noImagesFound:"沒有發現影像。",private:"私人",images:"影像",mediaAssets:"媒體資產",search:"搜尋中...",uploading:"上傳中...",upload:"上傳新內容",download:"下載",deleting:"刪除中...",deleteSelected:"刪除已選擇的項目",chooseSelected:"選擇已選擇的項目"}},ui:{default:{goBackToSite:"回到網站"},errorBoundary:{title:"錯誤",details:"發生錯誤!請 ",reportIt:"回報錯誤",detailsHeading:"細節",privacyWarning:"建立 issue並加上錯誤訊息及除錯資訊。\n請確認資訊正確敏感資料也已經去除。",recoveredEntry:{heading:"已恢復的內容",warning:"在你離開本頁前,請將此處的內容複製貼上到其他地方來進行備份!",copyButtonLabel:"複製到剪貼簿"}},settingsDropdown:{logOut:"登出"},toast:{onFailToLoadEntries:"無法載入內容: %{details}",onFailToLoadDeployPreview:"無法預覽內容: %{details}",onFailToPersist:"無法暫存內容: %{details}",onFailToDelete:"無法刪除內容: %{details}",onFailToUpdateStatus:"無法更新狀態: %{details}",missingRequiredField:"糟了!你漏填了一個必須填入的欄位,在儲存前請先填完所有內容",entrySaved:"已儲存內容",entryPublished:"已發布內容",entryUnpublished:"已取消發布內容",onFailToPublishEntry:"無法發布: %{details}",onFailToUnpublishEntry:"無法取消發布: %{details}",entryUpdated:"內容狀態已更新",onDeleteUnpublishedChanges:"已刪除未發布的變更",onFailToAuth:"%{details}",onLoggedOut:"你已經登出,請備份任何資料然後重新登入",onBackendDown:"後端服務發生中斷。看 %{details} 取得更多資訊"}},workflow:{workflow:{loading:"正在載入編輯流程的內容",workflowHeading:"編輯作業流程",newPost:"建立新的內容",description:"%{smart_count} 篇內容正在等待審核, %{readyCount} 篇已經準備進行發布。 |||| %{smart_count} 篇內容正在等待審核, %{readyCount} 篇已經準備進行發布。",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} by %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"by %{author}",deleteChanges:"刪除變更",deleteNewEntry:"刪除新內容",publishChanges:"發布變更",publishNewEntry:"發布新內容"},workflowList:{onDeleteEntry:"你確定要刪除這個項目嗎?",onPublishingNotReadyEntry:"只有狀態為 預備發布 的內容可以被發布,請將本內容的狀態設定為 預備發布 來進行發布前的準備",onPublishEntry:"你確定要發表這篇內容嗎?",draftHeader:"草稿",inReviewHeader:"正在預覽",readyHeader:"準備完成",currentEntries:"%{smart_count} 篇內容 |||| %{smart_count} 篇內容"}}},Cme={auth:{login:"로그인",loggingIn:"로그인 중...",loginWithNetlifyIdentity:"Netlify Identity 로 로그인",loginWithAzure:"Azure 로 로그인",loginWithBitbucket:"Bitbucket 으로 로그인",loginWithGitHub:"GitHub 로 로그인",loginWithGitLab:"GitLab 으로 로그인",loginWithGitea:"Gitea 으로 로그인",errors:{email:"반드시 이메일을 입력해 주세요.",password:"암호를 입력해 주세요.",identitySettings:"설정에 접근할 수 없습니다. git-gateway 백엔드 사용시 Identity service와 Git Gateway를 활성화 해야 합니다."}},app:{header:{content:"콘텐츠",workflow:"워크플로우",media:"미디어",quickAdd:"빠른 추가"},app:{errorHeader:"CMS 구성을 불러오는 중 오류가 발생했습니다.",configErrors:"구성 오류",checkConfigYml:"config.yml 파일을 확인하세요.",loadingConfig:"구성 불러오는 중...",waitingBackend:"백엔드 기다리는 중..."},notFoundPage:{header:"찾을 수 없음"}},collection:{sidebar:{collections:"컬렉션",allCollections:"모든 컬렉션",searchAll:"모든 컬렉션에서 검색",searchIn:"다음 컬렉션에서 검색"},collectionTop:{sortBy:"정렬 기준",viewAs:"다음으로 보기",newButton:"새 %{collectionLabel} 항목",ascending:"오름차순",descending:"내림차순",searchResults:'"%{searchTerm}"에 대한 검색결과',searchResultsInCollection:'%{collection} 컬랙션에서 "%{searchTerm}"에 대한 검색결과',filterBy:"필터 기준",groupBy:"그룹화 기준"},entries:{loadingEntries:"항목 불러오는 중...",cachingEntries:"항목 캐시 중...",longerLoading:"몇 분 정도 걸릴 수 있습니다.",noEntries:"항목 없음"},groups:{other:"다른 그룹",negateLabel:"%{label} 제외"},defaultFields:{author:{label:"저자"},updatedOn:{label:"업데이트 시각"}}},editor:{editorControl:{field:{optional:"선택사항"}},editorControlPane:{widget:{required:"%{fieldLabel} 은(는) 필수입니다.",regexPattern:"%{fieldLabel} 이(가) %{pattern} 패턴과 일치하지 않습니다.",processing:"%{fieldLabel} 은(는) 처리중 입니다.",range:"%{fieldLabel} 은(는) 반드시 %{minValue} 에서 %{maxValue} 사이여야 합니다.",min:"%{fieldLabel} 은(는) 적어도 %{minValue} 이상 이여야 합니다.",max:"%{fieldLabel} 은(는) 최대 %{maxValue} 여야 합니다.",rangeCount:"%{fieldLabel} 개수는 %{minCount} 개 에서 %{maxCount} 개 사이여야 합니다.",rangeCountExact:"%{fieldLabel} 개수는 정확히 %{count} 개 여야 합니다.",rangeMin:"%{fieldLabel} 개수는 적어도 %{minCount} 개 이상 이여야 합니다.",rangeMax:"%{fieldLabel} 개수는 최대 %{maxCount} 개 여야 합니다.",invalidPath:"'%{path}' 은(는) 올바른 경로가 아닙니다.",pathExists:"'%{path}' 경로가 이미 존재합니다."},i18n:{writingInLocale:"%{locale}로 작성 중",copyFromLocale:"다른 로케일로부터 채우기",copyFromLocaleConfirm:"%{locale} 로케일로부터 데이터를 채우시겠습니까?\n모든 기존 내용을 덮어쓰기 합니다."}},editor:{onLeavePage:"이 페이지를 떠나시겠습니까?",onUpdatingWithUnsavedChanges:"저장하지 않은 변경사항이 있습니다. 상태 업데이트 전 먼저 저장하세요.",onPublishingNotReady:'게시 하기 앞서 상태를 "준비됨" 으로 업데이트 하세요.',onPublishingWithUnsavedChanges:"저장하지 않은 변경사항이 있습니다, 게시하기 전 먼저 저장하세요.",onPublishing:"이 항목을 게시하시곘습니까?",onUnpublishing:"이 항목을 게시 철회 하시겠습니까?",onDeleteWithUnsavedChanges:"현재 세션에서의 저장되지 않은 변경사항과 이 게시된 항목을 삭제하시겠습니까?",onDeletePublishedEntry:"이 게시된 항목을 삭제하시겠습니까?",onDeleteUnpublishedChangesWithUnsavedChanges:"이 항목의 게시되지 않은 모든 변경사항과 현재 세션의 저장되지 않은 변경사항이 삭제됩니다. 정말로 삭제하시겠습니까?",onDeleteUnpublishedChanges:"이 항목에 대해 게시되지 않은 변경사항이 삭제됩니다. 정말로 삭제하시겠습니까?",loadingEntry:"항목 불러오는 중...",confirmLoadBackup:"이 항목에 대한 로컬 백업이 복구되었습니다, 복구된 것으로 사용하시겠습니까?"},editorInterface:{toggleI18n:"국제화(i18n) 토글",togglePreview:"미리보기 토글",toggleScrollSync:"스크롤 동기화"},editorToolbar:{publishing:"게시 중...",publish:"게시",published:"게시됨",unpublish:"게시 철회",duplicate:"복제",unpublishing:"게시 철회 중...",publishAndCreateNew:"게시하고 새로 만들기",publishAndDuplicate:"게시하고 복제",deleteUnpublishedChanges:"게시 안된 변경사항 삭제",deleteUnpublishedEntry:"게시 안된 항목 삭제",deletePublishedEntry:"게시된 항목 삭제",deleteEntry:"항목 삭제",saving:"저장 중...",save:"저장",deleting:"삭제 중...",updating:"업데이트 중...",status:"상태: %{status}",backCollection:"%{collectionLabel} 컬랙션에 작성하는 중",unsavedChanges:"변경사항 저장되지 않음",changesSaved:"변경사항 저장됨",draft:"초안",inReview:"검토중",ready:"준비됨",publishNow:"지금 게시",deployPreviewPendingButtonLabel:"미리보기 확인",deployPreviewButtonLabel:"미리보기 보기",deployButtonLabel:"라이브 보기"},editorWidgets:{markdown:{bold:"굵은체",italic:"기울임체",code:"코드",link:"링크",linkPrompt:"링크의 URL을 입력하세요",headings:"제목",quote:"인용",bulletedList:"글머리 기호 목록",numberedList:"번호 매기기 목록",addComponent:"구성요소 추가",richText:"리치 텍스트",markdown:"마크다운"},image:{choose:"이미지 선택",chooseMultiple:"이미지 여러개 선택",chooseUrl:"URL에서 삽입",replaceUrl:"URL 변경",promptUrl:"이미지 URL을 입력하세요",chooseDifferent:"다른 이미지 선택",addMore:"더 많은 이미지 추가",remove:"이미지 삭제",removeAll:"모든 이미지 삭제"},file:{choose:"파일 선택",chooseUrl:"URL에서 삽입",chooseMultiple:"파일 여러개 선택",replaceUrl:"URL 변경",promptUrl:"파일 URL을 입력하세요",chooseDifferent:"다른 파일 선택",addMore:"더 많은 파일 추가",remove:"파일 삭제",removeAll:"모든 파일 삭제"},unknownControl:{noControl:"'%{widget}' 위젯에 대한 컨트롤이 없습니다."},unknownPreview:{noPreview:"'%{widget}' 위젯에 대한 미리보기가 없습니다."},headingOptions:{headingOne:"제목 1",headingTwo:"제목 2",headingThree:"제목 3",headingFour:"제목 4",headingFive:"제목 5",headingSix:"제목 6"},datetime:{now:"현재시각",clear:"지우기"},list:{add:"%{item} 추가",addType:"%{item} 추가"}}},mediaLibrary:{mediaLibraryCard:{draft:"초안",copy:"복사",copyUrl:"URL 복사",copyPath:"경로 복사",copyName:"이름 복사",copied:"복사됨"},mediaLibrary:{onDelete:"선택하신 미디어를 삭제하시겠습니까?",fileTooLarge:"파일이 너무 큽니다.\n%{size} kB 보다 큰 파일을 허용하지 않도록 구성되어 있습니다."},mediaLibraryModal:{loading:"불러오는 중...",noResults:"일치 항목 없음.",noAssetsFound:"발견된 에셋 없음.",noImagesFound:"발견된 이미지 없음.",private:"개인 ",images:"이미지",mediaAssets:"미디어 에셋",search:"검색...",uploading:"업로드 중...",upload:"업로드",download:"다운로드",deleting:"삭제 중...",deleteSelected:"선택항목 삭제",chooseSelected:"선택한 것으로 결정"}},ui:{default:{goBackToSite:"사이트로 돌아가기"},errorBoundary:{title:"오류",details:"오류가 발생했습니다.",reportIt:"GitHub에서 이슈를 열어 보고해 주세요.",detailsHeading:"자세한 내용",privacyWarning:"이슈를 열면 사전에 오류 메시지와 디버깅 데이터로 채워집니다.\n정보가 올바른지 확인하시고 민감한 정보가 있다면 지워주세요.",recoveredEntry:{heading:"복구된 문서",warning:"다른 곳으로 가시기 전에 이 내용을 꼭 복사해두세요!",copyButtonLabel:"클립보드로 복사"}},settingsDropdown:{logOut:"로그아웃"},toast:{onFailToLoadEntries:"항목 불러오기 실패: %{details}",onFailToLoadDeployPreview:"미리보기 불러오기 실패: %{details}",onFailToPersist:"항목 저장 실패: %{details}",onFailToDelete:"항목 삭제 실패: %{details}",onFailToUpdateStatus:"상태 업데이트 실패: %{details}",missingRequiredField:"이런! 필수 필드를 놓치셨습니다. 저장하기 전에 먼저 채우세요.",entrySaved:"항목 저장됨",entryPublished:"항목 게시됨",entryUnpublished:"항목 게시 철회됨",onFailToPublishEntry:"게시 실패: %{details}",onFailToUnpublishEntry:"항목 게시 철회 실해: %{details}",entryUpdated:"항목 상태 업데이트됨",onDeleteUnpublishedChanges:"게시되지 않은 변경사항 삭제됨",onFailToAuth:"%{details}",onLoggedOut:"로그아웃 하셨습니다, 데티어를 백업하시고 다시 로그인 하세요.",onBackendDown:"백엔드 서비스가 장애를 겪고 있습니다. 자세한 사항은 %{details} 을(를) 참고하세요."}},workflow:{workflow:{loading:"편집 워크플로우의 항목을 불러오는 중",workflowHeading:"편집 워크플로우",newPost:"새 게시물",description:"%{smart_count}개 항목 검토 대기중, %{readyCount}개 항목 게시 준비 완료됨. |||| %{smart_count}개 항목 검토 대기중, %{readyCount}개 항목 게시 준비 완료됨. ",dateFormat:"M월 D일"},workflowCard:{lastChange:"%{date} by %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"by %{author}",deleteChanges:"변경사항 삭제",deleteNewEntry:"새 항목 삭제",publishChanges:"변경사항 게시",publishNewEntry:"새 항목 게시"},workflowList:{onDeleteEntry:"정말로 이 항목을 삭제하시겠습니까?",onPublishingNotReadyEntry:'"준비됨" 상태의 항목만 게시할 수 있습니다. 게시를 활성화 하려면 카드를 "준비됨" 열에 끌어 놓으세요.',onPublishEntry:"이 항목을 게시하시곘습니까?",draftHeader:"초안",inReviewHeader:"검토 진행중",readyHeader:"준비됨",currentEntries:"%{smart_count}개 항목 |||| %{smart_count}개 항목"}}},Sme={auth:{login:"Prijava",loggingIn:"Prijava u tijeku...",loginWithNetlifyIdentity:"Prijava sa Netlify računom",loginWithAzure:"Prijava za Azure računom",loginWithBitbucket:"Prijava sa Bitbucket računom",loginWithGitHub:"Prijava sa GitHub računom",loginWithGitLab:"Prijava sa GitLab računom",loginWithGitea:"Prijava sa Gitea računom",errors:{email:"Unesite email.",password:"Molimo unisite lozinku.",identitySettings:'Nemoguće pristupiti postavkama identita. Kod korištenja git-gateway backenda morate uključiti "Identity service" te "Git Gateway"'}},app:{header:{content:"Sadržaj",workflow:"Tijek rada",media:"Mediji",quickAdd:"Dodaj"},app:{errorHeader:"Greška pri učitavanju CMS konfiguracije",configErrors:"Greška u konfiguraciji",checkConfigYml:"Provjeri config.yml datoteku.",loadingConfig:"Učitavanje konfiguracije...",waitingBackend:"Čekanje na backend..."},notFoundPage:{header:"Stranica nije pronađena"}},collection:{sidebar:{collections:"Zbirke",allCollections:"Sve zbirke",searchAll:"Pretraži sve",searchIn:"Pretraži u"},collectionTop:{sortBy:"Sortiraj",viewAs:"Pogledaj kao",newButton:"Nova %{collectionLabel}",ascending:"Uzlazno",descending:"Silzano",searchResults:'Rezulatati pretraživanja za "%{searchTerm}"',searchResultsInCollection:'Rezulatati pretraživanja za "%{searchTerm}" u %{collection}',filterBy:"Filtriraj po",groupBy:"Grupiraj po"},entries:{loadingEntries:"Učitavanje unosa...",cachingEntries:"Predmemoriranje unosa...",longerLoading:"Ovo bi moglo potrajati par minuta",noEntries:"Nema unosa"},groups:{other:"Ostalo",negateLabel:"Nije %{label}"},defaultFields:{author:{label:"Autor"},updatedOn:{label:"Ažurirano na"}}},editor:{editorControl:{field:{optional:"opcionalno"}},editorControlPane:{widget:{required:"%{fieldLabel} je obvezan.",regexPattern:"%{fieldLabel} se ne podudara sa uzorkom: %{pattern}.",processing:"%{fieldLabel} se procesira.",range:"%{fieldLabel} mora biti između %{minValue} i %{maxValue}.",min:"%{fieldLabel} mora biti najmanje %{minValue}.",max:"%{fieldLabel} mora biti %{maxValue} ili manje.",rangeCount:"%{fieldLabel} mora imati između %{minCount} i %{maxCount} predmeta.",rangeCountExact:"%{fieldLabel} mora imati točno %{count} predmeta.",rangeMin:"%{fieldLabel} mora imati najmanje %{minCount} predmet(a).",rangeMax:"%{fieldLabel} mora imate %{maxCount} ili manje predmeta.",invalidPath:"'%{path}' nije valjana putanja",pathExists:"Putanja '%{path}' već postoji"},i18n:{writingInLocale:"Pisanje na %{locale}"}},editor:{onLeavePage:"Jeste li sigurni da želite napustiti stranicu?",onUpdatingWithUnsavedChanges:"Imate nespremljene promjene, molimo spremite prije ažuriranja statusa.",onPublishingNotReady:'Molimo ažurirajte status na "Spremno" prije objavljivanja.',onPublishingWithUnsavedChanges:"Imate nespremljene promjene, molimo spremite prije objavljivanja.",onPublishing:"Jeste li sigurni da želite objaviti ovaj unos?",onUnpublishing:"Jeste li sigurni da želite maknuti objavu za ovaj unos?",onDeleteWithUnsavedChanges:"Jeste li sigurni da želite obrisati objavljeni unos, te nespremljene promjene u trenutnoj sesiji?",onDeletePublishedEntry:"Jeste li sigurni da želite obrisati ovaj objavljeni unos?",onDeleteUnpublishedChangesWithUnsavedChanges:"Obrisat ćete sve neobjavljene promjene na ovom unosu, te sve nespremljene promjene u trenutnoj sesiji. Želite li i dalje obrisati?",onDeleteUnpublishedChanges:"Sve nespremljene promjene na ovom unosu će biti obrisane. Želite li i dalje obrisati?",loadingEntry:"Učitavanje unosa...",confirmLoadBackup:"Lokalna kopija je dohvaćena za ovaj unos, želite li ju koristiti?"},editorToolbar:{publishing:"Objavljivanje...",publish:"Objavi",published:"Objavljeno",unpublish:"Obriši iz objava",duplicate:"Dupliciraj",unpublishing:"Brisanje iz objava...",publishAndCreateNew:"Objavi i kreiraj novo",publishAndDuplicate:"Objavi i dupliciraj",deleteUnpublishedChanges:"Obriši neobjavljene promjene",deleteUnpublishedEntry:"Obriši neobjavljene unose",deletePublishedEntry:"Obriši objavljeni unos",deleteEntry:"Obriši unos",saving:"Spremanje...",save:"Spremi",deleting:"Brisanje...",updating:"Ažuriranje...",status:"Status: %{status}",backCollection:"Pisanje u %{collectionLabel} zbirci",unsavedChanges:"Nespremljene promjene",changesSaved:"Promjene spremljene",draft:"Skica",inReview:"Osvrt",ready:"Spremno",publishNow:"Objavi sad",deployPreviewPendingButtonLabel:"Provjeri za osvrt",deployPreviewButtonLabel:"Pogledaj osvrt",deployButtonLabel:"Pogledaj na produkciji"},editorWidgets:{markdown:{bold:"Podebljano",italic:"Kurziv",code:"Kod",link:"Link",linkPrompt:"Unesi URL linka",headings:"Naslovi",quote:"Citat",bulletedList:"Nabrajan popis",numberedList:"Numeriran popis",addComponent:"Dodaj komponentu",richText:"Bogati tekst",markdown:"Markdown"},image:{choose:"Odaberi sliku",chooseDifferent:"Odaberi drugu sliku",remove:"Izbriši sliku"},file:{choose:"Odaberi datoteku",chooseDifferent:"Odaberi drugu datoteku",remove:"Obriši datoteku"},unknownControl:{noControl:"Kontrola nije pronađena za widget '%{widget}'."},unknownPreview:{noPreview:"Prikaz nije pronađen za widget '%{widget}'."},headingOptions:{headingOne:"Naslov 1",headingTwo:"Naslov 2",headingThree:"Naslov 3",headingFour:"Naslov 4",headingFive:"Naslov 5",headingSix:"Naslov 6"},datetime:{now:"Sad",clear:"Očisti"}}},mediaLibrary:{mediaLibraryCard:{draft:"Skica"},mediaLibrary:{onDelete:"Jeste li sigurni da želite obrisati odabrane medijske datoteke?",fileTooLarge:"Datoteka prevelika.\nKonfigurirano da ne podržava datoteke veće od %{size} kB."},mediaLibraryModal:{loading:"Učitavanje...",noResults:"Nema rezultata.",noAssetsFound:"Sredstva nisu pronađena.",noImagesFound:"Slike nisu pronađene.",private:"Privatno ",images:"Slike",mediaAssets:"Medijska sredstva",search:"Pretraži...",uploading:"Učitavanje...",upload:"Učitaj",download:"Preuzmi",deleting:"Brisanje...",deleteSelected:"Obriši označeno",chooseSelected:"Odaberi označeno"}},ui:{default:{goBackToSite:"Povratak na stranicu"},errorBoundary:{title:"Greška",details:"Dogodila se greška - molimo ",reportIt:"otvori issue (problem) na GitHubu.",detailsHeading:"Detalji",privacyWarning:"Otvaranje issue-a (problema) populira ga sa porukom od greške i debug podacima.\nProvjerite jesu li infomacije točne i obrišite osjetljive podatke ako postoje.",recoveredEntry:{heading:"Obnovljen dokument",warning:"Molimo kopiraj/zalijepi ovo negdje prije odlaska dalje!",copyButtonLabel:"Kopiraj u međuspremnik"}},settingsDropdown:{logOut:"Odjava"},toast:{onFailToLoadEntries:"Neuspjelo dohvaćanje unosa: %{details}",onFailToLoadDeployPreview:"Neuspjelo dohvaćanje pregleda: %{details}",onFailToPersist:"Neuspjelo spremanje unosa: %{details}",onFailToDelete:"Neuspjelo brisanje unosa: %{details}",onFailToUpdateStatus:"Neuspjelo ažuriranje statusa: %{details}",missingRequiredField:"Uups, preskočili ste obvezno polje. Molimo popunite prije spremanja.",entrySaved:"Unos spremljen",entryPublished:"Unos objavljen",entryUnpublished:"Unos obrisan",onFailToPublishEntry:"Neuspjelo objavljivanje unosa: %{details}",onFailToUnpublishEntry:"Neuspjelo brisanje unosa: %{details}",entryUpdated:"Status unosa ažuriran",onDeleteUnpublishedChanges:"Otkrivene neobjavljene objave",onFailToAuth:"%{details}",onLoggedOut:"Odjavljeni ste, molimo spremite sve podatke i prijavite se ponovno",onBackendDown:"Backend servis ima prekid rada. Pogledaj %{details} za više informacija"}},workflow:{workflow:{loading:"Učitavanje unosa uredničkog tijeka rada",workflowHeading:"Urednički tijek rada",newPost:"Nova objava",description:"%{smart_count} unos čeka pregled, %{readyCount} unos spreman za produkciju. |||| %{smart_count} unosa čeka pregled, %{readyCount} unosa spremno za produkciju. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} od strane %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"od strane %{author}",deleteChanges:"Obriši promjene",deleteNewEntry:"Obriši novi unos",publishChanges:"Objavi promjene",publishNewEntry:"Objavi novi unos"},workflowList:{onDeleteEntry:"Jeste li sigurni da želite obrisati unos?",onPublishingNotReadyEntry:'Samo promjene sa statusom "Spremno" mogu biti objavljene. Molimo povucite karticu u kolumnu "Spremno" prije objavljivanja.',onPublishEntry:"Jeste li sigurni da želite objaviti unos?",draftHeader:"Skice",inReviewHeader:"U osvrtu",readyHeader:"Spremno",currentEntries:"%{smart_count} unos |||| %{smart_count} unosa"}}},Ame={auth:{login:"Vpiši se",loggingIn:"Prijavljanje...",loginWithNetlifyIdentity:"Prijavi se z Netlify Identity",loginWithAzure:"Prijavi se z Azure računom",loginWithBitbucket:"Prijavi se z BitBucket računom",loginWithGitHub:"Prijavi se z GitHub računom",loginWithGitLab:"Prijavi se z Gitlab računom",errors:{email:"Vnesi svoj pravi e-poštni naslov.",password:"Vnesi svoje geslo.",identitySettings:"Ni mogoče dostopati do nastavitev identitete. Ko uporabljate Git-Gateway zaledje, se prepričajte, da omogočite Identity Service in Git Gateway."}},app:{header:{content:"Vsebina",workflow:"Potek dela",media:"Media",quickAdd:"Hitro dodajanje"},app:{errorHeader:"Napaka pri nalaganju CMS konfiguracije",configErrors:"Konfiguracijske napake",checkConfigYml:"Preverite svojo datoteko config.yml.",loadingConfig:"Nalaganje konfiguracije ...",waitingBackend:"Čakanje na zaledje ..."},notFoundPage:{header:"Ni najdeno"}},collection:{sidebar:{collections:"Zbirke",allCollections:"Vse zbirke",searchAll:"Išči vse",searchIn:"Išči v"},collectionTop:{sortBy:"Razvrsti po",viewAs:"Poglej kot",newButton:"Nov %{collectionLabel}",ascending:"Naraščajoče",descending:"Padajoče",searchResults:'Rezultati iskanja za "%{searchTerm}"',searchResultsInCollection:'Rezultati iskanja za "%{searchTerm}" v %{collection}',filterBy:"Filtriraj po",groupBy:"Grupiraj po"},entries:{loadingEntries:"Nalaganje vnosov ...",cachingEntries:"Predpomnjenje vnosov ...",longerLoading:"To lahko traja nekaj minut",noEntries:"Ni vnosov"},groups:{other:"Drugo",negateLabel:"Ne %{label}"},defaultFields:{author:{label:"Avtor"},updatedOn:{label:"Nazadnje posodobljeno"}}},editor:{editorControl:{field:{optional:"opcijsko"}},editorControlPane:{widget:{required:"%{fieldLabel} je obvezen.",regexPattern:"%{fieldLabel} se ni ujemal z vzorcem: %{pattern}.",processing:"%{fieldLabel} je v obdelavi.",range:"%{fieldLabel} mora biti med %{minValue} in %{maxValue}.",min:"%{fieldLabel} mora biti vsaj %{minValue}.",max:"%{fieldLabel} mora biti %{maxValue} ali manj.",rangeCount:"%{fieldLabel} mora imeti med %{minCount} in %{maxCount} elementov.",rangeCountExact:"%{fieldLabel} mora imeti točno %{count} elemente.",rangeMin:"%{fieldLabel} mora imeti vsaj %{minCount} elementov.",rangeMax:"%{fieldLabel} mora imeti %{maxCount} ali manj elementov.",invalidPath:"'%{path}' ni veljavna pot",pathExists:"Pot '%{path}' že obstaja"},i18n:{writingInLocale:"Pisanje v %{locale}",copyFromLocale:"Izpolnite iz drugega jezika",copyFromLocaleConfirm:"Ali želiš izpolniti podatke iz %{locale} jezika?\nVsa obstoječa vsebina bo prepisana."}},editor:{onLeavePage:"Ste prepričani, da želite zapustiti to stran?",onUpdatingWithUnsavedChanges:"Imaš neshranjene spremembe. Shrani pred posodobitvijo stanja.",onPublishingNotReady:'Pred objavo posodobi status na "pripravljen".',onPublishingWithUnsavedChanges:"Imaš neshranjene spremembe. Shrani pred objavo.",onPublishing:"Ste prepričani, da želite objaviti ta vnos?",onUnpublishing:"Ste prepričani, da želite preklicati objavo tega vnosa?",onDeleteWithUnsavedChanges:"Ste prepričani, da želite izbrisati ta objavljen vnos, pa tudi neshrannjene spremembe iz trenutne seje?",onDeletePublishedEntry:"Ste prepričani, da želite izbrisati ta objavljeni vnos?",onDeleteUnpublishedChangesWithUnsavedChanges:"To bo izbrisalo vse neobjavljene spremembe tega vnosa, pa tudi neshranjene spremembe iz trenutne seje. Ali še vedno želiš izbrisati?",onDeleteUnpublishedChanges:"Vse neobjavljene spremembe tega vnosa bodo izbrisane. Ali še vedno želiš izbrisati?",loadingEntry:"Nalaganje vnosa ...",confirmLoadBackup:"Za ta vnos je bila povrnjena lokalna varnostna kopija, ali bi jo radi uporabili?"},editorInterface:{toggleI18n:"Preklopi i18n",togglePreview:"Preklopi predogled",toggleScrollSync:"Sinhroniziraj drsenje"},editorToolbar:{publishing:"Objavljanje ...",publish:"Objavi",published:"Objavljeno",unpublish:"Prekliči objavo",duplicate:"Podvoji",unpublishing:"Preklicevanje objave ...",publishAndCreateNew:"Objavi in ustvari novo",publishAndDuplicate:"Objavi in podvoji",deleteUnpublishedChanges:"Izbriši neobjavljene spremembe",deleteUnpublishedEntry:"Izbriši neobjavljen vnos",deletePublishedEntry:"Izbriši objavljen vnos",deleteEntry:"Izbriši vnos",saving:"Shranjevanje ...",save:"Shrani",statusInfoTooltipDraft:"Status vnosa je nastavljen na osnutek. Če ga želiš dokončati in predložiti v pregled, nastavi stanje na „v pregledu“",statusInfoTooltipInReview:"Vnos je v pregledu, nadaljnja dejanja niso potrebna. Vendar lahko med pregledovanjem še vedno narediš spremembe.",deleting:"Brisanje ...",updating:"Posodabljanje ...",status:"status: %{status}",backCollection:" Pisanje v %{collectionLabel} zbirko",unsavedChanges:"Neshranjene spremembe",changesSaved:"Spremembe shranjene",draft:"Osnutek",inReview:"V pregledu",ready:"Pripravljen",publishNow:"Objavi zdaj",deployPreviewPendingButtonLabel:"Preveri za predogled",deployPreviewButtonLabel:"Ogled predogleda",deployButtonLabel:"Pogled v živo"},editorWidgets:{markdown:{bold:"Debelo",italic:"Poševno",code:"Koda",link:"Povezava",linkPrompt:"Vnesite URL povezave",headings:"Naslovi",quote:"Citat",bulletedList:"Seznam z oznakami",numberedList:"Oštevilčen seznam",addComponent:"Dodaj komponento",richText:"Bogato besedilo",markdown:"Markdown"},image:{choose:"Izberi sliko",chooseMultiple:"Izberi slike",chooseUrl:"Vstavi iz URL-ja",replaceUrl:"Zamenjaj z URL",promptUrl:"Vnesi URL slike",chooseDifferent:"Izberi drugo sliko",addMore:"Dodaj več slik",remove:"Odstrani sliko",removeAll:"Odstrani vse slike"},file:{choose:"Izberi datoteko",chooseUrl:"Vstavi iz URL-ja",chooseMultiple:"Izberi datoteke",replaceUrl:"Zamenjaj z URL",promptUrl:"Vnesi URL datoteke",chooseDifferent:"Izberi drugo datoteko",addMore:"Dodaj več datotek",remove:"Odstrani datoteko",removeAll:"Odstrani vse datoteke"},unknownControl:{noControl:"Ni kontrole za gradnik '%{widget}'."},unknownPreview:{noPreview:"Nipredogleda za widget '%{widget}'."},headingOptions:{headingOne:"Naslov 1",headingTwo:"Naslov 2",headingThree:"Naslov 3",headingFour:"Naslov 4",headingFive:"Naslov 5",headingSix:"Naslov 6"},datetime:{now:"Zdaj",clear:"Počisti"},list:{add:"Dodaj %{item}",addType:"Dodaj %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Osnutek",copy:"Kopiraj",copyUrl:"Kopiraj URL",copyPath:"Kopiraj pot",copyName:"Kopiraj ime",copied:"Kopirano"},mediaLibrary:{onDelete:"Ste prepričani, da želite izbrisati izbrane medije?",fileTooLarge:"Datoteka je prevelika.\n Ne sme biti večja od %{size} kb."},mediaLibraryModal:{loading:"Nalaganje...",noResults:"Ni rezultatov.",noAssetsFound:"Ni najdenih sredstev.",noImagesFound:"Ni najdenih slik.",private:"Private",images:"Slike",mediaAssets:"Multimedijska sredstva",search:"Iskanje ...",uploading:"Nalaganje ...",upload:"Naloži",download:"Prenesi",deleting:"Brisanje ...",deleteSelected:"Izbriši izbrano",chooseSelected:"Dodaj izbrano"}},ui:{default:{goBackToSite:"Vrni se na spletno mesto"},errorBoundary:{title:"Napaka",details:"Prišlo je do napake.",reportIt:"Odprite težavo na GitHubu.",detailsHeading:"Podrobnosti",privacyWarning:"Odpiranje Github Issue bo javno objavilo vašo napako. Ne vključujte osebnih podatkov v vaši napaki.",recoveredEntry:{heading:"Obnovljen dokument",warning:"Prosimo, tole kopirajte/prilepite nekam, preden navigirate drugam!",copyButtonLabel:"Kopiraj v odložišče"}},settingsDropdown:{logOut:"Odjava"},toast:{onFailToLoadEntries:"Ni naložilo vnosa: %{details}",onFailToLoadDeployPreview:"Ni naložilo predogleda: %{details}",onFailToPersist:"Ni uspelo vztrajati: %{details}",onFailToDelete:"Ni uspelo izbrisati vnosa: %{details}",onFailToUpdateStatus:"Ni uspelo posodobiti stanja: %{details}",missingRequiredField:"Ups, zgrešili ste obvezno polje. Pred shranjevanjem izpolnite.",entrySaved:"Vnos shranjen",entryPublished:"Vnos objavljen",entryUnpublished:"Objava vnosa preklicana",onFailToPublishEntry:"Vnosa ni uspelo objaviti: %{details}",onFailToUnpublishEntry:"Preklicanje objave vnosa ni uspelo: %{details}",entryUpdated:"Status vnosa posodobljen",onDeleteUnpublishedChanges:"Neobjavljene spremembe izbrisane",onFailToAuth:"%{details}",onLoggedOut:"Bili ste odjavljeni, varnostno kopirate vse podatke in se ponovno prijavite",onBackendDown:"Zaledna storitev doživlja izpad. Glejte %{details} za več informacij"}},workflow:{workflow:{loading:"Nalaganje uredniških vnosov",workflowHeading:"Uredniški potek dela",newPost:"Nov vnos",description:"%{smart_count} vnos čaka na pregled, %{readyCount} pripravljen za objavo. |||| %{smart_count} vnosov čaka na pregled, %{readyCount} pripravljenih za objavo.",dateFormat:"DD. MM. YYYY"},workflowCard:{lastChange:"%{date} by %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"by %{author}",deleteChanges:"Izbriši spremembe",deleteNewEntry:"Izbriši nov vnos",publishChanges:"Objavi spremembe",publishNewEntry:"Objavi nov vnos"},workflowList:{onDeleteEntry:"Ali ste prepričani, da želite izbrisati ta vnos?",onPublishingNotReadyEntry:'Objavijo se lahko samo elementi s statusom "pripravljen". Prosimo, povlecite kartico v stolpec "pripravljen", da omogočite objavo.',onPublishEntry:"Ste prepričani, da želite objaviti ta vnos?",draftHeader:"Osnutki",inReviewHeader:"V pregledu",readyHeader:"Pripravljen",currentEntries:"%{smart_count} vnos |||| %{smart_count} vnosov"}}},Tme={auth:{login:"Вход",loggingIn:"Влизане...",loginWithNetlifyIdentity:"Вход с Netlify Identity",loginWithAzure:"Вход с Azure",loginWithBitbucket:"Вход с Bitbucket",loginWithGitHub:"Вход с GitHub",loginWithGitLab:"Вход с GitLab",loginWithGitea:"Вход с Gitea",errors:{email:"Въведете вашия имейл.",password:"Въведете паролата.",identitySettings:"Няма достъп до настройките. Ако използвате git-gateway, не забравяйте да активирате услугата Identity и Git Gateway."}},app:{header:{content:"Съдържание",workflow:"Работен процес",media:"Мултимедийни файлове",quickAdd:"Бързо добавяне"},app:{errorHeader:"Грешка при зареждането на конфигурацията на CMS",configErrors:"Грешки в конфигурацията",checkConfigYml:"Проверете вашия файл config.yml.",loadingConfig:"Зареждане на конфигурация ...",waitingBackend:"В очакване на отговор от бекенда ..."},notFoundPage:{header:"Не е намерен"}},collection:{sidebar:{collections:"Колекции",allCollections:"Всички колекции",searchAll:"Търсете навсякъде",searchIn:"Търсене в"},collectionTop:{sortBy:"Сортирай по",viewAs:"Виж като",newButton:"Създай %{collectionLabel}",ascending:"Възходящ",descending:"Низходящ",searchResults:'Ресултати от търсенето за "%{searchTerm}"',searchResultsInCollection:'Ресултати от търсенето за "%{searchTerm}" в %{collection}',filterBy:"Филтрирай по",groupBy:"Групирай по"},entries:{loadingEntries:"Зареждане на записи...",cachingEntries:"Кеширане на записи...",longerLoading:"Това може да отнеме няколко минути",noEntries:"Няма записи"},groups:{other:"Други",negateLabel:"Не %{label}"},defaultFields:{author:{label:"Автор"},updatedOn:{label:"Обновено"}}},editor:{editorControl:{field:{optional:"незадължителен"}},editorControlPane:{widget:{required:"%{fieldLabel} е задължително.",regexPattern:"%{fieldLabel} не съответства на модела: %{pattern}.",processing:"%{fieldLabel} се обработва.",min:"%{fieldLabel} трябва да бъде поне %{minValue}.",range:"%{fieldLabel} трябва да бъде между %{minValue} и %{maxValue}.",max:"%{fieldLabel} трябва да бъде %{maxValue} или по-малко.",rangeCount:"%{fieldLabel} трябва да има между %{minCount} и %{maxCount} елемент(и).",rangeCountExact:"%{fieldLabel} трябва да има точно %{count} елемент(и).",minCount:"%{fieldLabel} трябва да бъде поне %{minCount} елемент(и).",maxCount:"%{fieldLabel} трябва да бъде %{maxCount} или по-малко елемент(и).",invalidPath:"'%{path}' не е валиден път",pathExists:"Пътят '%{path}' вече съществува"},i18n:{writingInLocale:"Писане на %{locale}"}},editor:{onLeavePage:"Наистина ли искате да напуснете тази страница?",onUpdatingWithUnsavedChanges:"Имате незапазени промени, моля, запазете преди актуализиране на състоянието.",onPublishingNotReady:"Моля, актуализирайте състоянието на „Готово“, преди да публикувате",onPublishingWithUnsavedChanges:"Имате незапазени промени, моля, запазете преди публикуване.",onPublishing:"Наистина ли искате да публикувате този запис?",onUnpublishing:"Наистина ли искате да прекратите публикуването на този запис?",onDeleteWithUnsavedChanges:"Наистина ли искате да изтриете този публикуван запис, както и незаписаните промени от текущата сесия?",onDeletePublishedEntry:"Наистина ли искате да изтриете този публикуван запис?",onDeleteUnpublishedChangesWithUnsavedChanges:"Това ще изтрие всички непубликувани промени в този запис, както и незаписаните ви промени от текущата сесия. Все още ли искате да изтриете?",onDeleteUnpublishedChanges:"Всички непубликувани промени в този запис ще бъдат изтрити. Все още ли искате да изтриете?",loadingEntry:"Зареждане на запис...",confirmLoadBackup:"За този запис беше възстановен локален архив, бихте ли искали да го използвате?"},editorInterface:{toggleI18n:"Превключване i18n",togglePreview:"Превключване на визуализация",toggleScrollSync:"Синхронизирай превъртане"},editorToolbar:{publishing:"Публикуване...",publish:"Публикувай",published:"Публикуван",unpublish:"Непубликувай",duplicate:"Дублирай",unpublishing:"Непубликуване...",publishAndCreateNew:"Публикувай и създай нов",publishAndDuplicate:"Публикувай и дублирай",deleteUnpublishedChanges:"Изтриване на непубликувани промени",deleteUnpublishedEntry:"Изтрий непубликувани записи",deletePublishedEntry:"Изтрий публикувани записи",deleteEntry:"Изтрий запис",saving:"Запазване...",save:"Запази",deleting:"Изтриване...",updating:"Актуализиране...",status:"Cъстояние: %{status}",backCollection:"Записване в %{collectionLabel} колекция",unsavedChanges:"Незапазени Промени",changesSaved:"Запазени промени",draft:"Чернова",inReview:"В ревю",ready:"Готово",publishNow:"Публикувай сега",deployPreviewPendingButtonLabel:"Проверете визуализацията",deployPreviewButtonLabel:"Визуализация",deployButtonLabel:"Изглед"},editorWidgets:{markdown:{bold:"Удебелен",italic:"Курсив",code:"Код",link:"Връзка",linkPrompt:"Моля, въведете URL на връзката",headings:"Заглавия",quote:"Цитат",bulletedList:"Маркиран Списък",numberedList:"Номериран Списък",addComponent:"Добави Компонент",richText:"Форматиране на текст",markdown:"Markdown"},image:{choose:"Избери изображение",chooseUrl:"Вмъкване от URL",replaceUrl:"Замяна с URL",promptUrl:"Въведете URL адреса на изображението",chooseDifferent:"Избери различно изображение",remove:"Премахни изображение"},file:{choose:"Избери файл file",chooseUrl:"Вмъкване от URL",replaceUrl:"Замяна с URL",promptUrl:"Въведете URL адреса на файла",chooseDifferent:"Избери различен файл",remove:"Премахни файл"},unknownControl:{noControl:"Няма контрол за приспособлението '%{widget}'."},unknownPreview:{noPreview:"Няма визуализация за приспособлението '%{widget}'."},headingOptions:{headingOne:"Heading 1",headingTwo:"Heading 2",headingThree:"Heading 3",headingFour:"Heading 4",headingFive:"Heading 5",headingSix:"Heading 6"},datetime:{now:"Сега",clear:"Изчисти"}}},mediaLibrary:{mediaLibraryCard:{draft:"Чернова",copy:"Копирай",copyUrl:"Копирай URL",copyPath:"Копитай път",copyName:"Копитай име",copied:"Копирано"},mediaLibrary:{onDelete:"Наистина ли искате да изтриете избрания медиен файл?",fileTooLarge:"Файлът е твърде голям.\nНастройките не позволяват запазване на файлове по-големи от %{size} kB."},mediaLibraryModal:{loading:"Зареждане...",noResults:"Няма резултати.",noAssetsFound:"Няма намерени ресурси.",noImagesFound:"Няма намерени изображения.",private:"Частен ",images:"Изображения",mediaAssets:"Медийни ресурси",search:"Търсене...",uploading:"Качване...",upload:"Качи",download:"Изтегли",deleting:"Изтриване...",deleteSelected:"Изтрай избрани",chooseSelected:"Избери избрани"}},ui:{default:{goBackToSite:"Обратно към сайта"},errorBoundary:{title:"Грешка",details:"Възникна грешка - моля ",reportIt:"докладвайте в GitHub.",detailsHeading:"Детайли",privacyWarning:"При отваряне на билет той автоматично се попълва предварително със съобщение за грешка и информация за отстраняване на грешки.\nМоля, проверете дали данните са верни и не съдържат поверителна информация.",recoveredEntry:{heading:"Възстановен документ",warning:"Моля, копирайте това съобщение някъде, преди да напуснете страницата!",copyButtonLabel:"Копиране в клипборда"}},settingsDropdown:{logOut:"Изход"},toast:{onFailToLoadEntries:"Неуспешно зареждане на записа: %{details}",onFailToLoadDeployPreview:"Неуспешно зареждане на визуализация: %{details}",onFailToPersist:"Неуспешно запазване на записа: %{details}",onFailToDelete:"Неуспешно изтриване на записа: %{details}",onFailToUpdateStatus:"Неуспешно актуализиране на състоянието: %{details}",missingRequiredField:"Извинете, пропуснахте задължително поле. Моля, попълнете преди запазване.",entrySaved:"Записът е запазен",entryPublished:"Записът е публикуван",entryUnpublished:"Записът е непубликуван",onFailToPublishEntry:"Неуспешно публикуване на запис: %{details}",onFailToUnpublishEntry:"Неуспешно премахване на публикацията на записа: %{details}",entryUpdated:"Статусът на записа е актуализиран",onDeleteUnpublishedChanges:"Непубликуваните промени са изтрити",onFailToAuth:"%{details}",onLoggedOut:"Излезли сте. Моля, запазете всички данни и влезте отново",onBackendDown:"Има прекъсване в работата на бекенда. Виж детайлите %{details}"}},workflow:{workflow:{loading:"Зареждане на редакционни записи",workflowHeading:"Редакционен работен процес",newPost:"Нова публикация",description:"Броят на записите, които очакват проверка -% {smart_count}, готови за публикуване -% {readyCount}. |||| Броят на записите, които очакват проверка -% {smart_count}, готови за публикуване -% {readyCount}. ",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date}, %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"%{author}",deleteChanges:"Изтриване на промените",deleteNewEntry:"Изтриване на нов запис",pubChanges:"Публикуване на промени",objavNewEntry:"Публикуване на нов запис"},workflowList:{onDeleteEntry:"Наистина ли искате да изтриете този запис?",onPublishingNotReadyEntry:'Могат да се публикуват само елементи със статус "Готов". Плъзенете картата в колоната "Готов" за да активирате публикуването.',onPublishEntry:"Наистина ли искате да публикувате този запис?",draftHeader:"Чернови",inReviewHeader:"В Ревю",readyHeader:"Готов",currentEntries:"%{smart_count} запис |||| %{smart_count} записи"}}},Ome={auth:{login:"Најава",loggingIn:"Се најавува...",loginWithNetlifyIdentity:"Најава со Netlify Identity",loginWithAzure:"Најава со Azure",loginWithBitbucket:"Најава со Bitbucket",loginWithGitHub:"Најава со GitHub",loginWithGitLab:"Најава со GitLab",loginWithGitea:"Најава со Gitea",errors:{email:"Внесете ја вашата е-пошта.",password:"Внесете ја вашата лозинка.",identitySettings:"Нема пристап до поставките. Ако користите git-gateway, не заборавајте да ја активирате услугата Identity и Git Gateway."}},app:{header:{content:"Содржина",workflow:"Работен процес",media:"Медиумски ресурси",quickAdd:"Брзо додавање"},app:{errorHeader:"Грешка при вчитувањето на CMS конфигурацијата",configErrors:"Грешки во конфигурацијата",checkConfigYml:"Проверете ја вашата config.yml датотека.",loadingConfig:"Се вчитува конфигурацијата...",waitingBackend:"Се чека одговор од серверот..."},notFoundPage:{header:"Не е пронајдено"}},collection:{sidebar:{collections:"Колекции",allCollections:"Сите колекции",searchAll:"Пребарај секаде",searchIn:"Пребарај во"},collectionTop:{sortBy:"Подреди според",viewAs:"Прикажи како",newButton:"Креирај %{collectionLabel}",ascending:"Растечки",descending:"Опаѓачки",searchResults:'Резултати од пребарувањето за "%{searchTerm}"',searchResultsInCollection:'Резултати од пребарувањето за "%{searchTerm}" во %{collection}',filterBy:"Филтрирај по",groupBy:"Групирај по"},entries:{loadingEntries:"Се вчитуваат записи...",cachingEntries:"Се кешираат записи...",longerLoading:"Ова може да потрае неколку минути",noEntries:"Нема записи"},groups:{other:"Други",negateLabel:"Не %{label}"},defaultFields:{author:{label:"Автор"},updatedOn:{label:"Ажурирано на"}}},editor:{editorControl:{field:{optional:"незадолжително"}},editorControlPane:{widget:{required:"%{fieldLabel} е задолжително.",regexPattern:"%{fieldLabel} не се совпаѓа со шаблонот: %{pattern}.",processing:"%{fieldLabel} се обработува.",range:"%{fieldLabel} мора да биде помеѓу %{minValue} и %{maxValue}.",min:"%{fieldLabel} мора да биде најмалку %{minValue}.",max:"%{fieldLabel} мора да биде %{maxValue} или помалку.",rangeCount:"%{fieldLabel} мора да има помеѓу %{minCount} и %{maxCount} елементи.",rangeCountExact:"%{fieldLabel} мора да има точно %{count} елементи.",rangeMin:"%{fieldLabel} мора да има најмалку %{minCount} елементи.",rangeMax:"%{fieldLabel} мора да има %{maxCount} или помалку елементи.",invalidPath:"'%{path}' не е валидна патека",pathExists:"Патеката '%{path}' веќе постои"},i18n:{writingInLocale:"Пишување на %{locale}",copyFromLocale:"Пополнете од друг јазик",copyFromLocaleConfirm:"Дали сакате да ги пополните податоците од јазикот %{locale}?\nСите постоечки содржини ќе бидат презапишани."}},editor:{onLeavePage:"Дали сте сигурни дека сакате да ја напуштите страницата?",onUpdatingWithUnsavedChanges:"Имате незачувани промени, зачувајте пред ажурирање на статусот.",onPublishingNotReady:'Ажурирајте го статусот на "Спремно" пред објавување.',onPublishingWithUnsavedChanges:"Имате незачувани промени, зачувајте пред објавување.",onPublishing:"Дали сте сигурни дека сакате да го објавите овој запис?",onUnpublishing:"Дали сте сигурни дека сакате да го откажете објавувањето на овој запис?",onDeleteWithUnsavedChanges:"Дали сте сигурни дека сакате да го избришете овој објавен запис и незачуваните промени од тековната сесија?",onDeletePublishedEntry:"Дали сте сигурни дека сакате да го избришете овој објавен запис?",onDeleteUnpublishedChangesWithUnsavedChanges:"Ова ќе ги избрише сите необјавени промени во овој запис и незачуваните промени од тековната сесија. Дали сакате да продолжите?",onDeleteUnpublishedChanges:"Сите необјавени промени ќе бидат избришани. Дали сакате да продолжите?",loadingEntry:"Се вчитува запис...",confirmLoadBackup:"Се пронајде локална резервна копија за овој запис. Дали сакате да ја користите?"},editorInterface:{toggleI18n:"Вклучи i18n",togglePreview:"Вклучи преглед",toggleScrollSync:"Синхронизирај скрол"},editorToolbar:{publishing:"Се објавува...",publish:"Објави",published:"Објавен",unpublish:"Откажи објавување",duplicate:"Дуплирај",unpublishing:"Откажување објава...",publishAndCreateNew:"Објави и креирај нов",publishAndDuplicate:"Објави и дуплирај",deleteUnpublishedChanges:"Избриши необјавени промени",deleteUnpublishedEntry:"Избриши необјавен запис",deletePublishedEntry:"Избриши објавен запис",deleteEntry:"Избриши запис",saving:"Се зачувува...",save:"Зачувај",statusInfoTooltipDraft:'Статусот на записот е поставен како нацрт. За да го финализирате и поднесете за преглед, поставете го статусот "Во рецензија".',statusInfoTooltipInReview:"Записот е во рецензија, не се потребни дополнителни акции. Сепак, можете да направите дополнителни промени додека е во рецензија.",deleting:"Се брише...",updating:"Се ажурира...",status:"Статус: %{status}",backCollection:" Запишување во колекцијата %{collectionLabel}",unsavedChanges:"Незачувани промени",changesSaved:"Промените се зачувани",draft:"Нацрт",inReview:"Во рецензија",ready:"Спремно",publishNow:"Објави сега",deployPreviewPendingButtonLabel:"Проверете ја верзијата во рецензија",deployPreviewButtonLabel:"Прикажи рецензија",deployButtonLabel:"Прикажи во живо"},editorWidgets:{markdown:{bold:"Задебелено",italic:"Косо",code:"Код",link:"Линк",linkPrompt:"Внесете URL за линкот",headings:"Наслови",quote:"Цитат",bulletedList:"Список со булети",numberedList:"Нумериран список",addComponent:"Додај компонента",richText:"Форматиран текст",markdown:"Markdown"},image:{choose:"Избери слика",chooseMultiple:"Избери повеќе слики",chooseUrl:"Вметни од URL",replaceUrl:"Замени со URL",promptUrl:"Внесете URL за сликата",chooseDifferent:"Избери друга слика",addMore:"Додај повеќе слики",remove:"Отстрани слика",removeAll:"Отстрани ги сите слики"},file:{choose:"Избери датотека",chooseUrl:"Вметни од URL",chooseMultiple:"Избери повеќе датотеки",replaceUrl:"Замени со URL",promptUrl:"Внесете URL за датотеката",chooseDifferent:"Избери друга датотека",addMore:"Додај повеќе датотеки",remove:"Отстрани датотека",removeAll:"Отстрани ги сите датотеки"},unknownControl:{noControl:"Нема контрола за виџетот '%{widget}'."},unknownPreview:{noPreview:"Нема преглед за виџетот '%{widget}'."},headingOptions:{headingOne:"Наслов 1",headingTwo:"Наслов 2",headingThree:"Наслов 3",headingFour:"Наслов 4",headingFive:"Наслов 5",headingSix:"Наслов 6"},datetime:{now:"Сега",clear:"Исчисти"},list:{add:"Додај %{item}",addType:"Додај %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"Нацрт",copy:"Копирај",copyUrl:"Копирај URL",copyPath:"Копирај патека",copyName:"Копирај име",copied:"Копирано"},mediaLibrary:{onDelete:"Дали сте сигурни дека сакате да го избришете избраниот медиум?",fileTooLarge:"Датотеката е преголема.\nКонфигурацијата не дозволува датотеки поголеми од %{size} kB."},mediaLibraryModal:{loading:"Се вчитува...",noResults:"Нема резултати.",noAssetsFound:"Не се пронајдени ресурси.",noImagesFound:"Не се пронајдени слики.",private:"Приватно",images:"Слики",mediaAssets:"Медиумски ресурси",search:"Пребарај...",uploading:"Се прикачува...",upload:"Прикачи",download:"Преземи",deleting:"Се брише...",deleteSelected:"Избриши ги селектираните",chooseSelected:"Избери од селектираните"}},ui:{default:{goBackToSite:"Назад кон сајтот"},errorBoundary:{title:"Грешка",details:"Се случи грешка - ве молиме ",reportIt:"пријавете проблем на GitHub.",detailsHeading:"Детали",privacyWarning:"При отворање на тикет, автоматски се пополнува со порака за грешка и податоци за дебагирање.\nПроверете дали податоците се точни и отстранете ги доверливите информации ако ги има.",recoveredEntry:{heading:"Повратен документ",warning:"Зачувајте го ова некаде пред да ја напуштите страницата!",copyButtonLabel:"Копирај во клипборд"}},settingsDropdown:{logOut:"Одјави се"},toast:{onFailToLoadEntries:"Неуспешно вчитување на запис: %{details}",onFailToLoadDeployPreview:"Неуспешно вчитување на преглед: %{details}",onFailToPersist:"Неуспешно зачувување на запис: %{details}",onFailToDelete:"Неуспешно бришење на запис: %{details}",onFailToUpdateStatus:"Неуспешно ажурирање на статусот: %{details}",missingRequiredField:"Пропуштивте задолжително поле. Ве молиме пополнете го пред да зачувате.",entrySaved:"Записот е зачуван",entryPublished:"Записот е објавен",entryUnpublished:"Записот е необјавен",onFailToPublishEntry:"Неуспешно објавување: %{details}",onFailToUnpublishEntry:"Неуспешно откажување објавување: %{details}",entryUpdated:"Статусот на записот е ажуриран",onDeleteUnpublishedChanges:"Необјавените промени се избришани",onFailToAuth:"%{details}",onLoggedOut:"Одјавени сте, зачувајте ги податоците и најавете се повторно.",onBackendDown:"Серверот има прекин. Видете %{details} за повеќе информации."}},workflow:{workflow:{loading:"Се вчитуваат записи за уредувачкиот работен процес",workflowHeading:"Уредувачки работен процес",newPost:"Нова објава",description:"%{smart_count} запис чека за рецензија, %{readyCount} подготвен за објава. |||| %{smart_count} записи чекаат за рецензија, %{readyCount} подготвени за објава.",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} од %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"од %{author}",deleteChanges:"Избриши промени",deleteNewEntry:"Избриши нов запис",publishChanges:"Објави промени",publishNewEntry:"Објави нов запис"},workflowList:{onDeleteEntry:"Дали сте сигурни дека сакате да го избришете овој запис?",onPublishingNotReadyEntry:'Само записи со статус "Спремно" можат да се објават. Повлечете ја картичката во колоната "Спремно" за да го овозможите објавувањето.',onPublishEntry:"Дали сте сигурни дека сакате да го објавите овој запис?",draftHeader:"Нацрти",inReviewHeader:"Во рецензија",readyHeader:"Спремно",currentEntries:"%{smart_count} запис |||| %{smart_count} записи"}}},Pme={auth:{login:"登录",loggingIn:"正在登录...",loginWithNetlifyIdentity:"使用 Netlify Identity 登录",loginWithAzure:"使用 Azure 登录",loginWithBitbucket:"使用 Bitbucket 登录",loginWithGitHub:"使用 GitHub 登录",loginWithGitLab:"使用 GitLab 登录",loginWithGitea:"使用 Gitea 登录",errors:{email:"请输入电子邮箱",password:"请输入密码",identitySettings:"无法连接账户认证系统(如果正在使用 git-gateway 作为 backend请确保已经开启 Netlify Identity 服务以及 Git Gateway"}},app:{header:{content:"内容",workflow:"工作流",media:"媒体",quickAdd:"快速新建"},app:{errorHeader:"加载 CMS 配置时发生错误",configErrors:"配置错误",checkConfigYml:"请检查 config.yml 文件是否配置正确",loadingConfig:"正在加载配置...",waitingBackend:"等待 backend 数据..."},notFoundPage:{header:"页面不存在"}},collection:{sidebar:{collections:"集合",allCollections:"所有集合",searchAll:"查找所有...",searchIn:"查找"},collectionTop:{sortBy:"排序",viewAs:"查看",newButton:"新建%{collectionLabel}",ascending:"升序",descending:"降序",searchResults:"有关“%{searchTerm}”的搜索结果",searchResultsInCollection:"在%{collection}中有关“%{searchTerm}”的搜索结果",filterBy:"筛选",groupBy:"分组"},entries:{loadingEntries:"正在加载内容...",cachingEntries:"正在缓存内容...",longerLoading:"这可能需要花费几分钟时间",noEntries:"暂无内容"},groups:{other:"其他",negateLabel:"非%{label}"},defaultFields:{author:{label:"作者"},updatedOn:{label:"更新于"}}},editor:{editorControl:{field:{optional:"可选"}},editorControlPane:{widget:{required:"“%{fieldLabel}”是必填项",regexPattern:"“%{fieldLabel}”不满足模式%{pattern}",processing:"“%{fieldLabel}”正在处理中",range:"“%{fieldLabel}”必须位于 %{minValue} 和 %{maxValue} 之间",min:"“%{fieldLabel}”必须至少为 %{minValue}",max:"“%{fieldLabel}”必须小于等于 %{maxValue}",rangeCount:"“%{fieldLabel}”必须包含 %{minCount} 到 %{maxCount} 个项目",rangeCountExact:"“%{fieldLabel}”必须且只能包含 %{count} 个项目",minCount:"“%{fieldLabel}”必须为至少 %{minCount} 个项目",maxCount:"“%{fieldLabel}”必须为小于等于 %{maxCount} 个项目",invalidPath:"“%{path}”为无效路径",pathExists:"路径“%{path}”已经存在"},i18n:{writingInLocale:"正在使用%{locale}撰写",copyFromLocale:"用其他语言进行填充",copyFromLocaleConfirm:"你确定要用“%{locale}”进行填充吗?\n这将会覆盖所有现有的内容。"}},editor:{onLeavePage:"你确定要离开此页面吗?",onUpdatingWithUnsavedChanges:"你有尚未保存的修改,请在更新状态前进行保存",onPublishingNotReady:"请在发布之前将状态更新为“就绪”",onPublishingWithUnsavedChanges:"你有尚未保存的修改,请在发布前进行保存",onPublishing:"你确定要发布此内容吗?",onUnpublishing:"你确定要撤销发布此内容吗?",onDeleteWithUnsavedChanges:"你确定要删除这个已经发布的内容,以及当前尚未保存的修改吗?",onDeletePublishedEntry:"你确定要删除这个已经发布的内容吗?",onDeleteUnpublishedChangesWithUnsavedChanges:"此内容所有未被发布的修改,以及当前尚未保存的修改都将被删除,你确定吗?",onDeleteUnpublishedChanges:"此内容所有未被发布的修改都将被删除,你确定吗?",loadingEntry:"正在加载内容...",confirmLoadBackup:"发现了一个对应此内容的本地备份,你要加载它吗?"},editorInterface:{toggleI18n:"打开/关闭国际化",togglePreview:"打开/关闭预览",toggleScrollSync:"同步滚动"},editorToolbar:{publishing:"正在发布...",publish:"发布",published:"已发布",unpublish:"撤销发布",duplicate:"复制",unpublishing:"正在撤销发布...",publishAndCreateNew:"发布,然后新建内容",publishAndDuplicate:"发布,然后复制内容",deleteUnpublishedChanges:"删除未发布的修改",deleteUnpublishedEntry:"删除未发布的内容",deletePublishedEntry:"删除已发布的内容",deleteEntry:"删除内容",saving:"正在保存...",save:"保存",deleting:"正在删除...",updating:"正在更新...",status:"状态: %{status}",backCollection:"正在集合“%{collectionLabel}”中编写",unsavedChanges:"含未保存的修改",changesSaved:"修改已保存",draft:"草稿",inReview:"审核中",ready:"就绪",publishNow:"立即发布",deployPreviewPendingButtonLabel:"点击以预览",deployPreviewButtonLabel:"查看预览",deployButtonLabel:"查看发布"},editorWidgets:{markdown:{bold:"粗体",italic:"斜体",code:"代码",link:"链接",linkPrompt:"输入链接的 URL",headings:"标题",quote:"引用",bulletedList:"无序列表",numberedList:"有序列表",addComponent:"添加组件",richText:"富文本",markdown:"Markdown"},image:{choose:"选择图片",chooseUrl:"从 URL 插入",replaceUrl:"用 URL 替代",promptUrl:"输入图片的 URL",chooseDifferent:"选择其他图片",remove:"移除图片"},file:{choose:"选择文件",chooseUrl:"从 URL 插入",replaceUrl:"用 URL 替代",promptUrl:"输入文件的 URL",chooseDifferent:"选择其他文件",remove:"移除文件"},unknownControl:{noControl:"'%{widget}'的控件不存在"},unknownPreview:{noPreview:"'%{widget}'无法预览"},headingOptions:{headingOne:"标题 1",headingTwo:"标题 2",headingThree:"标题 3",headingFour:"标题 4",headingFive:"标题 5",headingSix:"标题 6"},datetime:{now:"现在",clear:"清除"},list:{add:"新增%{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"草稿",copy:"复制",copyUrl:"复制 URL",copyPath:"复制路径",copyName:"复制名称",copied:"已复制"},mediaLibrary:{onDelete:"你确定要删除此媒体项目吗?",fileTooLarge:"文件体积过大\n目前的配置中不允许文件体积超过 %{size} kB"},mediaLibraryModal:{loading:"正在加载...",noResults:"暂无结果",noAssetsFound:"未找到资源",noImagesFound:"未找到图片",private:"私有",images:"图片",mediaAssets:"媒体资源",search:"搜索...",uploading:"正在上传...",upload:"上传",download:"下载",deleting:"正在下载...",deleteSelected:"删除已选中项目",chooseSelected:"选用已选中项目"}},ui:{default:{goBackToSite:"返回主页"},errorBoundary:{title:"错误",details:"程序发生了一个错误,请",reportIt:"在 Github 上发布一个 Issue",detailsHeading:"详情",privacyWarning:"发布一个 Issue 会将错误信息和调试数据预置其中\n请确保这些信息是正确的同时移除那些敏感数据",recoveredEntry:{heading:"已恢复的文档",warning:"请在切换至其他页面之前,将它复制并粘贴到某个地方",copyButtonLabel:"复制到剪贴板"}},settingsDropdown:{logOut:"注销"},toast:{onFailToLoadEntries:"加载内容失败: %{details}",onFailToLoadDeployPreview:"加载预览失败: %{details}",onFailToPersist:"保存内容失败: %{details}",onFailToDelete:"删除内容失败: %{details}",onFailToUpdateStatus:"更新状态失败: %{details}",missingRequiredField:"你漏掉了一个必填项,请在保存之前将它填写好",entrySaved:"内容已保存",entryPublished:"内容已发布",entryUnpublished:"内容已撤销发布",onFailToPublishEntry:"发布失败: %{details}",onFailToUnpublishEntry:"撤销发布失败: %{details}",entryUpdated:"内容状态已更新",onDeleteUnpublishedChanges:"未发布的修改已删除",onFailToAuth:"%{details}",onLoggedOut:"你已注销,请先保存好数据然后再次登录",onBackendDown:"Backend 服务已中断,欲知详情请查看:%{details}"}},workflow:{workflow:{loading:"正在加载编辑工作流项目",workflowHeading:"编辑工作流",newPost:"新建帖子",description:"%{smart_count} 个待审查的内容、%{readyCount} 个已就绪的内容 |||| %{smart_count} 个待检查的内容、%{readyCount} 个已就绪的内容",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} 由 %{author} 修改",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"由 %{author} 修改",deleteChanges:"删除修改",deleteNewEntry:"删除新内容",publishChanges:"发布修改",publishNewEntry:"发布新内容"},workflowList:{onDeleteEntry:"你确定要删除此内容吗?",onPublishingNotReadyEntry:"只有状态为“就绪”的项目才能被发布。需要先将卡片拖动到“就绪”一列才能发布",onPublishEntry:"你确定要发布此内容吗?",draftHeader:"草稿",inReviewHeader:"审查中",readyHeader:"就绪",currentEntries:"%{smart_count} 个内容 |||| %{smart_count} 个内容"}}},Dme={auth:{login:"התחברות",loggingIn:"התחברות...",loginWithNetlifyIdentity:"התחברות עם Netlify Identity",loginWithAzure:"התחברות עם Azure",loginWithBitbucket:"התחברות עם Bitbucket",loginWithGitHub:"התחברות עם GitHub",loginWithGitLab:"התחברות עם GitLab",loginWithGitea:"התחברות עם Gitea",errors:{email:"נא לא לשכוח להקליד את כתובת המייל",password:"נא להקליד את הסיסמה.",identitySettings:"הגדרות אימות הזהות אינן נגישות. כאשר משתמשים ב-git-gateway כשירות ה-backend יש לוודא ששירות אימות הזהות ו-Git Gateway הופעלו."}},app:{header:{content:"תוכן",workflow:"ניהול אייטמים לפני הפרסום",media:"מדיה",quickAdd:"הוספה מהירה"},app:{errorHeader:"אירעה שגיאה בטעינת הגדרות מערכת ניהול התוכן",configErrors:"שגיאות בהגדרות",checkConfigYml:"יש לבדוק את הקובץ config.yml.",loadingConfig:"טעינת הגדרות...",waitingBackend:"ממתין לטעינת ה-backend..."},notFoundPage:{header:"לא נמצא"}},collection:{sidebar:{collections:"קטגוריות",allCollections:"כל הקטגוריות",searchAll:"חיפוש כללי",searchIn:"חיפוש בקטגוריית"},collectionTop:{sortBy:"מיון לפי",viewAs:"תצוגה לפי",newButton:"חדש %{collectionLabel}",ascending:"בסדר עולה",descending:"בסדר יורד",searchResults:'תוצאות חיפוש עבור "%{searchTerm}"',searchResultsInCollection:'תוצאות חיפוש עבור "%{searchTerm}" ב%{collection}',filterBy:"סינון לפי",groupBy:"ארגון לפי"},entries:{loadingEntries:"טעינת אייטמים...",cachingEntries:"שמירת אייטמים בזכרון המטמון",longerLoading:"התהליך עשוי להימשך כמה דקות",noEntries:"לא נמצאו אייטמים"},groups:{other:"אחר",negateLabel:"לא %{label}"},defaultFields:{author:{label:"מאת"},updatedOn:{label:"עודכן בתאריך"}}},editor:{editorControl:{field:{optional:"רשות"}},editorControlPane:{widget:{required:"%{fieldLabel} הוא שדה חובה.",regexPattern:"%{fieldLabel} לא תואם לדפוס %{pattern}.",processing:"%{fieldLabel} מעובד.",range:"%{fieldLabel} חייב להיות בין %{minValue} לבין %{maxValue}.",min:"%{fieldLabel} חייב להיות לפחות %{minValue}.",max:"%{fieldLabel} חייב להיות %{maxValue} או פחות.",rangeCount:"%{fieldLabel} חייב לכלול בין %{minCount} לבין %{maxCount} אייטמים.",rangeCountExact:"%{fieldLabel} חייב לכלול בדיוק %{count} אייטמים.",rangeMin:"%{fieldLabel} חייב לכלול לפחות %{minCount} אייטמים",rangeMax:"%{fieldLabel} חייב לכלול %{maxCount} אייטמים לכל היותר.",invalidPath:"'%{path}' אינו URL תקין",pathExists:"'%{path}' כבר קיים"},i18n:{writingInLocale:"כתיבה בשפה ה%{locale}"}},editor:{onLeavePage:"האם ברצונך לעבור לעמוד אחר ללא שמירה?",onUpdatingWithUnsavedChanges:"בוצעו שינויים שלא נשמרו. יש לבצע שמירה לפני עדכון מצב האייטם.",onPublishingNotReady:"נא לשנות את מצב האייטם ל״מוכן לפרסום״ לפני הפרסום.",onPublishingWithUnsavedChanges:"בוצעו שינויים שלא נשמרו. יש לבצע שמירה לפני הפרסום.",onPublishing:"האם ברצונך לפרסם את האייטם?",onUnpublishing:"האם ברצונך לבטל את פרסום האייטם?",onDeleteWithUnsavedChanges:"האם ברצונך למחוק את האייטם הזה לפני פרסומו, וכן את השינויים שבוצעו כעת וטרם נשמרו?",onDeletePublishedEntry:"האם ברצונך למחוק את האייטם הזה לאחר פרסומו?",onDeleteUnpublishedChangesWithUnsavedChanges:"פעולה זו תמחק את כל השינויים שבוצעו באייטם זה ולא פורסמו, וכן את השינויים שבוצעו כעת וטרם נשמרו. האם ברצונך למחוק?",onDeleteUnpublishedChanges:"כל השינויים שבוצעו באייטם זה ולא פורסמו יימחקו. האם ברצונך למחוק אותו?",loadingEntry:"טעינת אייטם...",confirmLoadBackup:"קיים עותק מקומי שמור של האייטם. האם ברצונך לטעון אותו?"},editorInterface:{toggleI18n:"החלפת שפות",togglePreview:"הפעלת תצוגה מקדימה",toggleScrollSync:"סנכרון הגלילה"},editorToolbar:{publishing:"פרסום...",publish:"פרסום",published:"פורסם",unpublish:"ביטול הפרסום",duplicate:"שכפול",unpublishing:"ביטול הפרסום...",publishAndCreateNew:"פרסום ויצירת אייטם חדש",publishAndDuplicate:"פרסום ושכפול",deleteUnpublishedChanges:"מחיקת השינויים שלא פורסמו",deleteUnpublishedEntry:"מחיקת אייטם שטרם פורסם",deletePublishedEntry:"מחיקת אייטם שפורסם",deleteEntry:"מחיקת האייטם",saving:"שמירה...",save:"שמירה",statusInfoTooltipDraft:"האייטם מוגדר כטיוטה. כדי להשלים את הפעולה ולהעביר אותו למצב ״ממתין לאישור״ יש להעביר אותו למצב ״ממתין לאישור״",statusInfoTooltipInReview:"האייטם ממתין לאישור - לא נדרשת פעולה נוספת. ניתן עדיין לבצע שינויים בעת שהאייטם ממתין לאישור.",deleting:"מחיקה...",updating:"עדכון...",status:"מצב: %{status}",backCollection:"כתיבה בקטגוריית %{collectionLabel}",unsavedChanges:"שינויים לא שמורים",changesSaved:"השינויים נשמרו",draft:"טיוטה",inReview:"ממתין לאישור",ready:"מוכן לפרסום",publishNow:"פרסום מיידי",deployPreviewPendingButtonLabel:"בדיקת תצוגה מקדימה",deployPreviewButtonLabel:"צפייה בתצוגה מקדימה",deployButtonLabel:"צפייה באתר"},editorWidgets:{markdown:{bold:"מודגש",italic:"נטוי",code:"קוד",link:"קישור",linkPrompt:"נא להקליד את הכתובת לקישור",headings:"כותרת",quote:"ציטוט",bulletedList:"רשימה לא-ממוספרת",numberedList:"רשימה ממוספרת",addComponent:"הוספת רכיב",richText:"טקסט עשיר",markdown:"Markdown"},image:{choose:"בחירת תמונה",chooseUrl:"הוספה מכתובת אינטרנט",replaceUrl:"החלפת תמונה מכתובת אינטרנט",promptUrl:"נא להכניס את ה-URL של התמונה",chooseDifferent:"בחירת תמונה אחרת",remove:"הסרת תמונה"},file:{choose:"בחירת קובץ",chooseUrl:"הוספה מכתובת אינטרנט",replaceUrl:"החלפת קובץ מכתובת אינטרנט",promptUrl:"נא להכניס את ה-URL של הקובץ",chooseDifferent:"בחירת קובץ אחר",remove:"הסרת קובץ"},unknownControl:{noControl:"לא הוגדרו פעולות ל'%{widget}'."},unknownPreview:{noPreview:"אין תצוגה מקדימה ל'%{widget}'."},headingOptions:{headingOne:"כותרת 1",headingTwo:"כותרת 2",headingThree:"כותרת 3",headingFour:"כותרת 4",headingFive:"כותרת 5",headingSix:"כותרת 6"},datetime:{now:"עכשיו",clear:"ניקוי"},list:{add:"הוספת %{item}",addType:"הוספת אייטם מסוג %{item}"}}},mediaLibrary:{mediaLibraryCard:{draft:"טיוטה",copy:"העתקה",copyUrl:"העתקת ה-URL",copyPath:"העתקת הנתיב",copyName:"העתקת השם",copied:"העתקה הושלמה"},mediaLibrary:{onDelete:"האם ברצונך למחוק את פריט המדיה הזה?",fileTooLarge:"הקובץ גדול מדי.\nמוגדר לא לאפשר העלאת קבצים גדולים מ-%{size} קילובייט."},mediaLibraryModal:{loading:"טעינה...",noResults:"לא נמצאו תוצאות.",noAssetsFound:"לא נמצאו קבצים.",noImagesFound:"לא נמצאו תמונות.",private:"פרטי ",images:"תמונות",mediaAssets:"קבצי מדיה",search:"חיפוש...",uploading:"העלאה...",upload:"העלאה",download:"הורדה",deleting:"מחיקה...",deleteSelected:"למחוק את הקובץ המסומן",chooseSelected:"לבחור את הקובץ המסומן"}},ui:{default:{goBackToSite:"בחזרה לאתר"},errorBoundary:{title:"שגיאה",details:"אירעה שגיאה. נא ",reportIt:"דווחו על הבעיה ב-GitHub.",detailsHeading:"פרטים",privacyWarning:"פתיחת Issue מעתיקה את הודעת השגיאה ונתונים רלוונטיים לאיתור הבעיה (debugging).\nיש לוודא שהמידע מדויק ולמחוק נתונים אישיים כלשהם.",recoveredEntry:{heading:"מסמך משוחזר",warning:"נא להעתיק ולהדביק את זה לפני ניווט לחלון אחר!",copyButtonLabel:"העתקה"}},settingsDropdown:{logOut:"התנתקות"},toast:{onFailToLoadEntries:"טעינת האייטם %{details} נכשלה",onFailToLoadDeployPreview:"טעינת התצוגה המקדימה של האייטם %{details} נכשלה",onFailToPersist:"אחסון האייטם %{details} נכשל",onFailToDelete:"מחיקת האייטם %{details} נכשלה",onFailToUpdateStatus:"עדכון מצב האייטם %{details} נכשל",missingRequiredField:"אופס, שכחת למלא שדה חובה. נא להשלים את המידע החסר לפני השמירה",entrySaved:"האייטם נשמר",entryPublished:"האייטם פורסם",entryUnpublished:"האייטם הועבר לטיוטות",onFailToPublishEntry:"פרסום האייטם %{details} נכשל",onFailToUnpublishEntry:"ביטול פרסום האייטם %{details} נכשל",entryUpdated:"מצב האייטם עודכן",onDeleteUnpublishedChanges:"השינויים שלא פורסמו נמחקו",onFailToAuth:"%{details}",onLoggedOut:"נותקת מהמערכת. יש לגבות מידע לא שמור ולהתחבר שוב",onBackendDown:"ה-backend המוגדר אינו זמין. ראו %{details} למידע נוסף"}},workflow:{workflow:{loading:"טעינת אייטמים",workflowHeading:"ניהול אייטמים לפני הפרסום",newPost:"אייטם חדש",description:"%אייטם {smart_count} ממתין לאישור, אייטם %{readyCount} מוכן לפרסום |||| %{smart_count} אייטמים ממתינים לאישור, %{readyCount} מוכנים לפרסום",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date} מאת %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"מאת %{author}",deleteChanges:"למחוק את השינויים",deleteNewEntry:"למחוק אייטם חדש",publishChanges:"פרסום השינויים",publishNewEntry:"פרסום אייטם חדש"},workflowList:{onDeleteEntry:"האם ברצונך למחוק אייטם זה?",onPublishingNotReadyEntry:"ניתן לפרסם רק אייטמים שנמצאים במצב ״מוכן לפרסום״. נא לגרור את האייטם לטור ״מוכן לפרסום״ כדי לפרסם.",onPublishEntry:"האם ברצונך לפרסם אייטם זה?",draftHeader:"טיוטות",inReviewHeader:"ממתין לאישור",readyHeader:"מוכן לפרסום",currentEntries:"אייטם %{smart_count} |||| %{smart_count} אייטמים"}}},Rme={auth:{login:"Увійти",loggingIn:"Вхід...",loginWithNetlifyIdentity:"Увійти через Netlify Identity",loginWithAzure:"Увійти через Azure",loginWithBitbucket:"Увійти через Bitbucket",loginWithGitHub:"Увійти через GitHub",loginWithGitLab:"Увійти через GitLab",loginWithGitea:"Увійти через Gitea",errors:{email:"Введіть ваш email.",password:"Введіть пароль.",identitySettings:"Немає доступу до налаштувань. Якщо використовуєте git-gateway, переконайтеся, що включили Identity service та Git Gateway."}},app:{header:{content:"Записи",workflow:"Документообіг",media:"Медіафайли",quickAdd:"Швидке додавання"},app:{errorHeader:"Помилка завантаження конфігурації CMS",configErrors:"Помилки конфігурації",checkConfigYml:"Перевірте свій config.yml файл.",loadingConfig:"Завантаження конфігурації...",waitingBackend:"Очікування відповіді від бекенду..."},notFoundPage:{header:"Не знайдено"}},collection:{sidebar:{collections:"Колекції",allCollections:"Всі колекції",searchAll:"Шукати всюди",searchIn:"Шукати в"},collectionTop:{sortBy:"Сортувати за",viewAs:"Вигляд",newButton:"Створити %{collectionLabel}",ascending:"За зростанням",descending:"За спаданням",searchResults:'Результати по запиту "%{searchTerm}"',searchResultsInCollection:'Результати по запиту "%{searchTerm}" в %{collection}',filterBy:"Фільтрувати за",groupBy:"Групувати за"},entries:{loadingEntries:"Завантаження записів...",cachingEntries:"Кешування записів...",longerLoading:"Це може зайняти деякий час",noEntries:"Немає записів"},groups:{other:"Інша",negateLabel:"Не %{label}"},defaultFields:{author:{label:"Автор"},updatedOn:{label:"Оновлено"}}},editor:{editorControl:{field:{optional:"необов’язковий"}},editorControlPane:{widget:{required:"Необхідно вказати значення поля %{fieldLabel}.",regexPattern:"Значення поля %{fieldLabel} не відповідає шаблону: %{pattern}.",processing:"Значення поля %{fieldLabel} обробляється…",range:"Значення поля %{fieldLabel} повинно бути між %{minValue} і %{maxValue}.",min:"Значення поля %{fieldLabel} повинно бути не менше %{minValue}.",max:"Значення поля %{fieldLabel} повинно бути %{maxValue} або менше.",rangeCount:"%{fieldLabel} повинно містити від %{minCount} до %{maxCount} елементів.",rangeCountExact:"%{fieldLabel} повинно містити строго %{count} елементів.",rangeMin:"%{fieldLabel} повинно містити не менше %{minCount} елементів.",rangeMax:"%{fieldLabel} повинно містити %{maxCount} або менше елементів.",invalidPath:"Шлях '%{path}' містить помилки",pathExists:"Шлях '%{path}' вже існує"},i18n:{writingInLocale:"Пишемо на %{locale}"}},editor:{onLeavePage:"Ви впевнені, що хочете залишити цю сторінку?",onUpdatingWithUnsavedChanges:"У вас є незбережені зміни, будь ласка, збережіть їх перед оновленням статусу.",onPublishingNotReady:"Будь ласка, змініть статус на «Готово» перед публікацією.",onPublishingWithUnsavedChanges:"У вас є незбережені зміни, будь ласка, збережіть їх перед публікацією.",onPublishing:"Ви впевнені, що хочете опублікувати цей запис?",onUnpublishing:"Ви впевнені, що хочете скасувати публікацію цієї записи?",onDeleteWithUnsavedChanges:"Ви впевнені, що хочете видалити цю опубліковану запис, а також незбережені зміни з поточного сеансу?",onDeletePublishedEntry:"Ви впевнені, що хочете видалити цю опубліковану запис?",onDeleteUnpublishedChangesWithUnsavedChanges:"Це видалить всі неопубліковані зміни в цій записі, а також ваші незбережені зміни з поточного сеансу. Ви все ще хочете видалити?",onDeleteUnpublishedChanges:"Всі неопубліковані зміни в цій записі будуть видалені. Ви все ще хочете видалити?",loadingEntry:"Завантаження запису ...",confirmLoadBackup:"Для цієї запису була відновлена локальна резервна копія, хочете використовувати її?"},editorToolbar:{publishing:"Публікація...",publish:"Опублікувати",published:"Опубліковано",unpublish:"Скасувати публікацію",duplicate:"Дублювати",unpublishing:"Скасування публікації...",publishAndCreateNew:"Опублікувати і створити нову",publishAndDuplicate:"Опублікувати і дублювати",deleteUnpublishedChanges:"Видалити неопубліковані зміни",deleteUnpublishedEntry:"Видалити неопубліковану запис",deletePublishedEntry:"Видалити опубліковану запис",deleteEntry:"Видалити запис",saving:"Збереження...",save:"Зберегти",deleting:"Видалення...",updating:"Оновлення...",status:"Статус: %{status}",backCollection:"Запис в колекцію %{collectionLabel}",unsavedChanges:"Незбережені зміни",changesSaved:"Зміни збережені",draft:"Чернетка",inReview:"На розгляді",ready:"Готово до публікації",publishNow:"Опублікувати зараз",deployPreviewPendingButtonLabel:"Перевірити попередній перегляд",deployPreviewButtonLabel:"Попередній перегляд",deployButtonLabel:"Перегляд"},editorWidgets:{markdown:{bold:"Напівжирний",italic:"Курсив",code:"Код",link:"Посилання",linkPrompt:"Введіть URL посилання",headings:"Заголовки",quote:"Цитата",bulletedList:"Маркований список",numberedList:"Нумерований список",addComponent:"Додати компонент",richText:"Форматований текст",markdown:"Markdown"},image:{choose:"Обрати зображення",chooseUrl:"Вставити з URL",replaceUrl:"Замінити на URL",promptUrl:"Введіть URL зображення",chooseDifferent:"Обрати інше зображення",remove:"Видалити зображення"},file:{choose:"Обрати файл",chooseUrl:"Вставити з URL",replaceUrl:"Замінити на URL",promptUrl:"Введіть URL файлу",chooseDifferent:"Обрати інший файл",remove:"Видалити файл"},unknownControl:{noControl:"Немає контролу для віджета '%{widget}'."},unknownPreview:{noPreview:"Немає попереднього перегляду для віджета '%{widget}'."},headingOptions:{headingOne:"Заголовок 1",headingTwo:"Заголовок 2",headingThree:"Заголовок 3",headingFour:"Заголовок 4",headingFive:"Заголовок 5",headingSix:"Заголовок 6"},datetime:{now:"Зараз"}}},mediaLibrary:{mediaLibraryCard:{draft:"Чорновик",copy:"Копіювати",copyUrl:"Копіювати URL",copyPath:"Копіювати шлях",copyName:"Копіювати ім’я",copied:"Скопійовано"},mediaLibrary:{onDelete:"Ви впевнені, що хочете видалити вибраний медіафайл?",fileTooLarge:"Файл занадто великий.\nНалаштування не дозволяють зберігати файли більше %{size} kB."},mediaLibraryModal:{loading:"Завантаження медіафайлів…",noResults:"Немає результатів.",noAssetsFound:"Ресурси не знайдені.",noImagesFound:"Зображення не знайдені.",private:"Приватні ",images:"Зображення",mediaAssets:"Медіаресурси",search:"Йде пошук…",uploading:"Завантаження…",upload:"Завантажити новий",download:"Завантажити",deleting:"Видалення…",deleteSelected:"Видалити позначені",chooseSelected:"Вибрати позначені"}},ui:{default:{goBackToSite:"Повернутися на сайт"},errorBoundary:{title:"Помилка",details:"Сталася помилка. Будь ласка, ",reportIt:"повідомте про неї.",detailsHeading:"Деталі",privacyWarning:"При відкритті тікету автоматично заповнюється повідомленням про помилку та відлагоджувальною інформацією.\nБудь ласка, перевірте, що дані є вірними та не містять конфіденційної інформації.",recoveredEntry:{heading:"Відновлений документ",warning:"Будь ласка, скопіюйте це повідомлення кудись, перед тим як залишити сторінку!",copyButtonLabel:"Скопіювати до буферу обміну"}},settingsDropdown:{logOut:"Вийти"},toast:{onFailToLoadEntries:"Не вдалося завантажити запис: %{details}",onFailToLoadDeployPreview:"Не вдалося завантажити попередній перегляд: %{details}",onFailToPersist:"Не вдалося зберегти запис: %{details}",onFailToDelete:"Не вдалося видалити запис: %{details}",onFailToUpdateStatus:"Не вдалося оновити статус: %{details}",missingRequiredField:"На жаль, ви пропустили обов'язкове поле. Будь ласка, заповніть перед збереженням.",entrySaved:"Запис збережений",entryPublished:"Запис опублікований",entryUnpublished:"Публікація запису скасована",onFailToPublishEntry:"Не вдалося опублікувати запис: %{details}",onFailToUnpublishEntry:"Не вдалося скасувати публікацію запису: %{details}",entryUpdated:"Статус запису оновлено",onDeleteUnpublishedChanges:"Неопубліковані зміни видалені",onFailToAuth:"%{details}",onLoggedOut:"Ви вийшли. Будь ласка, збережіть усі дані та увійдіть знову",onBackendDown:"Трапилися збої в роботі бекенду. Див. %{details}"}},workflow:{workflow:{loading:"Завантаження записів редакційного документообігу",workflowHeading:"Редакційний документообіг",newPost:"Новий запис",description:"Кількість записів, очікуючих перевірки - %{smart_count}, готових до публікації - %{readyCount}. |||| Кількість записів, очікуючих перевірки - %{smart_count}, готових до публікації - %{readyCount}.",dateFormat:"MMMM D"},workflowCard:{lastChange:"%{date}, %{author}",lastChangeNoAuthor:"%{date}",lastChangeNoDate:"%{author}",deleteChanges:"Видалити зміни",deleteNewEntry:"Видалити новий запис",publishChanges:"Опублікувати зміни",publishNewEntry:"Опублікувати новий запис"},workflowList:{onDeleteEntry:"Ви впевнені, що хочете видалити цей запис?",onPublishingNotReadyEntry:'Лише елементи зі статусом "Готово" можуть бути опубліковані. Перетягніть картку в стовпчик "Схвалено", щоб дозволити публікацію.',onPublishEntry:"Ви впевнені, що хочете опублікувати цей запис?",draftHeader:"Чернетки",inReviewHeader:"На розгляді",readyHeader:"Схвалено",currentEntries:"%{smart_count} запис |||| %{smart_count} записів"}}};function Ime(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Mme(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}_P.registerBackend("git-gateway",class{constructor(e,t={}){var n;Ez(this,"config",void 0),Ez(this,"api",void 0),Ez(this,"branch",void 0),Ez(this,"squashMerges",void 0),Ez(this,"cmsLabelPrefix",void 0),Ez(this,"mediaFolder",void 0),Ez(this,"transformImages",void 0),Ez(this,"gatewayUrl",void 0),Ez(this,"netlifyLargeMediaURL",void 0),Ez(this,"backendType",void 0),Ez(this,"apiUrl",void 0),Ez(this,"authClient",void 0),Ez(this,"backend",void 0),Ez(this,"acceptRoles",void 0),Ez(this,"tokenPromise",void 0),Ez(this,"_largeMediaClientPromise",void 0),Ez(this,"options",void 0),Ez(this,"requestFunction",(e=>this.tokenPromise().then((t=>mo.withHeaders({Authorization:`Bearer ${t}`},e))).then(mo.performRequest))),this.options=kz({proxied:!0,API:null,initialWorkflowStatus:""},t),this.config=e,this.branch=(null===(n=e.backend.branch)||void 0===n?void 0:n.trim())||"master",this.squashMerges=e.backend.squash_merges||!1,this.cmsLabelPrefix=e.backend.cms_label_prefix||"",this.mediaFolder=e.media_folder;const{use_large_media_transforms_in_media_library:r=!0}=e.backend;this.transformImages=r;const i=localStorage.getItem("netlifySiteURL");this.apiUrl=Oz(e.backend.identity_url||"/.netlify/identity",i),this.gatewayUrl=Oz(e.backend.gateway_url||"/.netlify/git",i),this.netlifyLargeMediaURL=Oz(e.backend.large_media_url||"/.netlify/large-media",i);const o=/\/(github|gitlab|bitbucket)\/?$/,a=this.gatewayUrl.match(o);a?(this.backendType=a[1],this.gatewayUrl=this.gatewayUrl.replace(o,"")):this.backendType=null,this.backend=null,uz.authClient=()=>this.getAuthClient()}isGitBackend(){return!0}async status(){const e=await fetch(Sz).then((e=>e.json())).then((e=>e.components.filter((e=>Az.includes(e.name))).every((e=>"operational"===e.status)))).catch((e=>(console.warn("Failed getting Git Gateway status",e),!0)));let t=!1;var n;return e&&(t=await(null===(n=this.tokenPromise)||void 0===n?void 0:n.call(this).then((e=>!!e)).catch((e=>(console.warn("Failed getting Identity token",e),!1))))||!1),{auth:{status:t},api:{status:e,statusPage:Cz}}}async getAuthClient(){if(this.authClient)return this.authClient;if(await Pz,window.netlifyIdentity)this.authClient={logout:()=>{var e;return null===(e=window.netlifyIdentity)||void 0===e?void 0:e.logout()},currentUser:()=>{var e;return null===(e=window.netlifyIdentity)||void 0===e?void 0:e.currentUser()},clearStore:()=>{var e;const t=null===(e=window.netlifyIdentity)||void 0===e?void 0:e.store;t&&(t.user=null,t.modal.page="login",t.saving=!1)}};else{const e=new YF.Z({APIUrl:this.apiUrl});this.authClient={logout:()=>{const t=e.currentUser();if(t)return t.logout()},currentUser:()=>e.currentUser(),login:e.login.bind(e),clearStore:()=>{}}}return this.authClient}authenticate(e){const t=e;return this.tokenPromise=async()=>{try{const e=t.jwt.bind(t);return await e()}catch(e){throw new tB(`Failed getting access token: ${e.message}`)}},this.tokenPromise().then((async e=>{if(!this.backendType){const{github_enabled:t,gitlab_enabled:n,bitbucket_enabled:r,roles:i}=await mo.fetchWithTimeout(`${this.gatewayUrl}/settings`,{headers:{Authorization:`Bearer ${e}`}}).then((async e=>{const t=e.headers.get("Content-Type")||"";if(!t.includes("application/json")&&!t.includes("text/json"))throw new vo("Your Git Gateway backend is not returning valid settings. Please make sure it is enabled.",e.status,"Git Gateway");const n=await e.json();if(!e.ok)throw new vo(`Git Gateway Error: ${n.message?n.message:n}`,e.status,"Git Gateway");return n}));this.acceptRoles=i,t?this.backendType="github":n?this.backendType="gitlab":r&&(this.backendType="bitbucket")}if(this.acceptRoles&&this.acceptRoles.length>0){const t=Ci()(function(e,t){if("string"!=typeof e)throw new QF("Invalid token specified");var n=!0===(t=t||{}).header?0:1;try{return JSON.parse(function(e){var t=e.replace(/-/g,"+").replace(/_/g,"/");switch(t.length%4){case 0:break;case 2:t+="==";break;case 3:t+="=";break;default:throw"Illegal base64url string!"}try{return function(e){return decodeURIComponent(XF(e).replace(/(.)/g,(function(e,t){var n=t.charCodeAt(0).toString(16).toUpperCase();return n.length<2&&(n="0"+n),"%"+n})))}(t)}catch(e){return XF(t)}}(e.split(".")[n]))}catch(e){throw new QF("Invalid token specified: "+e.message)}}(e),"app_metadata.roles",[]);if(!(VF()(t,this.acceptRoles).length>0))throw new Error("You don't have sufficient permissions to access Decap CMS")}const n={name:t.user_metadata.full_name||t.email.split("@").shift(),email:t.email,avatar_url:t.user_metadata.avatar_url,metadata:t.user_metadata},r={apiRoot:`${this.gatewayUrl}/${this.backendType}`,branch:this.branch,tokenPromise:this.tokenPromise,commitAuthor:KF()(n,["name","email"]),isLargeMedia:e=>this.isLargeMediaFile(e),squashMerges:this.squashMerges,cmsLabelPrefix:this.cmsLabelPrefix,initialWorkflowStatus:this.options.initialWorkflowStatus};if("github"===this.backendType?(this.api=new QB(r),this.backend=new rF(this.config,kz(kz({},this.options),{},{API:this.api}))):"gitlab"===this.backendType?(this.api=new ez(r),this.backend=new RF(this.config,kz(kz({},this.options),{},{API:this.api}))):"bitbucket"===this.backendType&&(this.api=new PB(kz(kz({},r),{},{requestFunction:this.requestFunction,hasWriteAccess:async()=>!0})),this.backend=new KB(this.config,kz(kz({},this.options),{},{API:this.api}))),!await this.api.hasWriteAccess())throw new Error("You don't have sufficient permissions to access Decap CMS");return{name:n.name,login:n.email,avatar_url:n.avatar_url}}))}async restoreUser(){const e=(await this.getAuthClient()).currentUser();return e?this.authenticate(e):Promise.reject()}authComponent(){return uz}async logout(){const e=await this.getAuthClient();try{e.logout()}catch(t){e.clearStore()}}getToken(){return this.tokenPromise()}async entriesByFolder(e,t,n){return this.backend.entriesByFolder(e,t,n)}allEntriesByFolder(e,t,n,r){return this.backend.allEntriesByFolder(e,t,n,r)}entriesByFiles(e){return this.backend.entriesByFiles(e)}getEntry(e){return this.backend.getEntry(e)}async unpublishedEntryDataFile(e,t,n,r){return this.backend.unpublishedEntryDataFile(e,t,n,r)}async isLargeMediaFile(e){const t=await this.getLargeMediaClient();return t.enabled&&t.matchPath(e)}async unpublishedEntryMediaFile(e,t,n,r){if(await this.isLargeMediaFile(n)){const i=this.backend.getBranch(e,t),{url:o,blob:a}=await this.getLargeMediaDisplayURL({path:n,id:r},i);return{id:r,name:Wo(n),path:n,url:o,displayURL:o,file:new File([a],Wo(n)),size:a.size}}return this.backend.unpublishedEntryMediaFile(e,t,n,r)}getMedia(e=this.mediaFolder){return this.backend.getMedia(e)}getLargeMediaClient(){return this._largeMediaClientPromise||(this._largeMediaClientPromise=this._getLargeMediaClient()),this._largeMediaClientPromise}_getLargeMediaClient(){const e=this.api.readFile(".lfsconfig").then((e=>eB().decode(e))).then((({lfs:{url:e}})=>new URL(e))).then((e=>({enabled:e.hostname.endsWith("netlify.com")||e.hostname.endsWith("netlify.app")}))).catch((e=>({enabled:!1,err:e}))),t=this.api.readFile(".gitattributes").then((e=>bB(e))).then((e=>({err:null,patterns:e}))).catch((e=>e.message.includes("404")?(console.log("This 404 was expected and handled appropriately."),{err:null,patterns:[]}):{err:e,patterns:[]}));return Promise.all([e,t]).then((([{enabled:e},{patterns:t,err:n}])=>{const r=e&&!n;return e&&n&&console.error(n),i={enabled:r,rootURL:this.netlifyLargeMediaURL,makeAuthorizedRequest:this.requestFunction,patterns:t,transformImages:!!this.transformImages&&{nf_resize:"fit",w:560,h:320}},oB()([Object.keys,Ui()((e=>[e,wz(i,_z[e])])),rB(),e=>hz(hz({},e),{},{patterns:i.patterns,enabled:i.enabled})])(_z);var i}))}async getLargeMediaDisplayURL({path:e,id:t},n=this.branch){const r=await Xo([{path:e,id:t}],((e,t,{parseText:r})=>this.api.readFile(e,t,{branch:n,parseText:r})),this.api.readFileMetadata.bind(this.api),"Git-Gateway"),i=r[0],o=mB(i.data);if(!o.sha)return console.warn(`Failed parsing pointer file ${e}`),{url:e,blob:new Blob};const a=await this.getLargeMediaClient(),{url:s,blob:l}=await a.getDownloadURL(o);return{url:s,blob:l}}async getMediaDisplayURL(e){const{path:t,id:n}=e;if(await this.isLargeMediaFile(t)){const{url:e}=await this.getLargeMediaDisplayURL({path:t,id:n});return e}return"string"==typeof e?e:await this.backend.getMediaDisplayURL(e)}async getMediaFile(e){if(await this.isLargeMediaFile(e)){const{url:t,blob:n}=await this.getLargeMediaDisplayURL({path:e,id:null});return{id:t,name:Wo(e),path:e,url:t,displayURL:t,file:new File([n],Wo(e)),size:n.size}}return this.backend.getMediaFile(e)}async persistEntry(e,t){const n=await this.getLargeMediaClient();if(n.enabled){const r=await _B(n,e.assets);return this.backend.persistEntry(kz(kz({},e),{},{assets:r}),t)}return this.backend.persistEntry(e,t)}async persistMedia(e,t){const{fileObj:n,path:r}=e,i=n?URL.createObjectURL(n):"",o=await this.getLargeMediaClient(),a=r.startsWith("/")?r.slice(1):r;if(await this.isLargeMediaFile(a)){const e=await wB(o,n,r);return kz(kz({},await this.backend.persistMedia(e,t)),{},{displayURL:i})}return await this.backend.persistMedia(e,t)}deleteFiles(e,t){return this.backend.deleteFiles(e,t)}async getDeployPreview(e,t){let n=await this.backend.getDeployPreview(e,t);if(!n)try{const r=new URL(localStorage.getItem("netlifySiteURL")||"").hostname,i=await Dz(r),o=await Dz(`${i.id}/deploys?per_page=100`);if(o.length>0){const r=await this.api.getUnpublishedEntrySha(e,t),i=o.find((e=>e.commit_ref===r));i&&(n={status:"ready"===i.state?VP.Success:VP.Other,url:i.deploy_url})}}catch(e){}return n}unpublishedEntries(){return this.backend.unpublishedEntries()}unpublishedEntry({id:e,collection:t,slug:n}){return this.backend.unpublishedEntry({id:e,collection:t,slug:n})}updateUnpublishedEntryStatus(e,t,n){return this.backend.updateUnpublishedEntryStatus(e,t,n)}deleteUnpublishedEntry(e,t){return this.backend.deleteUnpublishedEntry(e,t)}publishUnpublishedEntry(e,t){return this.backend.publishUnpublishedEntry(e,t)}traverseCursor(e,t){return this.backend.traverseCursor(e,t)}}),_P.registerBackend("azure",class{constructor(e,t={}){wR(this,"lock",void 0),wR(this,"api",void 0),wR(this,"options",void 0),wR(this,"repo",void 0),wR(this,"branch",void 0),wR(this,"apiRoot",void 0),wR(this,"apiVersion",void 0),wR(this,"token",void 0),wR(this,"squashMerges",void 0),wR(this,"cmsLabelPrefix",void 0),wR(this,"mediaFolder",void 0),wR(this,"previewContext",void 0),wR(this,"_mediaDisplayURLSem",void 0),this.options=bR({initialWorkflowStatus:""},t),this.repo=function(e){const{repo:t}=e.backend;if("string"!=typeof t)throw new Error('The Azure backend needs a "repo" in the backend configuration.');const n=t.split("/");if(3!==n.length)throw new Error("The Azure backend must be in a the format of {org}/{project}/{repo}");const[r,i,o]=n;return{org:r,project:i,repoName:o}}(e),this.branch=e.backend.branch||"master",this.apiRoot=e.backend.api_root||"https://dev.azure.com",this.apiVersion=e.backend.api_version||"6.1-preview",this.token="",this.squashMerges=e.backend.squash_merges||!1,this.cmsLabelPrefix=e.backend.cms_label_prefix||"",this.mediaFolder=Oi()(e.media_folder,"/"),this.previewContext=e.backend.preview_context||"",this.lock=So()}isGitBackend(){return!0}async status(){const e=await this.api.user().then((e=>!!e)).catch((e=>(console.warn("Failed getting Azure user",e),!1)))||!1;return{auth:{status:e},api:{status:!0,statusPage:""}}}authComponent(){return gD}restoreUser(e){return this.authenticate(e)}async authenticate(e){this.token=e.token,this.api=new vR({apiRoot:this.apiRoot,apiVersion:this.apiVersion,repo:this.repo,branch:this.branch,squashMerges:this.squashMerges,cmsLabelPrefix:this.cmsLabelPrefix,initialWorkflowStatus:this.options.initialWorkflowStatus},this.token);const t=await this.api.user();return bR({token:e.token},t)}logout(){this.token=null}getToken(){return Promise.resolve(this.token)}async entriesByFolder(e,t,n){return await Zo((async()=>(await this.api.listFiles(e,n>1)).filter((e=>yo({path:e.path},t))).map((e=>({id:e.id,path:e.path})))),this.api.readFile.bind(this.api),this.api.readFileMetadata.bind(this.api),sR)}entriesByFiles(e){return Xo(e,this.api.readFile.bind(this.api),this.api.readFileMetadata.bind(this.api),sR)}async getEntry(e){return{file:{path:e},data:await this.api.readFile(e)}}async getMedia(){const e=await this.api.listFiles(this.mediaFolder,!1);return await Promise.all(e.map((async({id:e,path:t,name:n})=>({id:e,name:n,displayURL:await this.getMediaDisplayURL({id:e,path:t}),path:t}))))}getMediaDisplayURL(e){return this._mediaDisplayURLSem=this._mediaDisplayURLSem||Co()(10),ta(e,this.api.readFile.bind(this.api),this._mediaDisplayURLSem)}async getMediaFile(e){const t=Wo(e),n=await ea(e,null,this.api.readFile.bind(this.api)),r=new File([n],t),i=URL.createObjectURL(r);return{id:await Xu(n),displayURL:i,path:e,name:t,size:r.size,file:r,url:i}}async persistEntry(e,t){const n=e.assets;await this.api.persistFiles(e.dataFiles,n,t)}async persistMedia(e,t){const n=e.fileObj,[r]=await Promise.all([Xu(n),this.api.persistFiles([],[e],t)]),{path:i}=e,o=URL.createObjectURL(n);return{displayURL:o,path:il()(i,"/"),name:n.name,size:n.size,file:n,url:o,id:r}}async deleteFiles(e,t){await this.api.deleteFiles(e,t)}async loadMediaFile(e,t){const n=await ea(t.path,null,((t,n,{parseText:r})=>this.api.readFile(t,n,{branch:e,parseText:r}))),r=Wo(t.path),i=new File([n],r);return{id:t.path,displayURL:URL.createObjectURL(i),path:t.path,name:r,size:i.size,file:i}}async loadEntryMediaFiles(e,t){return await Promise.all(t.map((t=>this.loadMediaFile(e,t))))}async unpublishedEntries(){const e=await Qo((()=>this.api.listUnpublishedBranches().then((e=>e.map((e=>RP(e)))))));return e}async unpublishedEntry({id:e,collection:t,slug:n}){if(e)return await this.api.retrieveUnpublishedEntryData(e);if(t&&n){const e=PP(t,n);return await this.api.retrieveUnpublishedEntryData(e)}throw new Error("Missing unpublished entry id or collection and slug")}getBranch(e,t){return IP(PP(e,t))}async unpublishedEntryMediaFile(e,t,n,r){const i=this.getBranch(e,t);return await this.loadMediaFile(i,{path:n,id:r})}async unpublishedEntryDataFile(e,t,n,r){const i=this.getBranch(e,t);return await this.api.readFile(n,r,{branch:i})}updateUnpublishedEntryStatus(e,t,n){return na(this.lock,(()=>this.api.updateUnpublishedEntryStatus(e,t,n)),"Failed to acquire update entry status lock")}deleteUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.deleteUnpublishedEntry(e,t)),"Failed to acquire delete entry lock")}publishUnpublishedEntry(e,t){return na(this.lock,(()=>this.api.publishUnpublishedEntry(e,t)),"Failed to acquire publish entry lock")}async getDeployPreview(e,t){try{const n=GP(await this.api.getStatuses(e,t),this.previewContext);if(n){const{target_url:e,state:t}=n;return{url:e,status:t}}return null}catch(e){return null}}}),_P.registerBackend("aws-cognito-github-proxy",class extends rF{constructor(e,t={}){super(e,t),this.bypassWriteAccessCheckForAppTokens=!0,this.tokenKeyword="Bearer"}authComponent(){const e=e=>xn(cF,dF({},e,{backend:this}));return e.displayName="AuthenticationPage",e}async currentUser({token:e}){return this._currentUserPromise||(this._currentUserPromise=fetch(this.baseUrl+"/oauth2/userInfo",{headers:{Authorization:`${this.tokenKeyword} ${e}`}}).then((async e=>{if(401==e.status)return this.logout(),Promise.reject("Token expired");const t=await e.json(),n=this.originRepo.split("/")[1];return{name:t.email,login:n,avatar_url:`https://github.com/${n}.png`}}))),this._currentUserPromise}async getPullRequestAuthor(e){var t;return null===(t=e.user)||void 0===t?void 0:t.login}}),_P.registerBackend("github",rF),_P.registerBackend("gitlab",RF),_P.registerBackend("gitea",class{constructor(e,t={}){var n;if($F(this,"lock",void 0),$F(this,"api",void 0),$F(this,"options",void 0),$F(this,"originRepo",void 0),$F(this,"repo",void 0),$F(this,"branch",void 0),$F(this,"apiRoot",void 0),$F(this,"mediaFolder",void 0),$F(this,"token",void 0),$F(this,"_currentUserPromise",void 0),$F(this,"_userIsOriginMaintainerPromises",void 0),$F(this,"_mediaDisplayURLSem",void 0),$F(this,"getCursorAndFiles",((e,t)=>{const n=e.length,r=Math.ceil(e.length/20),i=[];return t>1&&(i.push("prev"),i.push("first")),t<r&&(i.push("next"),i.push("last")),{cursor:Mo.create({actions:i,meta:{page:t,count:n,pageSize:20,pageCount:r},data:{files:e}}),files:e.slice(20*(t-1),20*t)}})),this.options=function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?qF(Object(n),!0).forEach((function(t){$F(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):qF(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({proxied:!1,API:null,useWorkflow:!1},t),!this.options.proxied&&(null===e.backend.repo||void 0===e.backend.repo))throw new Error('The Gitea backend needs a "repo" in the backend configuration.');if(this.options.useWorkflow)throw new Error("The Gitea backend does not support editorial workflow.");this.api=this.options.API||null,this.repo=this.originRepo=e.backend.repo||"",this.branch=(null===(n=e.backend.branch)||void 0===n?void 0:n.trim())||"master",this.apiRoot=e.backend.api_root||"https://try.gitea.io/api/v1",this.token="",this.mediaFolder=e.media_folder,this.lock=So()}isGitBackend(){return!0}async status(){var e;const t=await(null===(e=this.api)||void 0===e?void 0:e.user().then((e=>!!e)).catch((e=>(console.warn("[StaticCMS] Failed getting Gitea user",e),!1))))||!1;return{auth:{status:t},api:{status:!0,statusPage:""}}}authComponent(){return UF}restoreUser(e){return this.authenticate(e)}async currentUser({token:e}){return this._currentUserPromise||(this._currentUserPromise=WF(`${this.apiRoot}/user`,{headers:{Authorization:`token ${e}`}}).then((e=>e.json()))),this._currentUserPromise}async userIsOriginMaintainer({username:e,token:t}){const n=e||(await this.currentUser({token:t})).login;return this._userIsOriginMaintainerPromises=this._userIsOriginMaintainerPromises||{},this._userIsOriginMaintainerPromises[n]||(this._userIsOriginMaintainerPromises[n]=WF(`${this.apiRoot}/repos/${this.originRepo}/collaborators/${n}/permission`,{headers:{Authorization:`token ${t}`}}).then((e=>e.json())).then((({permission:e})=>"admin"===e||"write"===e))),this._userIsOriginMaintainerPromises[n]}async authenticate(e){this.token=e.token;const t=FF;this.api=new t({token:this.token,branch:this.branch,repo:this.repo,originRepo:this.originRepo,apiRoot:this.apiRoot});const n=await this.api.user();if(!await this.api.hasWriteAccess().catch((e=>{throw e.message=vs`
Repo "${this.repo}" not found.
Please ensure the repo information is spelled correctly.
If the repo is private, make sure you're logged into a Gitea account with access.
If your repo is under an organization, ensure the organization has granted access to Static
CMS.
`,e})))throw new Error("Your Gitea user account does not have access to this repo.");return{name:n.full_name,login:n.login,avatar_url:n.avatar_url,token:e.token}}logout(){if(this.token=null,this.api&&this.api.reset&&"function"==typeof this.api.reset)return this.api.reset()}getToken(){return Promise.resolve(this.token)}async entriesByFolder(e,t,n){const r=this.api.originRepoURL;let i;const o=await Zo((()=>this.api.listFiles(e,{repoURL:r,depth:n}).then((e=>{const n=e.filter((e=>yo(e,t))),r=this.getCursorAndFiles(n,1);return i=r.cursor,r.files}))),((e,t)=>this.api.readFile(e,t,{repoURL:r})),this.api.readFileMetadata.bind(this.api),NF);return o[Lo]=i,o}async allEntriesByFolder(e,t,n){const r=this.api.originRepoURL;return await Zo((()=>this.api.listFiles(e,{repoURL:r,depth:n}).then((e=>e.filter((e=>yo(e,t)))))),((e,t)=>this.api.readFile(e,t,{repoURL:r})),this.api.readFileMetadata.bind(this.api),NF)}entriesByFiles(e){const t=this.api.repoURL;return Xo(e,((e,n)=>this.api.readFile(e,n,{repoURL:t}).catch((()=>""))),this.api.readFileMetadata.bind(this.api),NF)}getEntry(e){const t=this.api.originRepoURL;return this.api.readFile(e,null,{repoURL:t}).then((t=>({file:{path:e,id:null},data:t}))).catch((()=>({file:{path:e,id:null},data:""})))}async getMedia(e=this.mediaFolder,t){return e?this.api.listFiles(e,void 0,t).then((e=>e.map((({id:e,name:t,size:n,path:r,type:i})=>({id:e,name:t,size:n,displayURL:{id:e,path:r},path:r,isDirectory:"tree"===i}))))):[]}async getMediaFile(e){const t=await ea(e,null,this.api.readFile.bind(this.api)),n=Wo(e),r=Jo(n,t),i=URL.createObjectURL(r);return{id:await Xu(t),displayURL:i,path:e,name:n,size:r.size,file:r,url:i}}getMediaDisplayURL(e){return this._mediaDisplayURLSem=this._mediaDisplayURLSem||Co()(10),ta(e,this.api.readFile.bind(this.api),this._mediaDisplayURLSem)}persistEntry(e,t){return na(this.lock,(()=>this.api.persistFiles(e.dataFiles,e.assets,t)),"Failed to acquire persist entry lock")}async persistMedia(e,t){try{await this.api.persistFiles([],[e],t);const{sha:n,path:r,fileObj:i}=e,o=URL.createObjectURL(i);return{id:n,name:i.name,size:i.size,displayURL:o,path:il()(r,"/")}}catch(e){throw console.error(e),e}}deleteFiles(e,t){return this.api.deleteFiles(e,t)}async traverseCursor(e,t){const n=e.meta,r=e.data.get("files").toJS();let i;switch(t){case"first":default:i=this.getCursorAndFiles(r,1);break;case"last":i=this.getCursorAndFiles(r,n.get("pageCount"));break;case"next":i=this.getCursorAndFiles(r,n.get("page")+1);break;case"prev":i=this.getCursorAndFiles(r,n.get("page")-1)}return{entries:await Xo(i.files,((e,t)=>this.api.readFile(e,t,{repoURL:this.api.originRepoURL}).catch((()=>""))),this.api.readFileMetadata.bind(this.api),NF),cursor:i.cursor}}async unpublishedEntries(){return{}}async unpublishedEntry(){return{}}async unpublishedEntryDataFile(){return{}}async unpublishedEntryMediaFile(){return{}}async updateUnpublishedEntryStatus(){}async publishUnpublishedEntry(){}async deleteUnpublishedEntry(){}async getDeployPreview(){return{}}}),_P.registerBackend("bitbucket",KB),_P.registerBackend("test-repo",class{constructor(e,t={}){Uz(this,"mediaFolder",void 0),Uz(this,"options",void 0),this.options=t,this.mediaFolder=e.media_folder}isGitBackend(){return!1}status(){return Promise.resolve({auth:{status:!0},api:{status:!0,statusPage:""}})}authComponent(){return zz}restoreUser(){return this.authenticate()}authenticate(){return Promise.resolve()}logout(){return null}getToken(){return Promise.resolve("")}traverseCursor(e,t){const{folder:n,extension:r,index:i,pageCount:o,depth:a}=e.data.toObject(),s="next"===t?i+1:"prev"===t?i-1:"first"===t?0:"last"===t?o:0,l=Gz(window.repoFiles,n,r,a).map((e=>({data:e.content,file:{path:e.path,id:e.path}}))),u=l.slice(s*Hz,s*Hz+Hz),c=Vz(n,r,l,s,a);return Promise.resolve({entries:u,cursor:c})}entriesByFolder(e,t,n){const r=(e?Gz(window.repoFiles,e,t,n):[]).map((e=>({data:e.content,file:{path:e.path,id:e.path}}))),i=Vz(e,t,r,0,n),o=Lz()(r,Hz);return o[Lo]=i,Promise.resolve(o)}entriesByFiles(e){return Promise.all(e.map((e=>({file:e,data:qz(e.path,window.repoFiles).content}))))}getEntry(e){return Promise.resolve({file:{path:e,id:null},data:qz(e,window.repoFiles).content})}unpublishedEntries(){return Promise.resolve(Object.keys(window.repoFilesUnpublished))}unpublishedEntry({id:e,collection:t,slug:n}){if(e){const r=e.split("/");t=r[0],n=r[1]}const r=window.repoFilesUnpublished[`${t}/${n}`];return r?Promise.resolve(r):Promise.reject(new Oo("content is not under editorial workflow",!0))}async unpublishedEntryDataFile(e,t,n){const r=window.repoFilesUnpublished[`${e}/${t}`].diffs.find((e=>e.path===n));return null==r?void 0:r.content}async unpublishedEntryMediaFile(e,t,n){const r=window.repoFilesUnpublished[`${e}/${t}`].diffs.find((e=>e.path===n));return this.normalizeAsset(null==r?void 0:r.content)}deleteUnpublishedEntry(e,t){return delete window.repoFilesUnpublished[`${e}/${t}`],Promise.resolve()}async addOrUpdateUnpublishedEntry(e,t,n,r,i,o){const a=[];t.forEach((t=>{var n;const{path:r,newPath:i,raw:o}=t,s=null===(n=window.repoFilesUnpublished[e])||void 0===n?void 0:n.diffs.find((e=>e.path===r)),l=s?s.originalPath:r;a.push({originalPath:l,id:i||r,path:i||r,newFile:nl()(qz(l,window.repoFiles)),status:"added",content:o})})),n.forEach((e=>{const t=this.normalizeAsset(e);a.push({id:t.id,path:t.path,newFile:!0,status:"added",content:t})})),window.repoFilesUnpublished[e]={slug:r,collection:i,status:o,diffs:a,updatedAt:(new Date).toISOString()}}async persistEntry(e,t){if(t.useWorkflow){const n=e.dataFiles[0].slug,r=`${t.collectionName}/${n}`,i=window.repoFilesUnpublished[r],o=(null==i?void 0:i.status)||t.status||this.options.initialWorkflowStatus;return this.addOrUpdateUnpublishedEntry(r,e.dataFiles,e.assets,n,t.collectionName,o),Promise.resolve()}return e.dataFiles.forEach((e=>{const{path:t,raw:n}=e;$z(t,n,window.repoFiles)})),e.assets.forEach((e=>{$z(e.path,e,window.repoFiles)})),Promise.resolve()}updateUnpublishedEntryStatus(e,t,n){return window.repoFilesUnpublished[`${e}/${t}`].status=n,Promise.resolve()}publishUnpublishedEntry(e,t){const n=`${e}/${t}`,r=window.repoFilesUnpublished[n];delete window.repoFilesUnpublished[n];const i=window.repoFiles;return r.diffs.forEach((e=>{if(e.originalPath&&!e.newFile){const t=e.originalPath,n=(0,sa.dirname)(t),r=(0,sa.dirname)(e.path),o=Gz(i,t.split("/")[0],"",100).filter((e=>e.path.startsWith(n)));o.forEach((e=>{Wz(e.path,i),$z(e.path.replace(n,r),e.content,i)}))}$z(e.path,e.content,i)})),Promise.resolve()}getMedia(e=this.mediaFolder){const t=Gz(window.repoFiles,e.split("/")[0],"",100).filter((t=>t.path.startsWith(e))),n=t.map((e=>this.normalizeAsset(e.content)));return Promise.resolve(n)}async getMediaFile(e){const t=qz(e,window.repoFiles).content.toString(),n=Wo(e),r=await fetch(t).then((e=>e.blob())),i=new File([r],n);return{id:t,displayURL:t,path:e,name:n,size:i.size,file:i,url:t}}normalizeAsset(e){const t=e.fileObj,{name:n,size:r}=t,i=ji()(window.URL.createObjectURL,t),o=Ii()(i)?"":i;return{id:xl(),name:n,size:r,path:e.path,url:o,displayURL:o,fileObj:t}}persistMedia(e){const t=this.normalizeAsset(e);return $z(e.path,e,window.repoFiles),Promise.resolve(t)}deleteFiles(e){return e.forEach((e=>{Wz(e,window.repoFiles)})),Promise.resolve()}async getDeployPreview(){return null}}),_P.registerBackend("proxy",class{constructor(e,t={}){if(eU(this,"proxyUrl",void 0),eU(this,"mediaFolder",void 0),eU(this,"options",void 0),eU(this,"branch",void 0),eU(this,"cmsLabelPrefix",void 0),!e.backend.proxy_url)throw new Error('The Proxy backend needs a "proxy_url" in the backend configuration.');this.branch=e.backend.branch||"master",this.proxyUrl=e.backend.proxy_url,this.mediaFolder=e.media_folder,this.options=t,this.cmsLabelPrefix=e.backend.cms_label_prefix}isGitBackend(){return!1}status(){return Promise.resolve({auth:{status:!0},api:{status:!0,statusPage:""}})}authComponent(){return Xz}restoreUser(){return this.authenticate()}authenticate(){return Promise.resolve()}logout(){return null}getToken(){return Promise.resolve("")}async request(e){const t=await mo.fetchWithTimeout(this.proxyUrl,{method:"POST",headers:{"Content-Type":"application/json; charset=utf-8"},body:JSON.stringify(Jz({branch:this.branch},e))}),n=await t.json();if(t.ok)return n;throw new vo(n.error,t.status,"Proxy")}entriesByFolder(e,t,n){return this.request({action:"entriesByFolder",params:{branch:this.branch,folder:e,extension:t,depth:n}})}entriesByFiles(e){return this.request({action:"entriesByFiles",params:{branch:this.branch,files:e}})}getEntry(e){return this.request({action:"getEntry",params:{branch:this.branch,path:e}})}unpublishedEntries(){return this.request({action:"unpublishedEntries",params:{branch:this.branch}})}async unpublishedEntry({id:e,collection:t,slug:n}){try{return await this.request({action:"unpublishedEntry",params:{branch:this.branch,id:e,collection:t,slug:n,cmsLabelPrefix:this.cmsLabelPrefix}})}catch(e){if(404===e.status)throw new Oo("content is not under editorial workflow",!0);throw e}}async unpublishedEntryDataFile(e,t,n,r){const{data:i}=await this.request({action:"unpublishedEntryDataFile",params:{branch:this.branch,collection:e,slug:t,path:n,id:r}});return i}async unpublishedEntryMediaFile(e,t,n,r){return nU(await this.request({action:"unpublishedEntryMediaFile",params:{branch:this.branch,collection:e,slug:t,path:n,id:r}}))}deleteUnpublishedEntry(e,t){return this.request({action:"deleteUnpublishedEntry",params:{branch:this.branch,collection:e,slug:t}})}async persistEntry(e,t){const n=await Promise.all(e.assets.map(tU));return this.request({action:"persistEntry",params:{branch:this.branch,dataFiles:e.dataFiles,assets:n,options:Jz(Jz({},t),{},{status:t.status||this.options.initialWorkflowStatus}),cmsLabelPrefix:this.cmsLabelPrefix}})}updateUnpublishedEntryStatus(e,t,n){return this.request({action:"updateUnpublishedEntryStatus",params:{branch:this.branch,collection:e,slug:t,newStatus:n,cmsLabelPrefix:this.cmsLabelPrefix}})}publishUnpublishedEntry(e,t){return this.request({action:"publishUnpublishedEntry",params:{branch:this.branch,collection:e,slug:t}})}async getMedia(e=this.mediaFolder){return(await this.request({action:"getMedia",params:{branch:this.branch,mediaFolder:e}})).map(nU)}async getMediaFile(e){return nU(await this.request({action:"getMediaFile",params:{branch:this.branch,path:e}}))}async persistMedia(e,t){const n=await tU(e);return nU(await this.request({action:"persistMedia",params:{branch:this.branch,asset:n,options:{commitMessage:t.commitMessage}}}))}deleteFiles(e,t){return this.request({action:"deleteFiles",params:{branch:this.branch,paths:e,options:{commitMessage:t}}})}getDeployPreview(e,t){return this.request({action:"getDeployPreview",params:{branch:this.branch,collection:e,slug:t}})}}),_P.registerWidget([dU.Widget(),_U.Widget(),$U.Widget(),nH.Widget(),HW.Widget(),pG.Widget(),L2.Widget(),I5.Widget(),Q2.Widget(),u9.Widget(),v9.Widget(),lae.Widget(),Tae.Widget(),use.Widget(),Zhe.Widget()]),_P.registerEditorComponent(Qhe),_P.registerEditorComponent({id:"code-block",label:"Code Block",widget:"code",type:"code-block"}),Object.keys(n).forEach((e=>{_P.registerLocale(e,n[e])})),"undefined"!=typeof window&&console.log("decap-cms-app 3.6.1");const Lme=function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Ime(Object(n),!0).forEach((function(t){Mme(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Ime(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({},_P);var Nme=__webpack_require__(38625),jme=__webpack_require__.n(Nme);!function(e,t){void 0===t&&(t={});var n=t.insertAt;if(e&&"undefined"!=typeof document){var r=document.head||document.getElementsByTagName("head")[0],i=document.createElement("style");i.type="text/css","top"===n&&r.firstChild?r.insertBefore(i,r.firstChild):r.appendChild(i),i.styleSheet?i.styleSheet.cssText=e:i.appendChild(document.createTextNode(e))}}('\n.uploadcare-tab-effects--crops{display:-ms-flexbox;display:flex;-ms-flex-pack:distribute;justify-content:space-around;max-width:100%}\n.uploadcare-tab-effects--effect-button{-ms-flex-negative:1;flex-shrink:1;display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;-ms-flex-pack:end;justify-content:flex-end;-ms-flex-align:center;align-items:center;padding:0;width:45px;height:45px;border-color:transparent;background:transparent;color:#212121;fill:#212121}.uploadcare-tab-effects--effect-button:active,.uploadcare-tab-effects--effect-button:focus,.uploadcare-tab-effects--effect-button:hover{fill:#0969ee;color:#0969ee}.uploadcare-tab-effects--effect-button:focus,.uploadcare-tab-effects--effect-button:hover{border-color:transparent;background:transparent}.uploadcare-tab-effects--effect-button[aria-disabled=true]{border-color:transparent!important;background:transparent!important;color:#d4d2d2!important;fill:#d4d2d2!important}.uploadcare-tab-effects--effect-button:before{content:"";display:block;-ms-flex-order:1;order:1;margin:1px 0;width:6px;height:6px;background:transparent;border-radius:50%}.uploadcare-tab-effects--effect-button_applied:before{background:#157cfc}@media (min-width:760px){.uploadcare-tab-effects--effect-button:focus,.uploadcare-tab-effects--effect-button:hover{position:relative;overflow:visible}.uploadcare-tab-effects--effect-button:focus:after,.uploadcare-tab-effects--effect-button:hover:after{content:attr(aria-label);display:block;position:absolute;top:0;left:50%;width:auto;height:auto;background:#e3e1e1;color:#212121;transform:translateX(-50%) translateY(-100%);padding:12px;border-radius:6px;line-height:1;min-width:100%}}\n.uploadcare-tab-effects--icon{height:32px}\n.uploadcare-tab-effects--effects{display:-ms-flexbox;display:flex;-ms-flex-pack:distribute;justify-content:space-around;max-width:100%}\n.uploadcare-tab-effects--additions{-ms-flex-positive:1;flex-grow:1}\n\n\n.uploadcare-tab-effects--range{-ms-flex-positive:1;flex-grow:1}input[type=range].uploadcare-tab-effects--range__input{box-sizing:border-box;margin:0;padding:0;width:100%;height:40px;line-height:1}input[type=range].uploadcare-tab-effects--range__input:focus{outline:2px solid rgba(21,124,252,.5);outline-offset:1px}input[type=range].uploadcare-tab-effects--range__input::-moz-range-track{background:#d4d2d2}input[type=range].uploadcare-tab-effects--range__input::-moz-range-progress{background:#157cfc}input[type=range].uploadcare-tab-effects--range__input::-moz-range-thumb{border:none;background:#212121;box-shadow:none}input[type=range].uploadcare-tab-effects--range__input::-moz-range-thumb:focus,input[type=range].uploadcare-tab-effects--range__input::-moz-range-thumb:hover{background:#000}input[type=range].uploadcare-tab-effects--range__input::-moz-range-thumb:active{background:#000}input[type=range].uploadcare-tab-effects--range__input::-ms-track{background:#d4d2d2}input[type=range].uploadcare-tab-effects--range__input::-ms-fill-lower{background:#157cfc}input[type=range].uploadcare-tab-effects--range__input::-ms-thumb{background:#212121}input[type=range].uploadcare-tab-effects--range__input::-ms-thumb:active,input[type=range].uploadcare-tab-effects--range__input:focus::-ms-thumb{background:#000}',{});var Fme=Object.freeze({be:{effects:{captions:{blur:"Размыццё",crop:"Абрэзка",enhance:"Паляпшэнне",flip:"Адлюстраваць па вертыкалі",grayscale:"Адценні шэрага",invert:"Інвертаваць",mirror:"Адлюстраваць па гарызанталі",rotate:"Павярнуць",sharp:"Выразнасць"},apply:"Ужыць"}},en:{effects:{captions:{blur:"Blur",crop:"Crop",enhance:"Enhance",flip:"Flip",grayscale:"Grayscale",invert:"Invert",mirror:"Mirror",rotate:"Rotate",sharp:"Sharpen"},apply:"Apply"}},fr:{effects:{captions:{blur:"Flouter",crop:"Recadrer",enhance:"Effets",flip:"Retourner",grayscale:"Noir et blanc",invert:"Inverser",mirror:"Mirroir",rotate:"Tourner",sharp:"Netteté"},apply:"Appliquer"}},it:{effects:{captions:{blur:"Sfoca",crop:"Ritaglia",enhance:"Accentua",flip:"Capovolgi",grayscale:"Bianconero",invert:"Inverti",mirror:"Specchia",rotate:"Ruota",sharp:"Nitidezza"},apply:"Applica"}},ja:{effects:{captions:{blur:"ぼかし",crop:"トリミング",enhance:"補正",flip:"反転",grayscale:"グレースケール",invert:"階調反転",mirror:"ミラー",rotate:"回転",sharp:"シャープ"},apply:"適用"}},nl:{effects:{captions:{blur:"Vervagen",crop:"Bijknippen",enhance:"Verbeteren",flip:"Omkeren",grayscale:"Zwart-wit",invert:"Inverteren",mirror:"Spiegelen",rotate:"Roteren",sharp:"Verscherpen"},apply:"Toepassen"}},ru:{effects:{captions:{blur:"Размытие",crop:"Обрезка",enhance:"Улучшение",flip:"Отразить по вертикали",grayscale:"Оттенки серого",invert:"Инвертировать",mirror:"Отразить по горизонтали",rotate:"Повернуть",sharp:"Резкость"},apply:"Применить"}},vi:{effects:{captions:{blur:"Mờ",crop:"Cắt",enhance:"Tinh chỉnh",flip:"Lật",grayscale:"Xám",invert:"Âm bản",mirror:"Gương",rotate:"Xoay",sharp:"Làm nét"},apply:"Áp dụng"}},es:{effects:{captions:{blur:"Desenfocar",crop:"Recortar",enhance:"Mejorar",flip:"Voltear",grayscale:"Escala de grises",invert:"Invertir",mirror:"Reflejar",rotate:"Rotar",sharp:"Nitidez"},apply:"Aplicar"}},sv:{effects:{captions:{blur:"Suddig",crop:"Beskära",enhance:"Öka",flip:"Flip",grayscale:"Grayscale",invert:"Invertera",mirror:"Återspegla",rotate:"Rotera",sharp:"Skärpa"},apply:"Använda"}}}),Bme=["crop","rotate","enhance","sharp","grayscale"],zme="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e},Ume=function(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e},qme=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e},$me=function(e,t){if(Array.isArray(e))return e;if(Symbol.iterator in Object(e))return function(e,t){var n=[],r=!0,i=!1,o=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){i=!0,o=e}finally{try{!r&&s.return&&s.return()}finally{if(i)throw o}}return n}(e,t);throw new TypeError("Invalid attempt to destructure non-iterable instance")},Wme=function(e){if(Array.isArray(e)){for(var t=0,n=Array(e.length);t<e.length;t++)n[t]=e[t];return n}return Array.from(e)},Hme=function(e){return Object.keys(Fme).indexOf(e)>-1},Vme=function(e){return(new DOMParser).parseFromString(e,"text/html").body.firstChild},Gme={blur:["number",10],enhance:["number",50],flip:["boolean"],grayscale:["boolean"],invert:["boolean"],mirror:["boolean"],rotate:["number"],sharp:["number",5]},Kme={blur:/-\/blur\/(([0-9]+)\/|)/i,crop:/-\/crop\/([0-9]+)x([0-9]+)(\/(center|([0-9]+),([0-9]+)))?\/(-\/resize\/([0-9]+)x([0-9]+)\/)?/i,enhance:/-\/enhance\/(([0-9]+)\/|)/i,flip:/-\/flip\//i,grayscale:/-\/grayscale\//i,invert:/-\/invert\//i,mirror:/-\/mirror\//i,rotate:/-\/rotate\/(([0-9]+)\/)/i,sharp:/-\/sharp\/(([0-9]+)\/|)/i},Yme={view:"preview",image:{},appliedEffects:{blur:0,crop:null,enhance:0,flip:!1,grayscale:!1,invert:!1,mirror:!1,rotate:0,sharp:0},otherModifiers:""},Zme=function(e){var t=e.originalSize,n=e.coords,r=n.width,i=n.height,o=n.center,a=n.left,s=n.top,l="";return t?r===t[0]&&i===t[1]||(l+="-/crop/"+r+"x"+i+"/"+a+","+s+"/"):(l+="-/crop/"+r+"x"+i+"/",o?l+="center/":void 0!==a&&void 0!==s&&(l+=a+","+s+"/")),e.resizeTo?l+="-/resize/"+e.resizeTo.join("x")+"/":l+="-/preview/",l},Xme=function(e){var t=!(arguments.length>1&&void 0!==arguments[1])||arguments[1],n=!(arguments.length>2&&void 0!==arguments[2])||arguments[2],r="";for(var i in e)if(e[i])switch(zme(e[i])){case"boolean":if(!n&&~["flip","mirror"].indexOf(i))break;r+="-/"+i+"/";break;case"number":if(!n&&"rotate"===i)break;r+="-/"+i+"/"+e[i]+"/";break;case"object":"crop"===i&&t&&(r+=Zme(e[i]))}return r?e.crop&&t?r:"-/preview/"+r:""},Qme=[0,270,180,90],Jme=["crop","rotate","mirror","flip","blur","sharp","enhance","grayscale","invert"],ege=function(e,t){var n=$me(t,2),r=n[0],i=n[1],o=e.downscale&&(r>e.preferedSize[0]||i>e.preferedSize[1]),a=e.upscale&&(r<e.preferedSize[0]||i<e.preferedSize[1]);return o||a?e.preferedSize:null},tge=function(){var e=void 0;return{getElement:function(){return e||(e=Vme('<div class="uploadcare--tab__content uploadcare--preview__content uploadcare--preview__content_crop"></div>\n')),e},appendChild:function(t){e&&e.appendChild(t)}}},nge={"crop-button":"uploadcare-tab-effects--crop-button","crop-button__icon":"uploadcare-tab-effects--crop-button__icon"},rge="uploadcare--crop-sizes__item_current",ige=function(e){var t=void 0,n=e.size,r=e.title,i=e.onClick,o={applied:e.applied||!1,disabled:e.disabled||!1},a=function(){var e=t.querySelector("."+nge["crop-button__icon"]),r=n.width,i=n.height;r&&i?(e.style.width=r,e.style.height=i):(e.innerHTML='\n<svg width="32" height="32" role="presentation" class="uploadcare--icon">\n <use xlink:href="#uploadcare--icon-crop-free"/>\n</svg>\n ',e.classList.add("uploadcare--crop-sizes__icon_free"))},s=function(){!o.disabled&&i&&i()};return{getElement:function(){return t||(t=Vme(function(e){return'<div role="button" tabindex="0" class="uploadcare--button uploadcare--button_icon uploadcare--crop-sizes__item '+e.cn["crop-button"]+'" data-caption="'+e.title+'">\n <div class="uploadcare--crop-sizes__icon '+e.cn["crop-button__icon"]+'"></div>\n</div>\n'}({title:r,cn:nge})),a(),o.applied&&t.classList.add(rge),t.addEventListener("click",s)),t},toggleApplied:function(e){t&&o.applied!==e&&(o.applied=e,t.classList[e?"add":"remove"](rge))},toggleDisabled:function(e){t&&o.disabled!==e&&(o.disabled=e,t.setAttribute("aria-disabled",e),t.setAttribute("tabindex",e?"-1":"0"))}}},oge={crops:"uploadcare-tab-effects--crops"},age=function(e){var t=void 0,n=[],r=e.crops,i=e.onCropClick,o={currentCrop:e.currentCrop||0},a=function(e){n&&(o.currentCrop=e,n.forEach((function(t,n){return t.toggleApplied(n===e)})))};return{getElement:function(){return t||(t=Vme(function(e){return'<div class="'+e.cn.crops+'"></div>\n'}({cn:oge})),r.forEach((function(e){var t=e.index,r=e.size,s=e.title;n[t]=new ige({size:r,title:s,applied:o.currentCrop===t,onClick:function(){o.currentCrop!==t&&(a(t),i(e))}})})),n.forEach((function(e){return t.appendChild(e.getElement())}))),t},toggleDisabled:function(e){n&&n.forEach((function(t){return t.toggleDisabled(e)}))},updateApplied:a}},sge={"effect-button":"uploadcare-tab-effects--effect-button","effect-button_applied":"uploadcare-tab-effects--effect-button_applied"},lge=Object.freeze({blur:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <defs>\n <filter id="filter-blur" width="200%" height="200%" x="-50%" y="-50%" filterUnits="objectBoundingBox">\n <feGaussianBlur stdDeviation="3" in="SourceGraphic"></feGaussianBlur>\n </filter>\n </defs>\n <circle cx="16" cy="16" r="8" filter="url(#filter-blur)"></circle>\n</svg>\n'},crop:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <path d="M21,23 L10.9999602,23 C9.8967381,23 9,22.0989187 9,21.0000398 L9,11 L5,11 L5,9 L9,9 L9,5 L11,5 C11,5 11.0034766,21 10.9999602,21 L27,21 L27,23 L23,23 L23,27 L21,27 L21,23 Z M13,11 L13,9 L21.0046024,9 C22.1100735,9 23,9.89939484 23,11.0081317 L23,19.1279297 L21,19.1279297 L21,11.0081317 C21,11.00117 13,11 13,11 Z"></path>\n</svg>\n'},enhance:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <path d="M4.29289322,24.0082521 L16.3137085,11.9874369 C16.7042328,11.5969126 17.3373978,11.5969126 17.7279221,11.9874369 L20.5563492,14.815864 C20.9468735,15.2063883 20.9468735,15.8395533 20.5563492,16.2300776 L8.53553391,28.2508928 C8.14500961,28.6414171 7.51184464,28.6414171 7.12132034,28.2508928 L4.29289322,25.4224657 C3.90236893,25.0319414 3.90236893,24.3987764 4.29289322,24.0082521 Z M14.7175144,16.4120581 L16.131728,17.8262716 L18.4350288,15.5229708 L17.0208153,14.1087572 L14.7175144,16.4120581 L14.7175144,16.4120581 Z M16.3411081,7.13592111 L13.8054564,6.33578644 L16.3411081,5.53565177 L17.1412428,3 L17.9413775,5.53565177 L20.4770292,6.33578644 L17.9413775,7.13592111 L17.1412428,9.67157288 L16.3411081,7.13592111 Z M24.5356518,13.8304648 L22,13.0303301 L24.5356518,12.2301954 L25.3357864,9.69454365 L26.1359211,12.2301954 L28.6715729,13.0303301 L26.1359211,13.8304648 L25.3357864,16.3661165 L24.5356518,13.8304648 Z M9.53565177,10.8304648 L7,10.0303301 L9.53565177,9.23019541 L10.3357864,6.69454365 L11.1359211,9.23019541 L13.6715729,10.0303301 L11.1359211,10.8304648 L10.3357864,13.3661165 L9.53565177,10.8304648 Z M22.5356518,21.8304648 L20,21.0303301 L22.5356518,20.2301954 L23.3357864,17.6945436 L24.1359211,20.2301954 L26.6715729,21.0303301 L24.1359211,21.8304648 L23.3357864,24.3661165 L22.5356518,21.8304648 Z"></path>\n</svg>\n'},flip:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <path d="M15.3492086,13.7592566 L8.34920863,7.7592566 C7.64431003,7.15505781 8.07159372,6 9,6 L23,6 C23.9284063,6 24.35569,7.15505781 23.6507914,7.7592566 L16.6507914,13.7592566 C16.2763016,14.0802478 15.7236984,14.0802478 15.3492086,13.7592566 Z M15.3492086,18.2407434 C15.7236984,17.9197522 16.2763016,17.9197522 16.6507914,18.2407434 L23.6507914,24.2407434 C24.35569,24.8449422 23.9284063,26 23,26 L9,26 C8.07159372,26 7.64431003,24.8449422 8.34920863,24.2407434 L15.3492086,18.2407434 Z M11.7032574,24 L20.2967426,24 L16,20.3170778 L11.7032574,24 Z M27,15 L27,17 L25,17 L25,15 L27,15 Z M23,15 L23,17 L21,17 L21,15 L23,15 Z M19,15 L19,17 L17,17 L17,15 L19,15 Z M15,15 L15,17 L13,17 L13,15 L15,15 Z M11,15 L11,17 L9,17 L9,15 L11,15 Z M7,15 L7,17 L5,17 L5,15 L7,15 Z"></path>\n</svg>\n'},grayscale:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <path d="M19.3596223,12.0563396 L21.926451,14.6231683 C21.8411716,15.2691808 21.6833431,15.8923164 21.4614188,16.484122 L17.1233611,12.1460643 C16.660957,12.2457748 16.2168493,12.3946804 15.7967877,12.5870314 L20.8981472,17.688391 C20.6220708,18.1749895 20.2993732,18.6316689 19.936127,19.0523568 L14.3668527,13.4830825 C14.0447797,13.7487243 13.7487243,14.0447797 13.4830825,14.3668527 L19.0523568,19.936127 C18.6316689,20.2993732 18.1749895,20.6220708 17.688391,20.8981472 L12.5870314,15.7967877 C12.3946804,16.2168493 12.2457748,16.660957 12.1460643,17.1233611 L16.484122,21.4614188 C15.8923164,21.6833431 15.2691808,21.8411716 14.6231683,21.926451 L12.0563396,19.3596223 C12.4769606,22.5431547 15.2015668,25 18.5,25 C22.0898509,25 25,22.0898509 25,18.5 C25,15.2015668 22.5431547,12.4769606 19.3596223,12.0563396 Z M10.5324038,21.4675962 C7.30134737,20.2636648 5,17.1505866 5,13.5 C5,8.80557963 8.80557963,5 13.5,5 C17.1505866,5 20.2636648,7.30134737 21.4675962,10.5324038 C24.6986526,11.7363352 27,14.8494134 27,18.5 C27,23.1944204 23.1944204,27 18.5,27 C14.8494134,27 11.7363352,24.6986526 10.5324038,21.4675962 L10.5324038,21.4675962 Z"></path>\n</svg>\n'},invert:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32" xmlns:xlink="http://www.w3.org/1999/xlink">\n <path d="M5,6.99180311 C5,5.89386312 5.90087297,5 6.99180311,5 L25.0081969,5 C26.1061369,5 27,5.90087297 27,6.99180311 L27,25.0081969 C27,26.1061369 26.099127,27 25.0081969,27 L6.99180311,27 C5.89386312,27 5,26.099127 5,25.0081969 L5,6.99180311 Z M25,7 L7,7 L7,25 L12.071629,19.928371 C13.0769868,20.9337288 14.4658757,21.5555556 16,21.5555556 C19.0682486,21.5555556 21.5555556,19.0682486 21.5555556,16 C21.5555556,14.4658757 20.9337288,13.0769868 19.928371,12.071629 L25,7 L25,7 Z M12.071629,19.928371 C11.0662712,18.9230132 10.4444444,17.5341243 10.4444444,16 C10.4444444,12.9317514 12.9317514,10.4444444 16,10.4444444 C17.5341243,10.4444444 18.9230132,11.0662712 19.928371,12.071629 L12.071629,19.928371 L12.071629,19.928371 Z"></path>\n</svg>\n'},mirror:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <path d="M13.7592566,16.6507914 L7.7592566,23.6507914 C7.15505781,24.35569 6,23.9284063 6,23 L6,9 C6,8.07159372 7.15505781,7.64431003 7.7592566,8.34920863 L13.7592566,15.3492086 C14.0802478,15.7236984 14.0802478,16.2763016 13.7592566,16.6507914 Z M18.2407434,16.6507914 C17.9197522,16.2763016 17.9197522,15.7236984 18.2407434,15.3492086 L24.2407434,8.34920863 C24.8449422,7.64431003 26,8.07159372 26,9 L26,23 C26,23.9284063 24.8449422,24.35569 24.2407434,23.6507914 L18.2407434,16.6507914 Z M24,20.2967426 L24,11.7032574 L20.3170778,16 L24,20.2967426 Z M15,5 L17,5 L17,7 L15,7 L15,5 Z M15,9 L17,9 L17,11 L15,11 L15,9 Z M15,13 L17,13 L17,15 L15,15 L15,13 Z M15,17 L17,17 L17,19 L15,19 L15,17 Z M15,21 L17,21 L17,23 L15,23 L15,21 Z M15,25 L17,25 L17,27 L15,27 L15,25 Z"></path>\n</svg>\n'},rotate:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <path d="M22.8449302,10.1550698 L22.8449302,10.1550698 C21.1616077,8.18356972 18.6836184,7 16,7 C11.0294373,7 7,11.0294373 7,16 C7,20.9705627 11.0294373,25 16,25 C18.6610655,25 21.131472,23.8397191 22.8318195,21.8591349 L24.3493086,23.1619115 C22.2729281,25.5805032 19.2506133,27 16,27 C9.92486775,27 5,22.0751322 5,16 C5,9.92486775 9.92486775,5 16,5 C19.224943,5 22.2084802,6.39983031 24.2627348,8.73726518 L27,6 L27,11.9970707 C27,12.5509732 26.5621186,13 25.9970707,13 L20,13 L22.8449302,10.1550698 Z M15,14 L17,14 C17.5522847,14 18,14.4477153 18,15 L18,17 C18,17.5522847 17.5522847,18 17,18 L15,18 C14.4477153,18 14,17.5522847 14,17 L14,15 C14,14.4477153 14.4477153,14 15,14 Z"></path>\n</svg>\n'},sharp:function(e){return'<svg xmlns="http://www.w3.org/2000/svg" width="32" height="32" viewBox="0 0 32 32">\n <polygon points="16 6 25 26 7 26"></polygon>\n</svg>\n'}}),uge=function(e){var t=e.name;if(!lge[t])throw new Error('Icon with name "'+t+"\" doesn't exist.");var n=void 0;return{getElement:function(){return n||(n=Vme(lge[t]())).setAttribute("class",n.getAttribute("class")+" uploadcare-tab-effects--icon"),n}}},cge=function(e){var t=void 0,n=e.effect,r=e.title,i=e.onClick,o={applied:e.applied||!1,disabled:e.disabled||!1},a=function(){!o.disabled&&i&&i()};return{getElement:function(){return t||(e=new uge({name:n}),t=Vme(function(e){return'<div role="button" tabindex="0" class="uploadcare--button uploadcare--button_icon '+e.cn["effect-button"]+'" aria-label="'+e.title+'"></div>\n'}({title:r,cn:sge})),t.appendChild(e.getElement()),o.applied&&t.classList.add(sge["effect-button_applied"]),t.addEventListener("click",a),t.addEventListener("keypress",(function(e){"Enter"===e.code&&(t.dispatchEvent(new Event("click")),e.preventDefault(),e.stopPropagation())}))),t;var e},getEffect:function(){return n},toggleApplied:function(e){t&&o.applied!==e&&(o.applied=e,t.classList[e?"add":"remove"](sge["effect-button_applied"]))},toggleDisabled:function(e){t&&o.disabled!==e&&(o.disabled=e,t.setAttribute("aria-disabled",e),t.setAttribute("tabindex",e?-1:0))}}},dge={effects:"uploadcare-tab-effects--effects"},pge=function(e){var t=void 0,n=[],r=e.effects,i=e.titles,o=e.onEffectClick,a={appliedEffects:e.appliedEffects};return{getElement:function(){return t||(t=Vme(function(e){return'<div class="'+e.cn.effects+'"></div>\n'}({cn:dge})),r.forEach((function(e){var t=i[e];n.push(new cge({effect:e,title:t,applied:!!a.appliedEffects[e],onClick:function(){return o(e)}}))})),n.forEach((function(e){return t.appendChild(e.getElement())}))),t},toggleDisabled:function(e){n&&n.forEach((function(t){return t.toggleDisabled(e)}))},updateApplied:function(e){n&&(a.appliedEffects=e,n.forEach((function(t){return t.toggleApplied(!!e[t.getEffect()])})))}}},fge={additions:"uploadcare-tab-effects--additions",done:"uploadcare-tab-effects--done",cancel:"uploadcare-tab-effects--cancel"},hge=function(e){var t=void 0,n=void 0,r=void 0,i=void 0,o=e.locale,a=e.onDone,s=e.onCancel;return{getElement:function(){return t||(t=Vme(function(e){return'<div class="uploadcare--footer uploadcare--tab__footer">\n <div class="uploadcare--footer__additions '+e.cn.additions+'"></div>\n\n <button type="button" class="uploadcare--button uploadcare--footer__button uploadcare--preview__back '+e.cn.cancel+'">\n '+e.locale.cancel+'\n </button>\n\n <button type="button" class="uploadcare--button uploadcare--button_primary uploadcare--footer__button uploadcare--preview__done '+e.cn.done+'">\n '+e.locale.done+"\n </button>\n</div>\n"}({cn:fge,locale:o})),n=t.querySelector("."+fge.additions),r=t.querySelector("."+fge.done),i=t.querySelector("."+fge.cancel),r.addEventListener("click",a),i.addEventListener("click",s)),t},empty:function(){if(t)for(;n.firstChild;)n.removeChild(n.firstChild)},appendChild:function(e){t&&n.appendChild(e)},toggleDisabled:function(e){r&&(r.disabled=e)},updateDoneTitle:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;r.innerText=e||o.done}}},mge={title:"uploadcare-tab-effects--title"},gge=function(e){var t=void 0,n=void 0,r=e.title;return{getElement:function(){return t||(t=Vme(function(e){return'<div class="uploadcare--tab__header">\n <div class="uploadcare--text uploadcare--text_size_large uploadcare--tab__title uploadcare--preview__title '+e.cn.title+'">\n '+e.title+"\n </div>\n</div>\n"}({title:r,cn:mge})),n=t.querySelector("."+mge.title)),t},updateTitle:function(e){n&&(n.innerText=e)}}},vge={image:"uploadcare-tab-effects--image","image-container":"uploadcare-tab-effects--image-container"},yge=function(e){var t=void 0,n=void 0,r=e.imageUrl,i=e.onUpdate,o=e.onLoad,a=e.onFail;return{getElement:function(){return t||(t=Vme(function(e){return'<div class="uploadcare--media '+e.cn["image-container"]+'">\n <img src="'+e.imageUrl+'" alt="" class="uploadcare--media__image uploadcare--preview__image '+e.cn.image+'">\n</div>\n'}({cn:vge,imageUrl:r})),(n=t.querySelector("."+vge.image)).addEventListener("load",(function(){return o()})),n.addEventListener("error",(function(){n.complete&&a()})),n.addEventListener("abort",(function(){return a()}))),t},getImg:function(){return n},updateImageUrl:function(e){n.src===e&&n.removeAttribute("src"),n.src=e,i()}}},bge={range:"uploadcare-tab-effects--range",range__input:"uploadcare-tab-effects--range__input"},wge=function(e){var t=void 0,n=e.min,r=void 0===n?0:n,i=e.max,o=void 0===i?100:i,a=e.step,s=void 0===a?1:a,l=e.value,u=void 0===l?50:l,c=e.onChange;return{getElement:function(){return t||(t=Vme(function(e){return'<div class="'+e.cn.range+'">\n <input type="range" class="'+e.cn.range__input+'" min="'+e.min+'" max="'+e.max+'" step="'+e.step+'" value="'+e.value+'">\n</div>\n'}({cn:bge,min:r,max:o,step:s,value:u})),t.addEventListener("change",(function(e){return c(e.target.value)}))),t}}},_ge={enhance:[0,100],sharp:[0,20],blur:[0,100,5]},xge=function(e){var t=void 0,n=void 0,r=void 0,i=void 0,o=void 0,a=void 0,s=void 0,l=e.uc,u=e.store,c=e.settings,d=e.onDone,p=e.onFail,f={cropWidget:null,currentCrop:0},h=l.locale.t,m=l.crop.CropWidget,g="-/preview/1162x693/-/setfill/ffffff/-/format/jpeg/-/progressive/yes/",v=function(e){return"preview"===e?h("dialog.tabs.preview.image.title"):y(e)},y=function(e){return h("effects.captions."+e)},b=function(){f.cropWidget&&f.cropWidget.__api&&(f.cropWidget.__api.release(),f.cropWidget.__api.destroy(),o.getImg().removeAttribute("style"))},w=function(e){var t=u.getState().view;"preview"===t?d():(e.stopPropagation(),"crop"===t&&P(),u.setView("preview"))},_=function(e){var t=u.getState(),n=t.view,r=t.appliedEffects,i=c.crop;if("preview"!==n){e.stopPropagation();var o=0;if("crop"===n){var a=i.some((function(e){return!e.preferedSize}));o=a?null:r[n],b()}u.setAppliedEffect(Ume({},n,o)),u.setView("preview")}},x=function(e){var t,n,r=u.getState().appliedEffects;"rotate"!==e?"boolean"!=typeof r[e]?"crop"!==e&&"number"!=typeof r[e]||u.setView(e):u.setAppliedEffect(Ume({},e,!r[e])):u.setAppliedEffect({rotate:(t=r.rotate,n=Qme.indexOf(t),~n&&n!==Qme.length-1?Qme[n+1]:Qme[0])})},k=function(e){var t=u.getState().view;u.setAppliedEffect(Ume({},t,parseInt(e)))},E=function(){u.rebuildImage();var e=u.getState().appliedEffects;a.updateApplied(e)},C=function(){var e=u.getState(),t=e.view,r=e.appliedEffects,s=e.image;if(n.updateTitle(v(t)),"preview"===t?i.updateDoneTitle():i.updateDoneTitle(h("effects.apply")),i.empty(),"preview"!==t){if("crop"===t){T();var l=s.originalUrl+(Xme([{crop:null}],!1,!1)||"")+g;return c.previewUrlCallback&&(l=c.previewUrlCallback(l,s)),void o.updateImageUrl(l)}if(_ge[t]){var d=r[t];0===d&&(d=_ge[t][1]/2,u.setAppliedEffect(Ume({},t,d)));var p=new wge({min:_ge[t][0],max:_ge[t][1],step:_ge[t][2],value:d,onChange:k});i.appendChild(p.getElement())}}else i.appendChild(a.getElement())},S=function(){var e=u.getState().image,t=e.cdnUrl+g;c.previewUrlCallback&&(t=c.previewUrlCallback(t,e)),o.updateImageUrl(t)},A=function(){var e=u.getState(),t=e.view;switch(e.imageLoad){case"start":i.toggleDisabled(!0),"preview"===t&&a.toggleDisabled(!0),"crop"===t&&s.toggleDisabled(!0);break;case"load":i.toggleDisabled(!1),"preview"===t?a.toggleDisabled(!1):"crop"===t&&(O(),s.toggleDisabled(!1));break;case"fail":p()}},T=function(){var e=c.crop,t=u.getState().appliedEffects.crop;f.currentCrop=t&&void 0!==t.index?t.index:0,s=new age({crops:e.map((function(e,t){var n=D(e);return{index:t,settings:e,title:n.description,size:{width:n.width,height:n.height}}})),currentCrop:f.currentCrop,onCropClick:function(e){f.currentCrop=e.index,f.cropWidget&&f.cropWidget.setCrop(e.settings)}}),i.appendChild(s.getElement())},O=function(){var e=c.crop,t=u.getState(),n=t.appliedEffects,r=t.image,i=n.crop,a=r.originalImageInfo,s=[a.width,a.height];f.cropWidget=new m(l.jQuery(o.getImg()),s,e[f.currentCrop]),i&&i.coords&&f.cropWidget.setSelection(i.coords)},P=function(){var e=f.cropWidget,t=e.crop,n=e.originalSize,r=f.cropWidget.getSelection();b(),u.setAppliedEffect({crop:{originalSize:n,coords:r,resizeTo:ege(t,[r.width,r.height]),settings:t,index:f.currentCrop}})},D=function(e){var t=e.preferedSize,n=h("dialog.tabs.preview.crop.free"),r=void 0,i=void 0;if(t){var o=l.utils.gcd(t[0],t[1]),a=l.utils.fitSize(t,[30,30],!0);n=t[0]/o+":"+t[1]/o,r=Math.max(20,a[0])+"px",i=Math.max(12,a[1])+"px"}return{description:n,width:r,height:i}};return{getElement:function(){return t||function(){var e=u.getState(),s=e.view,l=e.appliedEffects,d=e.image;t=Vme("<div></div>\n"),n=new gge({title:v(s)}),i=new hge({locale:{done:h("dialog.tabs.preview.done"),cancel:h("dialog.tabs.preview.image.change")},onDone:w,onCancel:_}),r=new tge;var p=d.cdnUrl+g;c.previewUrlCallback&&(p=c.previewUrlCallback(p,d)),o=new yge({imageUrl:p,onUpdate:function(){return u.setImageLoad("start")},onLoad:function(){return u.setImageLoad("load")},onFail:function(){return u.setImageLoad("fail")}}),t.appendChild(n.getElement()),t.appendChild(r.getElement()),t.appendChild(i.getElement()),r.appendChild(o.getElement());var f=c.effects,m=f.reduce((function(e,t){return e[t]=y(t),e}),{});a=new pge({effects:f,titles:m,appliedEffects:l,onEffectClick:x}),i.appendChild(a.getElement()),u.subscribeToAppliedEffects(E),u.subscribeToView(C),u.subscribeToImage(S),u.subscribeToImageLoad(A)}(),t}}};function kge(e,t){function n(e,t,r,i,o){n.__super__.constructor.call(this,e,t,r,i,o)}return function(e,t){for(var n in t)Object.prototype.hasOwnProperty.call(t,n)&&(e[n]=t[n]);function r(){this.constructor=e}r.prototype=t.prototype,e.prototype=new r,e.__super__=t.prototype}(n,e),n.prototype.__setState=function(e,r){var i=this;if("image"===e){if(r.info){var o=function(e){var t=window["UPLOADCARE_"+e.toUpperCase()];return void 0===t?null:t}("effects");void 0===this.settings.effects&&o&&(this.settings.effects=o);var a=function(e){var t=function(e){var t=[];if("string"==typeof e&&"all"===e)return Jme;var n=(t="string"==typeof e?e.replace(/\s/g,"").split(","):Array.isArray(e)?e:Bme).indexOf("crop");return~n&&0!==n&&(t=["crop"].concat(Wme(t.slice(0,n)),Wme(t.slice(n+1)))),t}(e.effects),n=function(e,t){return e||!~t.indexOf("crop")?e:[{downscale:!1,notLess:!1,preferedSize:void 0,upscale:!1}]}(e.crop,t);return qme({},e,{crop:n},{effects:t},{locale:e.locale?e.locale:"en"})}(this.settings),s=function(e,t){var n=t.effects,r=t.crop,i=function(e){var t=Yme.appliedEffects,n={};return e.forEach((function(e){void 0!==t[e]&&(n[e]=t[e])})),n}(n),o=function(e,t,n){if(!e)return{effects:{},otherModifiers:""};var r={},i=e.replace(/-\/preview\//g,"");return t.forEach((function(t){if(Kme[t]){var o=e.match(Kme[t]);if(o){var a=void 0;if("crop"===t){var s=o[7]&&[o[8],o[9]],l=parseInt(o[1]),u=parseInt(o[2]),c=n.findIndex((function(e){if(void 0===e.preferedSize)return!0;var t=$me(e.preferedSize,2),n=t[0],r=t[1],i=l===n&&u===r,o=(n/r).toPrecision(2)===(l/u).toPrecision(2);return i||o}));a={resizeTo:s,index:c>=0?c:null,coords:{width:l,height:u,center:"center"===o[4],left:void 0===o[5]?void 0:parseInt(o[5]),top:void 0===o[6]?void 0:parseInt(o[6])}}}else"boolean"===Gme[t][0]&&(a=!0),"number"===Gme[t][0]&&(a=void 0===o[2]?Gme[t][1]:parseInt(o[2]));r[t]=a,i=i.replace(o[0],"")}}})),{effects:r,otherModifiers:i}}(e.cdnUrlModifiers,n,r);return function(e){var t=e,n={view:[],imageLoad:[],appliedEffects:[],image:[]},r=function(e,t){return n[t].push(e),function(){n[t]=n[t].filter((function(t){return t!==e}))}};return{getState:function(){return t},setView:function(e){t=qme({},t,{view:e}),n.view.forEach((function(e){return e()}))},setImageLoad:function(e){t=qme({},t,{imageLoad:e}),n.imageLoad.forEach((function(e){return e()}))},setAppliedEffect:function(e){var r=qme({},t.appliedEffects,e);t=qme({},t,{appliedEffects:r}),n.appliedEffects.forEach((function(e){return e()}))},rebuildImage:function(){var e=t,r=e.appliedEffects,i=e.image,o=Xme(r)+t.otherModifiers,a=function(e){if(e){var t=e.coords,n=e.resizeTo,r={width:t.width,height:t.height,left:t.left,top:t.top};return n&&(r.sw=n[0],r.sh=n[1]),r}return null}(r.crop);t.image=qme({},i,{cdnUrl:i.originalUrl+(o||""),cdnUrlModifiers:o,crop:a}),n.image.forEach((function(e){return e()}))},subscribeToView:function(e){return r(e,"view")},subscribeToImageLoad:function(e){return r(e,"imageLoad")},subscribeToImage:function(e){return r(e,"image")},subscribeToAppliedEffects:function(e){return r(e,"appliedEffects")}}}(qme({},Yme,{image:e},{appliedEffects:qme({},i,o.effects)},{otherModifiers:o.otherModifiers}))}(r.info,a);!function(e,t,n){var r=t.crop,i=e.getState().image;if(r&&!r.some((function(e){return!e.preferedSize}))&&i.isImage&&!i.cdnUrlModifiers&&!i.crop){var o=i.originalImageInfo,a=n.utils.fitSize(r[0].preferedSize,[o.width,o.height],!0),s={originalSize:[o.width,o.height],settings:r[0],resizeTo:ege(r[0],a),coords:{left:Math.round((o.width-a[0])/2),top:Math.round((o.height-a[1])/2),width:a[0],height:a[1]}};e.setAppliedEffect({crop:s}),e.rebuildImage()}}(s,a,t);var l=a.locale;t.locale.translations=function(e,t){var n=qme({},e),r=Hme(t),i=Fme.en,o=function(e){return n.hasOwnProperty(e)};for(var a in n)if(o(a)){if(!o(t)){var s=n[t];n[t]=qme({},s,i);continue}r||Hme(a)?n[a]=qme({},n[a],Fme[a]):n[a]=qme({},n[a],i)}return n}(t.locale.translations,l),t.locale.rebuild();var u=new xge({uc:t,store:s,settings:a,onDone:function(){var e=i.file.then((function(e){var t=s.getState().image,n=t.cdnUrl,r=t.cdnUrlModifiers,i=t.crop;return qme({},e,{cdnUrl:n,cdnUrlModifiers:r,crop:i})}));i.dialogApi.fileColl.replace(i.file,e)},onFail:function(){i.file=null,i.__setState("error",{error:"loadImage"})}}),c=this.container[0];c.innerHTML="",Array.prototype.slice.call(u.getElement().children).forEach((function(e){return c.appendChild(e)})),s.setImageLoad("start")}}else n.__super__.__setState.call(this,e,r)},n.prototype.initImage=function(){},n}const Ege=function(e,t,n,r,i){jme().plugin((function(o){return r.multiple?new o.widget.tabs.PreviewTabMultiple(e,t,n,r,i):new(kge(o.widget.tabs.PreviewTab,o))(e,t,n,r,i)}))},Cge=["publicKey"];function Sge(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Age(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Sge(Object(n),!0).forEach((function(t){Tge(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Sge(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Tge(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}window.UPLOADCARE_LIVE=!1,window.UPLOADCARE_MANUAL_START=!0;const Oge="https://ucarecdn.com",Pge={previewStep:!0,integration:"DecapCMS-Uploadcare-MediaLibrary"};function Dge(e){const t=e.startsWith(Oge)&&!/~\d+\/nth\/\d+\//.test(e);return jme().fileFrom(t?"uploaded":"url",e)}function Rge({files:e,config:t,handleInsert:n,settings:r={}}){function i(e){const{cdnUrl:t,name:n,isImage:i}=e;let o=i&&r.defaultOperations?`${t}-${r.defaultOperations}`:t;return!!o.endsWith("/")&&r.autoFilename&&(o+=n),o}r.defaultOperations&&!r.defaultOperations.startsWith("/")&&console.warn("Uploadcare default operations should start with `/`. Example: `/preview/-/resize/100x100/image.png`"),jme().openDialog(e,t).done((({promise:e,files:t})=>{const r=Boolean(t);return e().then((e=>{if(r)return Promise.all(t().map((e=>e.then((e=>i(e)))))).then((e=>n(e)));n(i(e))}))}))}const Ige={name:"uploadcare",init:async function({options:e={config:{},settings:{}},handleInsert:t}={}){const n=e.config,{publicKey:r}=n,i=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(n,Cge),o=Age(Age({},Pge),i);return window.UPLOADCARE_PUBLIC_KEY=r,jme().registerTab("preview",Ege),{show:({value:n,config:r={},allowMultiple:i,imagesOnly:a=!1}={})=>{const s=Age(Age({},o),{},{imagesOnly:a},r),l=!1!==i&&!!s.multiple,u=Age(Age({},s),{},{multiple:l}),c=function(e){if(Array.isArray(e)||kr.Iterable.isIterable(e)){const t=Array.isArray(e)?e:e.toJS();return function(e){const t=`~${e.length}/nth/`;return Array.from({length:e.length},(function(e,n){return new RegExp(`${t}${n}/$`)})).every((t=>e.some((e=>t.test(e)))))}(t)?function(e){const t=new RegExp(`^.+/([^/]+~${e.length})/nth/`).exec(e[0])[1];return new Promise((e=>jme().loadFileGroup(t).done((t=>e(t)))))}(t):Promise.all(t.map((e=>Dge(e))))}return e&&"string"==typeof e?Dge(e):null}(n);return c&&!c.state?c.then((n=>Rge({files:n,config:u,settings:e.settings,handleInsert:t}))):Rge({files:c,config:u,settings:e.settings,handleInsert:t})},enableStandalone:()=>!1}}},Mge=Ige,Lge=["config"];function Nge(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function jge(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Nge(Object(n),!0).forEach((function(t){Fge(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Nge(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Fge(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}const Bge={use_secure_url:!0,use_transformations:!0,output_filename_only:!1},zge={button_class:void 0,inline_container:void 0,insert_transformation:!1,z_index:"99999"},Uge={multiple:!1},qge={name:"cloudinary",init:async function({options:e={},handleInsert:t}={}){const{config:n={}}=e,r=function(e,t){if(null==e)return{};var n,r,i=function(e,t){if(null==e)return{};var n,r,i={},o=Object.keys(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||(i[n]=e[n]);return i}(e,t);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);for(r=0;r<o.length;r++)n=o[r],t.indexOf(n)>=0||Object.prototype.propertyIsEnumerable.call(e,n)&&(i[n]=e[n])}return i}(e,Lge),i=jge(jge({},Bge),r),o=jge(jge(jge({},Uge),n),zge),a=KF()(o,["default_transformations","max_files","multiple"]);await new Promise(((e,t)=>{let n=!1;const r=document.getElementsByTagName("head")[0],i=document.createElement("script");i.src="https://media-library.cloudinary.com/global/all.js",i.onload=i.onreadystatechange=function(){n||this.readyState&&"loaded"!==this.readyState&&"complete"!==this.readyState?t():(n=!0,e())},i.onerror=e=>t(e),r.appendChild(i)}));const s=window.cloudinary.createMediaLibrary(o,{insertHandler:function(e){const r=e.assets.map((e=>function(e,{use_secure_url:t,use_transformations:n,output_filename_only:r}){return r?`${e.public_id}.${e.format}`:(e.derived&&n?e.derived[0]:e)[t?"secure_url":"url"]}(e,i)));t(n.multiple||r.length>1?r:r[0])}});return{show:({config:e={},allowMultiple:t}={})=>(!1===t&&(e.multiple=!1),s.show(jge(jge({},a),e))),hide:()=>s.hide(),enableStandalone:()=>!0}}},$ge=qge;function Wge(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function Hge(e,t,n){return t=function(e){var t=function(e,t){if("object"!=typeof e||!e)return e;var n=e[Symbol.toPrimitive];if(void 0!==n){var r=n.call(e,"string");if("object"!=typeof r)return r;throw new TypeError("@@toPrimitive must return a primitive value.")}return String(e)}(e);return"symbol"==typeof t?t:String(t)}(t),t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}Lme.registerMediaLibrary(Mge),Lme.registerMediaLibrary($ge),window.CMS_MANUAL_INIT?console.log("`window.CMS_MANUAL_INIT` flag set, skipping automatic initialization."):Lme.init(),"undefined"!=typeof window&&(window.CMS=Lme,window.initCMS=Lme.init,window.createClass=window.createClass||i(),window.h=window.h||o.createElement,console.log("decap-cms 3.6.1"));const Vge=function(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?Wge(Object(n),!0).forEach((function(t){Hge(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):Wge(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}({},Lme)})(),__webpack_exports__=__webpack_exports__.DecapCms,__webpack_exports__})()));
//# sourceMappingURL=decap-cms.js.map